content
stringlengths 1
1.04M
⌀ |
---|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library std;
entity dynroi is
generic (
CLK_PROC_FREQ : integer;
BINIMG_SIZE : integer;
IMG_SIZE : integer;
ROI_SIZE : integer;
COORD_SIZE : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
----------------------- BinImg flow ---------------------
BinImg_data : in std_logic_vector(BINIMG_SIZE-1 downto 0);
BinImg_fv : in std_logic;
BinImg_dv : in std_logic;
------------------------ Img flow -----------------------
Img_data : in std_logic_vector(IMG_SIZE-1 downto 0);
Img_fv : in std_logic;
Img_dv : in std_logic;
------------------------ roi flow -----------------------
roi_data : out std_logic_vector(ROI_SIZE-1 downto 0);
roi_fv : out std_logic;
roi_dv : out std_logic;
----------------------- coord flow ----------------------
coord_data : out std_logic_vector(COORD_SIZE-1 downto 0);
coord_fv : out std_logic;
coord_dv : out std_logic;
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(1 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end dynroi;
architecture rtl of dynroi is
component dynroi_process
generic (
CLK_PROC_FREQ : integer;
BINIMG_SIZE : integer;
IMG_SIZE : integer;
ROI_SIZE : integer;
COORD_SIZE : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : in std_logic;
status_reg_bypass_bit : in std_logic;
status_reg_static_res_bit : in std_logic;
inImg_size_reg_in_w_reg : in std_logic_vector(11 downto 0);
inImg_size_reg_in_h_reg : in std_logic_vector(11 downto 0);
BinImg_size_reg_in_w_reg : in std_logic_vector(11 downto 0);
BinImg_size_reg_in_h_reg : in std_logic_vector(11 downto 0);
out_size_reg_out_w_reg : in std_logic_vector(11 downto 0);
out_size_reg_out_h_reg : in std_logic_vector(11 downto 0);
----------------------- BinImg flow ---------------------
BinImg_data : in std_logic_vector(BINIMG_SIZE-1 downto 0);
BinImg_fv : in std_logic;
BinImg_dv : in std_logic;
------------------------ Img flow -----------------------
Img_data : in std_logic_vector(IMG_SIZE-1 downto 0);
Img_fv : in std_logic;
Img_dv : in std_logic;
------------------------ roi flow -----------------------
roi_data : out std_logic_vector(ROI_SIZE-1 downto 0);
roi_fv : out std_logic;
roi_dv : out std_logic;
----------------------- coord flow ----------------------
coord_data : out std_logic_vector(COORD_SIZE-1 downto 0);
coord_fv : out std_logic;
coord_dv : out std_logic
);
end component;
component dynroi_slave
generic (
CLK_PROC_FREQ : integer
);
port (
clk_proc : in std_logic;
reset_n : in std_logic;
---------------- dynamic parameters ports ---------------
status_reg_enable_bit : out std_logic;
status_reg_bypass_bit : out std_logic;
status_reg_static_res_bit : out std_logic;
inImg_size_reg_in_w_reg : out std_logic_vector(11 downto 0);
inImg_size_reg_in_h_reg : out std_logic_vector(11 downto 0);
BinImg_size_reg_in_w_reg : out std_logic_vector(11 downto 0);
BinImg_size_reg_in_h_reg : out std_logic_vector(11 downto 0);
out_size_reg_out_w_reg : out std_logic_vector(11 downto 0);
out_size_reg_out_h_reg : out std_logic_vector(11 downto 0);
--======================= Slaves ========================
------------------------- bus_sl ------------------------
addr_rel_i : in std_logic_vector(1 downto 0);
wr_i : in std_logic;
rd_i : in std_logic;
datawr_i : in std_logic_vector(31 downto 0);
datard_o : out std_logic_vector(31 downto 0)
);
end component;
signal status_reg_enable_bit : std_logic;
signal status_reg_bypass_bit : std_logic;
signal status_reg_static_res_bit : std_logic;
signal inImg_size_reg_in_w_reg : std_logic_vector (11 downto 0);
signal inImg_size_reg_in_h_reg : std_logic_vector (11 downto 0);
signal BinImg_size_reg_in_w_reg : std_logic_vector (11 downto 0);
signal BinImg_size_reg_in_h_reg : std_logic_vector (11 downto 0);
signal out_size_reg_out_w_reg : std_logic_vector (11 downto 0);
signal out_size_reg_out_h_reg : std_logic_vector (11 downto 0);
begin
dynroi_process_inst : dynroi_process
generic map (
CLK_PROC_FREQ => CLK_PROC_FREQ,
BINIMG_SIZE => BINIMG_SIZE,
IMG_SIZE => IMG_SIZE,
ROI_SIZE => ROI_SIZE,
COORD_SIZE => COORD_SIZE
)
port map (
clk_proc => clk_proc,
reset_n => reset_n,
status_reg_enable_bit => status_reg_enable_bit,
status_reg_bypass_bit => status_reg_bypass_bit,
status_reg_static_res_bit => status_reg_static_res_bit,
inImg_size_reg_in_w_reg => inImg_size_reg_in_w_reg,
inImg_size_reg_in_h_reg => inImg_size_reg_in_h_reg,
BinImg_size_reg_in_w_reg => BinImg_size_reg_in_w_reg,
BinImg_size_reg_in_h_reg => BinImg_size_reg_in_h_reg,
out_size_reg_out_w_reg => out_size_reg_out_w_reg,
out_size_reg_out_h_reg => out_size_reg_out_h_reg,
BinImg_data => BinImg_data,
BinImg_fv => BinImg_fv,
BinImg_dv => BinImg_dv,
Img_data => Img_data,
Img_fv => Img_fv,
Img_dv => Img_dv,
roi_data => roi_data,
roi_fv => roi_fv,
roi_dv => roi_dv,
coord_data => coord_data,
coord_fv => coord_fv,
coord_dv => coord_dv
);
dynroi_slave_inst : dynroi_slave
generic map (
CLK_PROC_FREQ => CLK_PROC_FREQ
)
port map (
clk_proc => clk_proc,
reset_n => reset_n,
status_reg_enable_bit => status_reg_enable_bit,
status_reg_bypass_bit => status_reg_bypass_bit,
status_reg_static_res_bit => status_reg_static_res_bit,
inImg_size_reg_in_w_reg => inImg_size_reg_in_w_reg,
inImg_size_reg_in_h_reg => inImg_size_reg_in_h_reg,
BinImg_size_reg_in_w_reg => BinImg_size_reg_in_w_reg,
BinImg_size_reg_in_h_reg => BinImg_size_reg_in_h_reg,
out_size_reg_out_w_reg => out_size_reg_out_w_reg,
out_size_reg_out_h_reg => out_size_reg_out_h_reg,
addr_rel_i => addr_rel_i,
wr_i => wr_i,
rd_i => rd_i,
datawr_i => datawr_i,
datard_o => datard_o
);
end rtl;
|
library IEEE;
use IEEE.Std_logic_1164.all;
use IEEE.Numeric_Std.all;
entity clk_slow_tb is
end;
architecture bench of clk_slow_tb is
component clk_slow
Port ( clk_in : in STD_LOGIC;
clk_190hz : out STD_LOGIC;
clk_380hz : out STD_LOGIC;
clk_95hz : out STD_LOGIC;
clk_48hz : out STD_LOGIC;
clk_12hz : out STD_LOGIC;
clk1hz5 : out STD_LOGIC
);
end component;
signal clk_in: STD_LOGIC;
signal clk_190hz : STD_LOGIC;
signal clk_380hz : STD_LOGIC;
signal clk_95hz : STD_LOGIC;
signal clk_48hz : STD_LOGIC;
signal clk_12hz : STD_LOGIC;
signal clk1hz5 : STD_LOGIC;
constant clock_period: time := 20 ns; --50Mhz
begin
uut: clk_slow port map ( clk_in => clk_in,
clk_190hz => clk_190hz,
clk_380hz => clk_380hz,
clk_95hz => clk_95hz,
clk_48hz => clk_48hz,
clk_12hz => clk_12hz,
clk1hz5 => clk1hz5
);
clk_process: process
begin
clk_in <= '1';
wait for clock_period/2;
clk_in <= '0';
wait for clock_period/2;
end process;
end; |
--
-------------------------------------------------------------------------------------------
-- Copyright © 2011-2014, Xilinx, Inc.
-- This file contains confidential and proprietary information of Xilinx, Inc. and is
-- protected under U.S. and international copyright and other intellectual property laws.
-------------------------------------------------------------------------------------------
--
-- Disclaimer:
-- This disclaimer is not a license and does not grant any rights to the materials
-- distributed herewith. Except as otherwise provided in a valid license issued to
-- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE
-- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY
-- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
-- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT,
-- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable
-- (whether in contract or tort, including negligence, or under any other theory
-- of liability) for any loss or damage of any kind or nature related to, arising
-- under or in connection with these materials, including for any direct, or any
-- indirect, special, incidental, or consequential loss or damage (including loss
-- of data, profits, goodwill, or any type of loss or damage suffered as a result
-- of any action brought by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-safe, or for use in any
-- application requiring fail-safe performance, such as life-support or safety
-- devices or systems, Class III medical devices, nuclear facilities, applications
-- related to the deployment of airbags, or any other applications that could lead
-- to death, personal injury, or severe property or environmental damage
-- (individually and collectively, "Critical Applications"). Customer assumes the
-- sole risk and liability of any use of Xilinx products in Critical Applications,
-- subject only to applicable laws and regulations governing limitations on product
-- liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------------------
--
--
-- KCPSM6 reference design using 'uart_tx6' and 'uart_rx6'macros.
--
-- Ken Chapman - Xilinx Ltd.
--
-- 10th May 2011 - Initial version
-- 16th May 2011 - Change to comment only.
-- 20th May 2011 - Change to format only.
-- 16th June 2011 - Refined initialisation of signals.
-- 30th April 2012 - Additions and corrections to comments only.
-- 30th July 2014 - Corrections to comments only.
--
-- This reference design provides a simple UART communication example.
-- Please see 'UART6_User_Guide_and_Reference_Designs_30Sept14.pdf' for more detailed
-- descriptions.
--
-- The code in this example is set to implement a 115200 baud rate when using a 50MHz
-- clock. Whilst the design is presented as a working example for the XC6VLX240T-1FF1156
-- device on the ML605 Evaluation Board (www.xilinx.com) it is a simple reference design
-- that is easily adapted or incorporated into a design for use with any hardware platform.
--
-------------------------------------------------------------------------------------------
--
-- Library declarations
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library unisim;
use unisim.vcomponents.all;
--
-------------------------------------------------------------------------------------------
--
--
entity uart6_ml605 is
Port ( uart_rx : in std_logic;
uart_tx : out std_logic;
clk200_p : in std_logic;
clk200_n : in std_logic);
end uart6_ml605;
--
-------------------------------------------------------------------------------------------
--
-- Start of test architecture
--
architecture Behavioral of uart6_ml605 is
--
-------------------------------------------------------------------------------------------
--
-- Components
--
-------------------------------------------------------------------------------------------
--
--
-- declaration of KCPSM6
--
component kcpsm6
generic( hwbuild : std_logic_vector(7 downto 0) := X"00";
interrupt_vector : std_logic_vector(11 downto 0) := X"3FF";
scratch_pad_memory_size : integer := 64);
port ( address : out std_logic_vector(11 downto 0);
instruction : in std_logic_vector(17 downto 0);
bram_enable : out std_logic;
in_port : in std_logic_vector(7 downto 0);
out_port : out std_logic_vector(7 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
k_write_strobe : out std_logic;
read_strobe : out std_logic;
interrupt : in std_logic;
interrupt_ack : out std_logic;
sleep : in std_logic;
reset : in std_logic;
clk : in std_logic);
end component;
--
-- Development Program Memory
--
component uart_control
generic( C_FAMILY : string := "S6";
C_RAM_SIZE_KWORDS : integer := 1;
C_JTAG_LOADER_ENABLE : integer := 0);
Port ( address : in std_logic_vector(11 downto 0);
instruction : out std_logic_vector(17 downto 0);
enable : in std_logic;
rdl : out std_logic;
clk : in std_logic);
end component;
--
-- UART Transmitter with integral 16 byte FIFO buffer
--
component uart_tx6
Port ( data_in : in std_logic_vector(7 downto 0);
en_16_x_baud : in std_logic;
serial_out : out std_logic;
buffer_write : in std_logic;
buffer_data_present : out std_logic;
buffer_half_full : out std_logic;
buffer_full : out std_logic;
buffer_reset : in std_logic;
clk : in std_logic);
end component;
--
-- UART Receiver with integral 16 byte FIFO buffer
--
component uart_rx6
Port ( serial_in : in std_logic;
en_16_x_baud : in std_logic;
data_out : out std_logic_vector(7 downto 0);
buffer_read : in std_logic;
buffer_data_present : out std_logic;
buffer_half_full : out std_logic;
buffer_full : out std_logic;
buffer_reset : in std_logic;
clk : in std_logic);
end component;
--
--
-------------------------------------------------------------------------------------------
--
-- Signals
--
-------------------------------------------------------------------------------------------
--
--
-- Signals used to create 50MHz clock from 200MHz differential clock
--
signal clk200 : std_logic;
signal clk : std_logic;
--
--
-- Signals used to connect KCPSM6
--
signal address : std_logic_vector(11 downto 0);
signal instruction : std_logic_vector(17 downto 0);
signal bram_enable : std_logic;
signal in_port : std_logic_vector(7 downto 0);
signal out_port : std_logic_vector(7 downto 0);
signal port_id : std_logic_vector(7 downto 0);
signal write_strobe : std_logic;
signal k_write_strobe : std_logic;
signal read_strobe : std_logic;
signal interrupt : std_logic;
signal interrupt_ack : std_logic;
signal kcpsm6_sleep : std_logic;
signal kcpsm6_reset : std_logic;
signal rdl : std_logic;
--
-- Signals used to connect UART_TX6
--
signal uart_tx_data_in : std_logic_vector(7 downto 0);
signal write_to_uart_tx : std_logic;
signal uart_tx_data_present : std_logic;
signal uart_tx_half_full : std_logic;
signal uart_tx_full : std_logic;
signal uart_tx_reset : std_logic;
--
-- Signals used to connect UART_RX6
--
signal uart_rx_data_out : std_logic_vector(7 downto 0);
signal read_from_uart_rx : std_logic;
signal uart_rx_data_present : std_logic;
signal uart_rx_half_full : std_logic;
signal uart_rx_full : std_logic;
signal uart_rx_reset : std_logic;
--
-- Signals used to define baud rate
--
signal baud_count : integer range 0 to 26 := 0;
signal en_16_x_baud : std_logic := '0';
--
--
-------------------------------------------------------------------------------------------
--
-- Start of circuit description
--
-------------------------------------------------------------------------------------------
--
begin
--
-----------------------------------------------------------------------------------------
-- Create 50MHz clock from 200MHz differential clock
-----------------------------------------------------------------------------------------
--
diff_clk_buffer: IBUFGDS
port map ( I => clk200_p,
IB => clk200_n,
O => clk200);
--
-- BUFR used to divide by 4 and create a regional clock
--
clock_divide: BUFR
generic map ( BUFR_DIVIDE => "4",
SIM_DEVICE => "VIRTEX6")
port map ( I => clk200,
O => clk,
CE => '1',
CLR => '0');
--
-----------------------------------------------------------------------------------------
-- Instantiate KCPSM6 and connect to program ROM
-----------------------------------------------------------------------------------------
--
-- The generics can be defined as required. In this case the 'hwbuild' value is used to
-- define a version using the ASCII code for the desired letter.
--
processor: kcpsm6
generic map ( hwbuild => X"42", -- 42 hex is ASCII Character "B"
interrupt_vector => X"7F0",
scratch_pad_memory_size => 64)
port map( address => address,
instruction => instruction,
bram_enable => bram_enable,
port_id => port_id,
write_strobe => write_strobe,
k_write_strobe => k_write_strobe,
out_port => out_port,
read_strobe => read_strobe,
in_port => in_port,
interrupt => interrupt,
interrupt_ack => interrupt_ack,
sleep => kcpsm6_sleep,
reset => kcpsm6_reset,
clk => clk);
--
-- Reset connected to JTAG Loader enabled Program Memory
--
kcpsm6_reset <= rdl;
--
-- Unused signals tied off until required.
--
kcpsm6_sleep <= '0';
interrupt <= interrupt_ack;
--
-- Development Program Memory
-- JTAG Loader enabled for rapid code development.
--
program_rom: uart_control
generic map( C_FAMILY => "V6",
C_RAM_SIZE_KWORDS => 2,
C_JTAG_LOADER_ENABLE => 1)
port map( address => address,
instruction => instruction,
enable => bram_enable,
rdl => rdl,
clk => clk);
--
-----------------------------------------------------------------------------------------
-- UART Transmitter with integral 16 byte FIFO buffer
-----------------------------------------------------------------------------------------
--
-- Write to buffer in UART Transmitter at port address 01 hex
--
tx: uart_tx6
port map ( data_in => uart_tx_data_in,
en_16_x_baud => en_16_x_baud,
serial_out => uart_tx,
buffer_write => write_to_uart_tx,
buffer_data_present => uart_tx_data_present,
buffer_half_full => uart_tx_half_full,
buffer_full => uart_tx_full,
buffer_reset => uart_tx_reset,
clk => clk);
--
-----------------------------------------------------------------------------------------
-- UART Receiver with integral 16 byte FIFO buffer
-----------------------------------------------------------------------------------------
--
-- Read from buffer in UART Receiver at port address 01 hex.
--
-- When KCPMS6 reads data from the receiver a pulse must be generated so that the
-- FIFO buffer presents the next character to be read and updates the buffer flags.
--
rx: uart_rx6
port map ( serial_in => uart_rx,
en_16_x_baud => en_16_x_baud,
data_out => uart_rx_data_out,
buffer_read => read_from_uart_rx,
buffer_data_present => uart_rx_data_present,
buffer_half_full => uart_rx_half_full,
buffer_full => uart_rx_full,
buffer_reset => uart_rx_reset,
clk => clk);
--
-----------------------------------------------------------------------------------------
-- RS232 (UART) baud rate
-----------------------------------------------------------------------------------------
--
-- To set serial communication baud rate to 115,200 then en_16_x_baud must pulse
-- High at 1,843,200Hz which is every 27.13 cycles at 50MHz. In this implementation
-- a pulse is generated every 27 cycles resulting is a baud rate of 115,741 baud which
-- is only 0.5% high and well within limits.
--
baud_rate: process(clk)
begin
if clk'event and clk = '1' then
if baud_count = 26 then -- counts 27 states including zero
baud_count <= 0;
en_16_x_baud <= '1'; -- single cycle enable pulse
else
baud_count <= baud_count + 1;
en_16_x_baud <= '0';
end if;
end if;
end process baud_rate;
--
-----------------------------------------------------------------------------------------
-- General Purpose Input Ports.
-----------------------------------------------------------------------------------------
--
-- Two input ports are used with the UART macros. The first is used to monitor the flags
-- on both the transmitter and receiver. The second is used to read the data from the
-- receiver and generate the 'buffer_read' pulse.
--
input_ports: process(clk)
begin
if clk'event and clk = '1' then
case port_id(0) is
-- Read UART status at port address 00 hex
when '0' => in_port(0) <= uart_tx_data_present;
in_port(1) <= uart_tx_half_full;
in_port(2) <= uart_tx_full;
in_port(3) <= uart_rx_data_present;
in_port(4) <= uart_rx_half_full;
in_port(5) <= uart_rx_full;
-- Read UART_RX6 data at port address 01 hex
-- (see 'buffer_read' pulse generation below)
when '1' => in_port <= uart_rx_data_out;
when others => in_port <= "XXXXXXXX";
end case;
-- Generate 'buffer_read' pulse following read from port address 01
if (read_strobe = '1') and (port_id(0) = '1') then
read_from_uart_rx <= '1';
else
read_from_uart_rx <= '0';
end if;
end if;
end process input_ports;
--
-----------------------------------------------------------------------------------------
-- General Purpose Output Ports
-----------------------------------------------------------------------------------------
--
-- In this simple example there is only one output port and that it involves writing
-- directly to the FIFO buffer within 'uart_tx6'. As such the only requirements are to
-- connect the 'out_port' to the transmitter macro and generate the write pulse.
--
uart_tx_data_in <= out_port;
write_to_uart_tx <= '1' when (write_strobe = '1') and (port_id(0) = '1')
else '0';
--
-----------------------------------------------------------------------------------------
-- Constant-Optimised Output Ports
-----------------------------------------------------------------------------------------
--
-- One constant-optimised output port is used to facilitate resetting of the UART macros.
--
constant_output_ports: process(clk)
begin
if clk'event and clk = '1' then
if k_write_strobe = '1' then
if port_id(0) = '1' then
uart_tx_reset <= out_port(0);
uart_rx_reset <= out_port(1);
end if;
end if;
end if;
end process constant_output_ports;
--
-----------------------------------------------------------------------------------------
--
end Behavioral;
-------------------------------------------------------------------------------------------
--
-- END OF FILE uart6_ml605.vhd
--
-------------------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
-- Altera Stratix-III LEON3 Demonstration design test bench
-- Copyright (C) 2007 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
library cypress;
use cypress.components.all;
use work.debug.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 20; -- system clock period
romwidth : integer := 32; -- rom data width (8/32)
romdepth : integer := 23; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 1; -- number of ram banks
dbits : integer := CFG_DDR2SP_DATAWIDTH
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
constant ct : integer := clkperiod/2;
constant lresp : boolean := false;
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal Rst : std_logic := '0'; -- Reset
signal clk : std_logic := '0';
signal clk125 : std_logic := '0';
signal address : std_logic_vector(25 downto 0);
signal data : std_logic_vector(31 downto 0);
signal romsn : std_ulogic;
signal iosn : std_ulogic;
signal oen : std_ulogic;
signal writen : std_ulogic;
signal dsuen, dsutx, dsurx, dsubren, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal error : std_logic;
signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
signal txd1, rxd1 : std_ulogic;
-- PSRAM and FLASH control
signal sram_advn : std_logic;
signal sram_csn : std_logic;
signal sram_wen : std_logic;
signal sram_ben : std_logic_vector (0 to 3);
signal sram_oen : std_ulogic;
signal sram_clk : std_ulogic;
signal sram_adscn : std_ulogic;
signal sram_psn : std_ulogic;
signal sram_adv_n : std_ulogic;
signal sram_wait : std_logic_vector(1 downto 0);
signal flash_clk, flash_cen, max_csn : std_logic;
signal flash_advn, flash_oen, flash_resetn, flash_wen : std_logic;
-- DDR2 memory
signal ddr_clk : std_logic_vector(2 downto 0);
signal ddr_clkb : std_logic_vector(2 downto 0);
signal ddr_cke : std_logic_vector(1 downto 0);
signal ddr_csb : std_logic_vector(1 downto 0);
signal ddr_odt : std_logic_vector(1 downto 0);
signal ddr_web : std_ulogic; -- ddr write enable
signal ddr_rasb : std_ulogic; -- ddr ras
signal ddr_casb : std_ulogic; -- ddr cas
signal ddr_dm : std_logic_vector (8 downto 0); -- ddr dm
signal ddr_dqsp : std_logic_vector (8 downto 0); -- ddr dqs
signal ddr_dqsn : std_logic_vector (8 downto 0); -- ddr dqs
signal ddr_rdqs : std_logic_vector (8 downto 0); -- ddr dqs
signal ddr_ad : std_logic_vector (15 downto 0); -- ddr address
signal ddr_ba : std_logic_vector (2 downto 0); -- ddr bank address
signal ddr_dq : std_logic_vector (71 downto 0); -- ddr data
signal ddr_dq2 : std_logic_vector (71 downto 0); -- ddr data
--signal ddra_cke : std_logic;
--signal ddra_csb : std_logic;
--signal ddra_web : std_ulogic; -- ddr write enable
--signal ddra_rasb : std_ulogic; -- ddr ras
--signal ddra_casb : std_ulogic; -- ddr cas
--signal ddra_ad : std_logic_vector (15 downto 0); -- ddr address
--signal ddra_ba : std_logic_vector (2 downto 0); -- ddr bank address
--signal ddrb_cke : std_logic;
--signal ddrb_csb : std_logic;
--signal ddrb_web : std_ulogic; -- ddr write enable
--signal ddrb_rasb : std_ulogic; -- ddr ras
--signal ddrb_casb : std_ulogic; -- ddr cas
--signal ddrb_ad : std_logic_vector (15 downto 0); -- ddr address
--signal ddrb_ba : std_logic_vector (2 downto 0); -- ddr bank address
--signal ddrab_clk : std_logic_vector(1 downto 0);
--signal ddrab_clkb : std_logic_vector(1 downto 0);
--signal ddrab_odt : std_logic_vector(1 downto 0);
--signal ddrab_dqsp : std_logic_vector(1 downto 0); -- ddr dqs
--signal ddrab_dqsn : std_logic_vector(1 downto 0); -- ddr dqs
--signal ddrab_dm : std_logic_vector(1 downto 0); -- ddr dm
--signal ddrab_dq : std_logic_vector (15 downto 0);-- ddr data
-- Ethernet
signal phy_mii_data: std_logic; -- ethernet PHY interface
signal phy_tx_clk : std_ulogic;
signal phy_rx_clk : std_ulogic;
signal phy_rx_data : std_logic_vector(7 downto 0);
signal phy_dv : std_ulogic;
signal phy_rx_er : std_ulogic;
signal phy_col : std_ulogic;
signal phy_crs : std_ulogic;
signal phy_tx_data : std_logic_vector(7 downto 0);
signal phy_tx_en : std_ulogic;
signal phy_tx_er : std_ulogic;
signal phy_mii_clk : std_ulogic;
signal phy_rst_n : std_ulogic;
signal phy_gtx_clk : std_ulogic;
begin
-- clock and reset
clk <= not clk after ct * 1 ns;
clk125 <= not clk125 after 4 * 1 ns;
rst <= dsurst;
dsubren <= '1'; rxd1 <= '1';
address(0) <= '0';
ddr_dq(71 downto dbits) <= (others => 'H');
ddr_dq2(71 downto dbits) <= (others => 'H');
ddr_dqsp(8 downto dbits/8) <= (others => 'H');
ddr_dqsn(8 downto dbits/8) <= (others => 'H');
ddr_rdqs(8 downto dbits/8) <= (others => 'H');
ddr_dm(8 downto dbits/8) <= (others => 'H');
d3 : entity work.leon3mp
generic map (fabtech, memtech, padtech, clktech,
ncpu, disas, dbguart, pclow, 50000, dbits)
port map (rst, clk, clk125, error, dsubren, dsuact,
-- rxd1, txd1,
gpio, address(25 downto 1), data, open,
sram_advn, sram_csn, sram_wen, sram_ben, sram_oen, sram_clk, sram_psn, sram_wait,
flash_clk, flash_advn, flash_cen, flash_oen, flash_resetn, flash_wen,
max_csn, iosn,
ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_odt, ddr_web,
ddr_rasb, ddr_casb, ddr_dm, ddr_dqsp, ddr_dqsn, ddr_ad, ddr_ba, ddr_dq,
open, open,
-- ddra_cke, ddra_csb, ddra_web, ddra_rasb, ddra_casb, ddra_ad(14 downto 0), ddra_ba, ddrb_cke,
-- ddrb_csb, ddrb_web, ddrb_rasb, ddrb_casb, ddrb_ad(14 downto 0), ddrb_ba, ddrab_clk, ddrab_clkb,
-- ddrab_odt, ddrab_dqsp, ddrab_dqsn, ddrab_dm, ddrab_dq,
phy_gtx_clk, phy_mii_data, phy_tx_clk, phy_rx_clk,
phy_rx_data, phy_dv, phy_rx_er, phy_col, phy_crs,
phy_tx_data, phy_tx_en, phy_tx_er, phy_mii_clk, phy_rst_n
);
ddr2delay : delay_wire
generic map(data_width => dbits, delay_atob => 0.0, delay_btoa => 5.5)
port map(a => ddr_dq(dbits-1 downto 0), b => ddr_dq2(dbits-1 downto 0));
ddr0 : ddr2ram
generic map(width => dbits, abits => 13, babits =>2, colbits => 10, rowbits => 13,
implbanks => 1, fname => sdramfile, speedbin=>1, density => 2)
port map (ck => ddr_clk(0), ckn => ddr_clkb(0), cke => ddr_cke(0), csn => ddr_csb(0),
odt => ddr_odt(0), rasn => ddr_rasb, casn => ddr_casb, wen => ddr_web,
dm => ddr_dm(dbits/8-1 downto 0), ba => ddr_ba(1 downto 0),
a => ddr_ad(12 downto 0), dq => ddr_dq2(dbits-1 downto 0),
dqs => ddr_dqsp(dbits/8-1 downto 0), dqsn =>ddr_dqsn(dbits/8-1 downto 0));
-- 16 bit prom
prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile)
port map (address(romdepth downto 1), data(31 downto 16),
gnd, gnd, flash_cen, flash_wen, flash_oen);
-- -- 32 bit prom
-- prom0 : for i in 0 to 3 generate
-- sr0 : sram generic map (index => i, abits => romdepth, fname => promfile)
-- port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), flash_cen,
-- flash_wen, flash_oen);
-- end generate;
sram0 : for i in 0 to (sramwidth/8)-1 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), sram_csn,
sram_wen, sram_oen);
end generate;
error <= 'H'; -- ERROR pull-up
iuerr : process
begin
wait for 2500 ns;
if to_x01(error) = '1' then wait on error; end if;
assert (to_x01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
data <= buskeep(data), (others => 'H') after 250 ns;
test0 : grtestmod
port map ( rst, clk, error, address(21 downto 2), data,
iosn, sram_oen, sram_wen, open);
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 160 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 500 ns;
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp);
txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use commands.all;
entity MicroROM is
port(
read_enable : in std_logic;
address : in std_logic_vector(7 downto 0);
data_output : out std_logic_vector(27 downto 0)
);
end MicroROM;
architecture MicroROM_Behaviour of MicroROM is
subtype ram_address is std_logic_vector(7 downto 0);
subtype op_code is std_logic_vector(3 downto 0);
--
-- type and sub-types declarations
--
subtype instruction is std_logic_vector(27 downto 0);
type ROM_type is array (0 to 255) of instruction;
constant I_ADDR_MAX : ram_address := "00000101";
constant J_ADDR_MAX : ram_address := "00000110";
constant I_ADDR : ram_address := "00000111";
constant J_ADDR : ram_address := "00001000";
constant ONE_ADDR : ram_address := "00001001";
constant ZERO_ADDR : ram_address := "00001010";
constant TEMP_1_ADDR : ram_address := "00001011";
constant TEMP_2_ADDR : ram_address := "00001100";
constant TEMP_3_ADDR : ram_address := "00001101";
constant Z_ADDR : ram_address := "11111111";
--
-- Represents the set of instructions as read only (constant) memory.
--
constant ROM : ROM_type := (
ADD_OP & ZERO_ADDR & ZERO_ADDR & I_ADDR, -- 00000000
ADD_OP & ZERO_ADDR & ONE_ADDR & J_ADDR, -- 00000001
SUB_OP & I_ADDR_MAX & I_ADDR & TEMP_1_ADDR, -- 00000010
JZ_OP & "00010011" & Z_ADDR & Z_ADDR, -- 00000011
ADD_OP & ONE_ADDR & I_ADDR & J_ADDR, -- 00000100
SUB_OP & J_ADDR_MAX & J_ADDR & TEMP_1_ADDR, -- 00000101
JZ_OP & "00010000" & Z_ADDR & Z_ADDR, -- 00000110
LOAD_FROM_INEDEX_TO_ADDR_OP & I_ADDR & Z_ADDR & TEMP_1_ADDR, -- 00000111
LOAD_FROM_INEDEX_TO_ADDR_OP & J_ADDR & Z_ADDR & TEMP_2_ADDR, -- 00001000
SUB_OP & TEMP_2_ADDR & TEMP_1_ADDR & TEMP_3_ADDR, -- 00001001
JNSB_OP & "00001101" & Z_ADDR & Z_ADDR, -- 00001010
LOAD_FROM_ADDR_TO_INDEX_OP & TEMP_1_ADDR & J_ADDR & Z_ADDR, -- 00001011
LOAD_FROM_ADDR_TO_INDEX_OP & TEMP_2_ADDR & I_ADDR & Z_ADDR, -- 00001100
ADD_OP & J_ADDR & ONE_ADDR & J_ADDR, -- 00001101
ADD_OP & ZERO_ADDR & ZERO_ADDR & ZERO_ADDR, -- 00001110
JZ_OP & "00000101" & Z_ADDR & Z_ADDR, -- 00001111
ADD_OP & I_ADDR & ONE_ADDR & I_ADDR, -- 00010000
ADD_OP & ZERO_ADDR & ZERO_ADDR & ZERO_ADDR, -- 00010001
JZ_OP & "00000010" & Z_ADDR & Z_ADDR, -- 00010010
others => HALT_OP & "00000000" & "00000000" & "00000000"
);
signal data : instruction;
begin
--
-- Move instruction to the output by specified address
--
data <= ROM(CONV_INTEGER(address));
TRISTATE_BUFFERS : process(read_enable, data)
begin
if (read_enable = '1') then
data_output <= data;
else
data_output <= (others => 'Z');
end if;
end process;
end MicroROM_Behaviour;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc534.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s03b00x00p04n02i00534ent IS
END c03s03b00x00p04n02i00534ent;
ARCHITECTURE c03s03b00x00p04n02i00534arch OF c03s03b00x00p04n02i00534ent IS
BEGIN
TESTING: PROCESS
-- The access type we will use.
type ACT is access BIT;
-- Declare a variable of this type. Initialize it.
variable VAR : ACT := NEW BIT'( '0' );
BEGIN
-- Attempt to assign a value to it.
VAR.all := '1';
assert NOT( VAR.all = '1' )
report "***PASSED TEST: c03s03b00x00p04n02i00534"
severity NOTE;
assert ( VAR.all = '1' )
report "***FAILED TEST: c03s03b00x00p04n02i00534 - Object designated by an access value is always an object of class variable test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s03b00x00p04n02i00534arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc534.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s03b00x00p04n02i00534ent IS
END c03s03b00x00p04n02i00534ent;
ARCHITECTURE c03s03b00x00p04n02i00534arch OF c03s03b00x00p04n02i00534ent IS
BEGIN
TESTING: PROCESS
-- The access type we will use.
type ACT is access BIT;
-- Declare a variable of this type. Initialize it.
variable VAR : ACT := NEW BIT'( '0' );
BEGIN
-- Attempt to assign a value to it.
VAR.all := '1';
assert NOT( VAR.all = '1' )
report "***PASSED TEST: c03s03b00x00p04n02i00534"
severity NOTE;
assert ( VAR.all = '1' )
report "***FAILED TEST: c03s03b00x00p04n02i00534 - Object designated by an access value is always an object of class variable test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s03b00x00p04n02i00534arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc534.vhd,v 1.2 2001-10-26 16:29:56 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s03b00x00p04n02i00534ent IS
END c03s03b00x00p04n02i00534ent;
ARCHITECTURE c03s03b00x00p04n02i00534arch OF c03s03b00x00p04n02i00534ent IS
BEGIN
TESTING: PROCESS
-- The access type we will use.
type ACT is access BIT;
-- Declare a variable of this type. Initialize it.
variable VAR : ACT := NEW BIT'( '0' );
BEGIN
-- Attempt to assign a value to it.
VAR.all := '1';
assert NOT( VAR.all = '1' )
report "***PASSED TEST: c03s03b00x00p04n02i00534"
severity NOTE;
assert ( VAR.all = '1' )
report "***FAILED TEST: c03s03b00x00p04n02i00534 - Object designated by an access value is always an object of class variable test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s03b00x00p04n02i00534arch;
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_axilite;
use bitvis_vip_axilite.transaction_pkg.all;
use bitvis_vip_axilite.vvc_methods_pkg.all;
use bitvis_vip_axilite.td_vvc_framework_common_methods_pkg.all;
use bitvis_vip_axilite.axilite_bfm_pkg.t_axilite_if;
use bitvis_vip_axilite.axilite_bfm_pkg.t_axilite_bfm_config;
use bitvis_vip_axilite.axilite_bfm_pkg.C_AXILITE_BFM_CONFIG_DEFAULT;
end context; |
----------------------------------------------------------------------------------
-- Company: LARC - Escola Politecnica - University of Sao Paulo
-- Engineer: Pedro Maat C. Massolino
--
-- Create Date: 05/12/2012
-- Design Name: McEliece_QD-Goppa_Decrypt_v3
-- Module Name: McEliece_QD-Goppa_Decrypt_v3
-- Project Name: McEliece Goppa Decryption
-- Target Devices: Any
-- Tool versions: Xilinx ISE 13.3 WebPack
--
-- Description:
--
-- This circuit implements McEliece decryption algorithm fo binary Goppa codes.
-- The circuit is divided into 3 phases : Syndrome computation, Solving Key Equation and
-- Finding Roots.
-- Each circuits waits for the next one to begin computation. All circuits share some
-- input and output memories, therefore is not possible to make a pipeline of this 3 phases.
-- First circuit, polynomial_syndrome_computing_n_v2, computes the syndrome from the ciphertext
-- and private keys, support L and polynomial g(x) (In this case g(L)^-1).
-- Second circuit, solving_key_equation_4, computes polynomial sigma through
-- the syndrome computed by first circuit.
-- Third circuit, polynomial_syndrome_computing_n_v2, find the roots of polynomial sigma
-- and correct respective errors in the ciphertext and obtains plaintext array.
-- Inversion circuit, inv_gf_2_m_pipeline, is only used during solving_key_equation_4.
-- This circuit was made outside of solving_key_equation_4 so it can be used by other circuits.
--
-- The circuits parameters
--
-- number_of_polynomial_evaluator_syndrome_pipelines :
--
-- The number of pipelines in polynomial_syndrome_computing_n_v2 circuit.
-- This number can be 1 or greater.
--
-- polynomial_evaluator_syndrome_pipeline_size :
--
-- This is the number of stages on polynomial_syndrome_computing_n_v2 circuit.
-- This number can be 2 or greater.
--
-- polynomial_evaluator_syndrome_size_pipeline_size :
--
-- The number of bits necessary to hold the number of stages on the pipeline.
-- This is ceil(log2(polynomial_evaluator_syndrome_pipeline_size))
--
-- gf_2_m :
--
-- The size of the finite field extension used in this circuit.
-- This values depends of the Goppa code used.
--
-- length_codeword :
--
-- The length of the codeword in this Goppa code.
-- This values depends of the Goppa code used.
--
-- size_codeword :
--
-- The number of bits necessary to store an array of codeword lengths.
-- This is ceil(log2(length_codeword))
--
-- number_of_errors :
--
-- The number of errors the Goppa code is able to decode.
-- This values depends of the Goppa code used.
--
-- size_number_of_errors :
--
-- The number of bits necessary to store an array of number of errors + 1 length.
-- This is ceil(log2(number_of_errors + 1))
--
--
-- Dependencies:
-- VHDL-93
-- IEEE.NUMERIC_STD_ALL;
--
-- polynomial_syndrome_computing_n_v2 Rev 1.0
-- solving_key_equation_4 Rev 1.0
-- inv_gf_2_m_pipeline Rev 1.0
-- register_rst_nbits Rev 1.0
--
-- Revision:
-- Revision 1.0
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity mceliece_qd_goppa_decrypt_v3 is
Generic(
-- GOPPA [2048, 1751, 27, 11] --
-- number_of_polynomial_evaluator_syndrome_pipelines : integer := 4;
-- polynomial_evaluator_syndrome_pipeline_size : integer := 28;
-- polynomial_evaluator_syndrome_size_pipeline_size : integer := 5;
-- gf_2_m : integer range 1 to 20 := 11;
-- length_codeword : integer := 2048;
-- size_codeword : integer := 11;
-- number_of_errors : integer := 27;
-- size_number_of_errors : integer := 5
-- GOPPA [2048, 1498, 50, 11] --
-- number_of_polynomial_evaluator_syndrome_pipelines : integer := 1;
-- polynomial_evaluator_syndrome_pipeline_size : integer := 2;
-- polynomial_evaluator_syndrome_size_pipeline_size : integer := 2;
-- gf_2_m : integer range 1 to 20 := 11;
-- length_codeword : integer := 2048;
-- size_codeword : integer := 11;
-- number_of_errors : integer := 50;
-- size_number_of_errors : integer := 6
-- GOPPA [3307, 2515, 66, 12] --
-- number_of_polynomial_evaluator_syndrome_pipelines : integer := 1;
-- polynomial_evaluator_syndrome_pipeline_size : integer := 2;
-- polynomial_evaluator_syndrome_size_pipeline_size : integer := 2;
-- gf_2_m : integer range 1 to 20 := 12;
-- length_codeword : integer := 3307;
-- size_codeword : integer := 12;
-- number_of_errors : integer := 66;
-- size_number_of_errors : integer := 7
-- QD-GOPPA [2528, 2144, 32, 12] --
number_of_polynomial_evaluator_syndrome_pipelines : integer := 1;
polynomial_evaluator_syndrome_pipeline_size : integer := 2;
polynomial_evaluator_syndrome_size_pipeline_size : integer := 2;
gf_2_m : integer range 1 to 20 := 12;
length_codeword : integer := 2528;
size_codeword : integer := 12;
number_of_errors : integer := 32;
size_number_of_errors : integer := 6
-- QD-GOPPA [2816, 2048, 64, 12] --
-- number_of_polynomial_evaluator_syndrome_pipelines : integer := 1;
-- polynomial_evaluator_syndrome_pipeline_size : integer := 2;
-- polynomial_evaluator_syndrome_size_pipeline_size : integer := 2;
-- gf_2_m : integer range 1 to 20 := 12;
-- length_codeword : integer := 2816;
-- size_codeword : integer := 12;
-- number_of_errors : integer := 64;
-- size_number_of_errors : integer := 7
-- QD-GOPPA [3328, 2560, 64, 12] --
-- number_of_polynomial_evaluator_syndrome_pipelines : integer := 1;
-- polynomial_evaluator_syndrome_pipeline_size : integer := 2;
-- polynomial_evaluator_syndrome_size_pipeline_size : integer := 2;
-- gf_2_m : integer range 1 to 20 := 12;
-- length_codeword : integer := 3328;
-- size_codeword : integer := 12;
-- number_of_errors : integer := 64;
-- size_number_of_errors : integer := 7
-- QD-GOPPA [7296, 5632, 128, 13] --
-- number_of_polynomial_evaluator_syndrome_pipelines : integer := 4;
-- polynomial_evaluator_syndrome_pipeline_size : integer := 7;
-- polynomial_evaluator_syndrome_size_pipeline_size : integer := 3;
-- gf_2_m : integer range 1 to 20 := 13;
-- length_codeword : integer := 7296;
-- size_codeword : integer := 13;
-- number_of_errors : integer := 128;
-- size_number_of_errors : integer := 8
);
Port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
value_h : in STD_LOGIC_VECTOR(((number_of_polynomial_evaluator_syndrome_pipelines)*(gf_2_m) - 1) downto 0);
value_L : in STD_LOGIC_VECTOR(((number_of_polynomial_evaluator_syndrome_pipelines)*(gf_2_m) - 1) downto 0);
value_syndrome : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_codeword : in STD_LOGIC_VECTOR((number_of_polynomial_evaluator_syndrome_pipelines - 1) downto 0);
value_G : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_B : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_sigma : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_sigma_evaluated : in STD_LOGIC_VECTOR(((number_of_polynomial_evaluator_syndrome_pipelines)*(gf_2_m) - 1) downto 0);
syndrome_generation_finalized : out STD_LOGIC;
key_equation_finalized : out STD_LOGIC;
decryption_finalized : out STD_LOGIC;
address_value_h : out STD_LOGIC_VECTOR(((size_codeword) - 1) downto 0);
address_value_L : out STD_LOGIC_VECTOR(((size_codeword) - 1) downto 0);
address_value_syndrome : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_value_codeword : out STD_LOGIC_VECTOR(((size_codeword) - 1) downto 0);
address_value_G : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_value_B : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_value_sigma : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_value_sigma_evaluated : out STD_LOGIC_VECTOR(((size_codeword) - 1) downto 0);
new_value_syndrome : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_G : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_B : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_sigma : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_message : out STD_LOGIC_VECTOR((number_of_polynomial_evaluator_syndrome_pipelines - 1) downto 0);
new_value_error : out STD_LOGIC_VECTOR((number_of_polynomial_evaluator_syndrome_pipelines - 1) downto 0);
new_value_sigma_evaluated : out STD_LOGIC_VECTOR(((number_of_polynomial_evaluator_syndrome_pipelines)*(gf_2_m) - 1) downto 0);
write_enable_new_value_syndrome : out STD_LOGIC;
write_enable_new_value_G : out STD_LOGIC;
write_enable_new_value_B : out STD_LOGIC;
write_enable_new_value_sigma : out STD_LOGIC;
write_enable_new_value_message : out STD_LOGIC;
write_enable_new_value_error : out STD_LOGIC;
write_enable_new_value_sigma_evaluated : out STD_LOGIC;
address_new_value_syndrome : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_new_value_G : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_new_value_B : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_new_value_sigma : out STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
address_new_value_message : out STD_LOGIC_VECTOR(((size_codeword) - 1) downto 0);
address_new_value_error : out STD_LOGIC_VECTOR(((size_codeword) - 1) downto 0);
address_new_value_sigma_evaluated : out STD_LOGIC_VECTOR(((size_codeword) - 1) downto 0)
);
end mceliece_qd_goppa_decrypt_v3;
architecture Behavioral of mceliece_qd_goppa_decrypt_v3 is
component polynomial_syndrome_computing_n_v2
Generic (
number_of_pipelines : integer := 1;
pipeline_size : integer := 2;
size_pipeline_size : integer := 2;
gf_2_m : integer range 1 to 20 := 13;
number_of_errors : integer := 128;
size_number_of_errors : integer := 8;
number_of_support_elements: integer := 7296;
size_number_of_support_elements : integer := 13
);
Port(
value_x : in STD_LOGIC_VECTOR(((gf_2_m)*(number_of_pipelines) - 1) downto 0);
value_acc : in STD_LOGIC_VECTOR(((gf_2_m)*(number_of_pipelines) - 1) downto 0);
value_polynomial : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_message : in STD_LOGIC_VECTOR((number_of_pipelines - 1) downto 0);
value_h : in STD_LOGIC_VECTOR(((gf_2_m)*(number_of_pipelines) - 1) downto 0);
mode_polynomial_syndrome : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
computation_finalized : out STD_LOGIC;
address_value_polynomial : out STD_LOGIC_VECTOR((size_number_of_errors - 1) downto 0);
address_value_x : out STD_LOGIC_VECTOR((size_number_of_support_elements - 1) downto 0);
address_value_acc : out STD_LOGIC_VECTOR((size_number_of_support_elements - 1) downto 0);
address_value_message : out STD_LOGIC_VECTOR((size_number_of_support_elements - 1) downto 0);
address_new_value_message : out STD_LOGIC_VECTOR((size_number_of_support_elements - 1) downto 0);
address_new_value_acc : out STD_LOGIC_VECTOR((size_number_of_support_elements - 1) downto 0);
address_new_value_syndrome : out STD_LOGIC_VECTOR((size_number_of_errors) downto 0);
address_value_error : out STD_LOGIC_VECTOR((size_number_of_support_elements - 1) downto 0);
write_enable_new_value_acc : out STD_LOGIC;
write_enable_new_value_syndrome : out STD_LOGIC;
write_enable_new_value_message : out STD_LOGIC;
write_enable_value_error : out STD_LOGIC;
new_value_syndrome : out STD_LOGIC_VECTOR(((gf_2_m) - 1) downto 0);
new_value_acc : out STD_LOGIC_VECTOR(((gf_2_m)*(number_of_pipelines) - 1) downto 0);
new_value_message : out STD_LOGIC_VECTOR((number_of_pipelines - 1) downto 0);
value_error : out STD_LOGIC_VECTOR((number_of_pipelines - 1) downto 0)
);
end component;
component solving_key_equation_4
Generic(
gf_2_m : integer range 1 to 20;
final_degree : integer;
size_final_degree : integer
);
Port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
ready_inv : in STD_LOGIC;
value_F : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_G : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_B : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_C : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_inv : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal_inv : out STD_LOGIC;
key_equation_found : out STD_LOGIC;
write_enable_F : out STD_LOGIC;
write_enable_G : out STD_LOGIC;
write_enable_B : out STD_LOGIC;
write_enable_C : out STD_LOGIC;
new_value_inv : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_F : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_B : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_G : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_C : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
address_value_F : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_value_G : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_value_B : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_value_C : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_F : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_G : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_B : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_C : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0)
);
end component;
component inv_gf_2_m_pipeline
Generic(gf_2_m : integer range 1 to 20 := 13);
Port(
a : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
flag : in STD_LOGIC;
clk : in STD_LOGIC;
oflag : out STD_LOGIC;
o : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0)
);
end component;
component register_rst_nbits
Generic(size : integer);
Port(
d : in STD_LOGIC_VECTOR((size - 1) downto 0);
clk : in STD_LOGIC;
ce : in STD_LOGIC;
rst : in STD_LOGIC;
rst_value : in STD_LOGIC_VECTOR((size - 1) downto 0);
q : out STD_LOGIC_VECTOR((size - 1) downto 0)
);
end component;
signal polynomial_evaluator_syndrome_value_x : STD_LOGIC_VECTOR(((gf_2_m)*(number_of_polynomial_evaluator_syndrome_pipelines) - 1) downto 0);
signal polynomial_evaluator_syndrome_value_acc : STD_LOGIC_VECTOR(((gf_2_m)*(number_of_polynomial_evaluator_syndrome_pipelines) - 1) downto 0);
signal polynomial_evaluator_syndrome_value_polynomial : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal polynomial_evaluator_syndrome_value_message : STD_LOGIC_VECTOR((number_of_polynomial_evaluator_syndrome_pipelines - 1) downto 0);
signal polynomial_evaluator_syndrome_value_h : STD_LOGIC_VECTOR(((gf_2_m)*(number_of_polynomial_evaluator_syndrome_pipelines) - 1) downto 0);
signal polynomial_evaluator_syndrome_mode_polynomial_syndrome : STD_LOGIC;
signal polynomial_evaluator_syndrome_rst : STD_LOGIC;
signal polynomial_evaluator_syndrome_computation_finalized : STD_LOGIC;
signal polynomial_evaluator_syndrome_address_value_polynomial : STD_LOGIC_VECTOR((size_number_of_errors - 1) downto 0);
signal polynomial_evaluator_syndrome_address_value_x : STD_LOGIC_VECTOR((size_codeword - 1) downto 0);
signal polynomial_evaluator_syndrome_address_value_acc : STD_LOGIC_VECTOR((size_codeword - 1) downto 0);
signal polynomial_evaluator_syndrome_address_value_message : STD_LOGIC_VECTOR((size_codeword - 1) downto 0);
signal polynomial_evaluator_syndrome_address_new_value_message : STD_LOGIC_VECTOR((size_codeword - 1) downto 0);
signal polynomial_evaluator_syndrome_address_new_value_acc : STD_LOGIC_VECTOR((size_codeword - 1) downto 0);
signal polynomial_evaluator_syndrome_address_new_value_syndrome : STD_LOGIC_VECTOR((size_number_of_errors) downto 0);
signal polynomial_evaluator_syndrome_address_value_error : STD_LOGIC_VECTOR((size_codeword - 1) downto 0);
signal polynomial_evaluator_syndrome_write_enable_new_value_acc : STD_LOGIC;
signal polynomial_evaluator_syndrome_write_enable_new_value_syndrome : STD_LOGIC;
signal polynomial_evaluator_syndrome_write_enable_new_value_message : STD_LOGIC;
signal polynomial_evaluator_syndrome_write_enable_value_error : STD_LOGIC;
signal polynomial_evaluator_syndrome_new_value_syndrome : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal polynomial_evaluator_syndrome_new_value_acc : STD_LOGIC_VECTOR(((gf_2_m)*(number_of_polynomial_evaluator_syndrome_pipelines) - 1) downto 0);
signal polynomial_evaluator_syndrome_new_value_message : STD_LOGIC_VECTOR((number_of_polynomial_evaluator_syndrome_pipelines - 1) downto 0);
signal polynomial_evaluator_syndrome_value_error : STD_LOGIC_VECTOR((number_of_polynomial_evaluator_syndrome_pipelines - 1) downto 0);
signal syndrome_finalized : STD_LOGIC;
signal solving_key_equation_rst : STD_LOGIC;
signal solving_key_equation_value_F : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_value_G : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_value_B : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_value_C : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_key_equation_found : STD_LOGIC;
signal solving_key_equation_write_enable_F : STD_LOGIC;
signal solving_key_equation_write_enable_G : STD_LOGIC;
signal solving_key_equation_write_enable_B : STD_LOGIC;
signal solving_key_equation_write_enable_C : STD_LOGIC;
signal solving_key_equation_new_value_F : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_new_value_B : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_new_value_G : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_new_value_C : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal solving_key_equation_address_value_F : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal solving_key_equation_address_value_G : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal solving_key_equation_address_value_B : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal solving_key_equation_address_value_C : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal solving_key_equation_address_new_value_F : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal solving_key_equation_address_new_value_G : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal solving_key_equation_address_new_value_B : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal solving_key_equation_address_new_value_C : STD_LOGIC_VECTOR((size_number_of_errors + 1) downto 0);
signal inv_a : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal inv_flag : STD_LOGIC;
signal inv_oflag : STD_LOGIC;
signal inv_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
begin
polynomial_evaluator_syndrome : polynomial_syndrome_computing_n_v2
Generic Map(
number_of_pipelines => number_of_polynomial_evaluator_syndrome_pipelines,
pipeline_size => polynomial_evaluator_syndrome_pipeline_size,
size_pipeline_size => polynomial_evaluator_syndrome_size_pipeline_size,
gf_2_m => gf_2_m,
number_of_errors => number_of_errors,
size_number_of_errors => size_number_of_errors,
number_of_support_elements => length_codeword,
size_number_of_support_elements => size_codeword
)
Port Map(
value_x => polynomial_evaluator_syndrome_value_x,
value_acc => polynomial_evaluator_syndrome_value_acc,
value_polynomial => polynomial_evaluator_syndrome_value_polynomial,
value_message => polynomial_evaluator_syndrome_value_message,
value_h => polynomial_evaluator_syndrome_value_h,
mode_polynomial_syndrome => polynomial_evaluator_syndrome_mode_polynomial_syndrome,
clk => clk,
rst => polynomial_evaluator_syndrome_rst,
computation_finalized => polynomial_evaluator_syndrome_computation_finalized,
address_value_polynomial => polynomial_evaluator_syndrome_address_value_polynomial,
address_value_x => polynomial_evaluator_syndrome_address_value_x,
address_value_acc => polynomial_evaluator_syndrome_address_value_acc,
address_value_message => polynomial_evaluator_syndrome_address_value_message,
address_new_value_message => polynomial_evaluator_syndrome_address_new_value_message,
address_new_value_acc => polynomial_evaluator_syndrome_address_new_value_acc,
address_new_value_syndrome => polynomial_evaluator_syndrome_address_new_value_syndrome,
address_value_error => polynomial_evaluator_syndrome_address_value_error,
write_enable_new_value_acc => polynomial_evaluator_syndrome_write_enable_new_value_acc,
write_enable_new_value_syndrome => polynomial_evaluator_syndrome_write_enable_new_value_syndrome,
write_enable_new_value_message => polynomial_evaluator_syndrome_write_enable_new_value_message,
write_enable_value_error => polynomial_evaluator_syndrome_write_enable_value_error,
new_value_syndrome => polynomial_evaluator_syndrome_new_value_syndrome,
new_value_acc => polynomial_evaluator_syndrome_new_value_acc,
new_value_message => polynomial_evaluator_syndrome_new_value_message,
value_error => polynomial_evaluator_syndrome_value_error
);
solving_key_equation : solving_key_equation_4
Generic Map(
gf_2_m => gf_2_m,
final_degree => number_of_errors,
size_final_degree => size_number_of_errors
)
Port Map(
clk => clk,
rst => solving_key_equation_rst,
ready_inv => inv_oflag,
value_F => solving_key_equation_value_F,
value_G => solving_key_equation_value_G,
value_B => solving_key_equation_value_B,
value_C => solving_key_equation_value_C,
value_inv => inv_o,
signal_inv => inv_flag,
key_equation_found => solving_key_equation_key_equation_found,
write_enable_F => solving_key_equation_write_enable_F,
write_enable_G => solving_key_equation_write_enable_G,
write_enable_B => solving_key_equation_write_enable_B,
write_enable_C => solving_key_equation_write_enable_C,
new_value_inv => inv_a,
new_value_F => solving_key_equation_new_value_F,
new_value_B => solving_key_equation_new_value_B,
new_value_G => solving_key_equation_new_value_G,
new_value_C => solving_key_equation_new_value_C,
address_value_F => solving_key_equation_address_value_F,
address_value_G => solving_key_equation_address_value_G,
address_value_B => solving_key_equation_address_value_B,
address_value_C => solving_key_equation_address_value_C,
address_new_value_F => solving_key_equation_address_new_value_F,
address_new_value_G => solving_key_equation_address_new_value_G,
address_new_value_B => solving_key_equation_address_new_value_B,
address_new_value_C => solving_key_equation_address_new_value_C
);
inverter : inv_gf_2_m_pipeline
Generic Map(
gf_2_m => gf_2_m
)
Port Map(
a => inv_a,
flag => inv_flag,
clk => clk,
oflag => inv_oflag,
o => inv_o
);
reg_syndrome_finalized : register_rst_nbits
Generic Map(
size => 1
)
Port Map(
d => "1",
clk => clk,
ce => polynomial_evaluator_syndrome_computation_finalized,
rst => rst,
rst_value => "0",
q(0) => syndrome_finalized
);
polynomial_evaluator_syndrome_value_x <= value_L;
polynomial_evaluator_syndrome_value_acc <= value_sigma_evaluated;
polynomial_evaluator_syndrome_value_polynomial <= value_sigma;
polynomial_evaluator_syndrome_value_message <= value_codeword;
polynomial_evaluator_syndrome_value_h <= value_h;
polynomial_evaluator_syndrome_mode_polynomial_syndrome <= not syndrome_finalized;
polynomial_evaluator_syndrome_rst <= ( (rst) or (syndrome_finalized and (not solving_key_equation_key_equation_found)));
solving_key_equation_rst <= not syndrome_finalized;
solving_key_equation_value_F <= value_syndrome;
solving_key_equation_value_G <= value_G;
solving_key_equation_value_B <= value_B;
solving_key_equation_value_C <= value_sigma;
syndrome_generation_finalized <= syndrome_finalized or polynomial_evaluator_syndrome_computation_finalized;
key_equation_finalized <= solving_key_equation_key_equation_found;
decryption_finalized <= polynomial_evaluator_syndrome_computation_finalized and solving_key_equation_key_equation_found;
address_value_h <= polynomial_evaluator_syndrome_address_value_acc;
address_value_L <= polynomial_evaluator_syndrome_address_value_x;
address_value_syndrome <= solving_key_equation_address_value_F when syndrome_finalized = '1' else
"0" & polynomial_evaluator_syndrome_address_new_value_syndrome;
address_value_codeword <= polynomial_evaluator_syndrome_address_value_message;
address_value_G <= solving_key_equation_address_value_G;
address_value_B <= solving_key_equation_address_value_B;
address_value_sigma <= "00" & polynomial_evaluator_syndrome_address_value_polynomial when solving_key_equation_key_equation_found = '1' else
solving_key_equation_address_value_C;
address_value_sigma_evaluated <= polynomial_evaluator_syndrome_address_value_acc;
new_value_syndrome <= solving_key_equation_new_value_F when syndrome_finalized = '1' else
polynomial_evaluator_syndrome_new_value_syndrome;
new_value_G <= solving_key_equation_new_value_G;
new_value_B <= solving_key_equation_new_value_B;
new_value_sigma <= solving_key_equation_new_value_C;
new_value_message <= polynomial_evaluator_syndrome_new_value_message;
new_value_error <= polynomial_evaluator_syndrome_value_error;
new_value_sigma_evaluated <= polynomial_evaluator_syndrome_new_value_acc;
write_enable_new_value_syndrome <= solving_key_equation_write_enable_F when syndrome_finalized = '1' else
polynomial_evaluator_syndrome_write_enable_new_value_syndrome;
write_enable_new_value_G <= solving_key_equation_write_enable_G;
write_enable_new_value_B <= solving_key_equation_write_enable_B;
write_enable_new_value_sigma <= solving_key_equation_write_enable_C;
write_enable_new_value_message <= polynomial_evaluator_syndrome_write_enable_new_value_message;
write_enable_new_value_error <= polynomial_evaluator_syndrome_write_enable_value_error;
write_enable_new_value_sigma_evaluated <= polynomial_evaluator_syndrome_write_enable_new_value_acc;
address_new_value_syndrome <= solving_key_equation_address_new_value_F when syndrome_finalized = '1' else
"0" & polynomial_evaluator_syndrome_address_new_value_syndrome;
address_new_value_G <= solving_key_equation_address_new_value_G;
address_new_value_B <= solving_key_equation_address_new_value_B;
address_new_value_sigma <= solving_key_equation_address_new_value_C;
address_new_value_message <= polynomial_evaluator_syndrome_address_new_value_message;
address_new_value_error <= polynomial_evaluator_syndrome_address_value_error;
address_new_value_sigma_evaluated <= polynomial_evaluator_syndrome_address_new_value_acc;
end Behavioral; |
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-02 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_01300_good.vhd
-- File Creation date : 2015-04-02
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Number of ports declaration per line: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.pkg_HBK.all;
--CODE
entity STD_01300_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_D : in std_logic; -- D Flip-Flop input signal
o_Q : out std_logic -- D Flip-Flop output signal
);
end STD_01300_good;
architecture Behavioral of STD_01300_good is
begin
DFlipFlop1 : DFlipFlop
port map (
i_Clock => i_Clock,
i_Reset_n => i_Reset_n,
i_D => i_D,
o_Q => o_Q,
o_Q_n => open
);
end Behavioral;
--CODE
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LmB2OGtD/MUWym8c3/CzUyxlW4ZMVAsupVaBcTyLxyM0/89HmprwA8hlp2Y/rQpE3N9fZNQXSA+X
ZqISdj146w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fJTMKgKZO1DX/ZwxDYepkSAzQc/Ji1fckJbsf3Qn9Bqnv8T8/AMuzCbgeiGqykzsUTBaCpleYRId
o00tFDLxwL7HJkjx9wAkTm7GlIpJVjLslO8m1WDzcl3H7wdseZq3qTLozgzKgue+KWCaiheflRmK
2J8PZiKt/GTI16FwNFI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mZ+AnlWS3FyJxZdureUnDnfd56BkUNwjlAI0XTynR5nK9/YlkVYJ7kt6YI52BQ0Z8ztdU0pXg//4
96thbCxng/bweB1Hf43brE3z5GuxFK+jbCmvTLbnBHJ7dgVzmVnutz4XLXkslsdUupBoF0Sys5b7
Ctj0qIvXQaXgb3A8mYSLqLwqHDvfLAzni5c7ggajTL1+bwZYHux4QRE+SYuf7OQ32TqNPlF+ddrv
FeZ4Ueih1kBCc2qxcoIkoilV0GWDyZEtN65WP+9ZepJXkUac8M7tBXdu8sZrb/0MYk0yxTsrAPT+
IRys6bOMr7Vumk3QD2EUgSnTkSZ9NUeX69Znnw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JwnYEXPTxcDkLoHHE/78wu1JEPKpjJQLmE7VXM+1TYP9ndqmNaiA08oTCBk4oQ0GLfY9uHB2KreV
Arrit4USgj4LNv4TCDkhrSdOfZ54GXv9HUuWz9wa2FtcaVJAkRC2eNlFyPJHNC9dQe5YNa/3koSO
VKsohczepyYGWujZ5+U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G4cP/XUhUPq9NLosZVvrPuWpuK3BcTHfhnrJwXdfutwIk8EoZAfYn7hCTJQ469Pq9kIVbio/59UK
owR2ie/zhM4snoQ7m3XW9L1H3ODJLf/h+IYZKTVMCrJWxhSJaK034kF3cEIl917iZF7fHMTN85CX
xAmAWYxPd5YC3QUX99jy3mMv4rayHaHgoZ6G/sj2G21/Ew7oy1Eq+P6r7wvkS3C3Cmo6TKZ91D6m
Qu96UUNwZ0H9K9yXXQ6g0VLPmiK2IQynCorwR8hqWu1ymDLkzvfPRW68AsRmZp7nLD7mEowlQtwr
Vvequa/gYbuqj7gUatyOk2ciuUUeCCh69Pr/ZQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LmB2OGtD/MUWym8c3/CzUyxlW4ZMVAsupVaBcTyLxyM0/89HmprwA8hlp2Y/rQpE3N9fZNQXSA+X
ZqISdj146w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fJTMKgKZO1DX/ZwxDYepkSAzQc/Ji1fckJbsf3Qn9Bqnv8T8/AMuzCbgeiGqykzsUTBaCpleYRId
o00tFDLxwL7HJkjx9wAkTm7GlIpJVjLslO8m1WDzcl3H7wdseZq3qTLozgzKgue+KWCaiheflRmK
2J8PZiKt/GTI16FwNFI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mZ+AnlWS3FyJxZdureUnDnfd56BkUNwjlAI0XTynR5nK9/YlkVYJ7kt6YI52BQ0Z8ztdU0pXg//4
96thbCxng/bweB1Hf43brE3z5GuxFK+jbCmvTLbnBHJ7dgVzmVnutz4XLXkslsdUupBoF0Sys5b7
Ctj0qIvXQaXgb3A8mYSLqLwqHDvfLAzni5c7ggajTL1+bwZYHux4QRE+SYuf7OQ32TqNPlF+ddrv
FeZ4Ueih1kBCc2qxcoIkoilV0GWDyZEtN65WP+9ZepJXkUac8M7tBXdu8sZrb/0MYk0yxTsrAPT+
IRys6bOMr7Vumk3QD2EUgSnTkSZ9NUeX69Znnw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JwnYEXPTxcDkLoHHE/78wu1JEPKpjJQLmE7VXM+1TYP9ndqmNaiA08oTCBk4oQ0GLfY9uHB2KreV
Arrit4USgj4LNv4TCDkhrSdOfZ54GXv9HUuWz9wa2FtcaVJAkRC2eNlFyPJHNC9dQe5YNa/3koSO
VKsohczepyYGWujZ5+U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G4cP/XUhUPq9NLosZVvrPuWpuK3BcTHfhnrJwXdfutwIk8EoZAfYn7hCTJQ469Pq9kIVbio/59UK
owR2ie/zhM4snoQ7m3XW9L1H3ODJLf/h+IYZKTVMCrJWxhSJaK034kF3cEIl917iZF7fHMTN85CX
xAmAWYxPd5YC3QUX99jy3mMv4rayHaHgoZ6G/sj2G21/Ew7oy1Eq+P6r7wvkS3C3Cmo6TKZ91D6m
Qu96UUNwZ0H9K9yXXQ6g0VLPmiK2IQynCorwR8hqWu1ymDLkzvfPRW68AsRmZp7nLD7mEowlQtwr
Vvequa/gYbuqj7gUatyOk2ciuUUeCCh69Pr/ZQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LmB2OGtD/MUWym8c3/CzUyxlW4ZMVAsupVaBcTyLxyM0/89HmprwA8hlp2Y/rQpE3N9fZNQXSA+X
ZqISdj146w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fJTMKgKZO1DX/ZwxDYepkSAzQc/Ji1fckJbsf3Qn9Bqnv8T8/AMuzCbgeiGqykzsUTBaCpleYRId
o00tFDLxwL7HJkjx9wAkTm7GlIpJVjLslO8m1WDzcl3H7wdseZq3qTLozgzKgue+KWCaiheflRmK
2J8PZiKt/GTI16FwNFI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mZ+AnlWS3FyJxZdureUnDnfd56BkUNwjlAI0XTynR5nK9/YlkVYJ7kt6YI52BQ0Z8ztdU0pXg//4
96thbCxng/bweB1Hf43brE3z5GuxFK+jbCmvTLbnBHJ7dgVzmVnutz4XLXkslsdUupBoF0Sys5b7
Ctj0qIvXQaXgb3A8mYSLqLwqHDvfLAzni5c7ggajTL1+bwZYHux4QRE+SYuf7OQ32TqNPlF+ddrv
FeZ4Ueih1kBCc2qxcoIkoilV0GWDyZEtN65WP+9ZepJXkUac8M7tBXdu8sZrb/0MYk0yxTsrAPT+
IRys6bOMr7Vumk3QD2EUgSnTkSZ9NUeX69Znnw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JwnYEXPTxcDkLoHHE/78wu1JEPKpjJQLmE7VXM+1TYP9ndqmNaiA08oTCBk4oQ0GLfY9uHB2KreV
Arrit4USgj4LNv4TCDkhrSdOfZ54GXv9HUuWz9wa2FtcaVJAkRC2eNlFyPJHNC9dQe5YNa/3koSO
VKsohczepyYGWujZ5+U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G4cP/XUhUPq9NLosZVvrPuWpuK3BcTHfhnrJwXdfutwIk8EoZAfYn7hCTJQ469Pq9kIVbio/59UK
owR2ie/zhM4snoQ7m3XW9L1H3ODJLf/h+IYZKTVMCrJWxhSJaK034kF3cEIl917iZF7fHMTN85CX
xAmAWYxPd5YC3QUX99jy3mMv4rayHaHgoZ6G/sj2G21/Ew7oy1Eq+P6r7wvkS3C3Cmo6TKZ91D6m
Qu96UUNwZ0H9K9yXXQ6g0VLPmiK2IQynCorwR8hqWu1ymDLkzvfPRW68AsRmZp7nLD7mEowlQtwr
Vvequa/gYbuqj7gUatyOk2ciuUUeCCh69Pr/ZQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472)
`protect data_block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`protect end_protected
|
-------------------------------------------------------------------------------
--
-- T8048 Microcontroller System
--
-- $Id: t8048-c.vhd,v 1.2 2004-12-01 23:09:47 arniml Exp $
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-------------------------------------------------------------------------------
configuration t8048_struct_c0 of t8048 is
for struct
for t8048_notri_b : t8048_notri
use configuration work.t8048_notri_struct_c0;
end for;
end for;
end t8048_struct_c0;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: CHAR_ROM_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY CHAR_ROM_tb IS
END ENTITY;
ARCHITECTURE CHAR_ROM_tb_ARCH OF CHAR_ROM_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
CHAR_ROM_synth_inst:ENTITY work.CHAR_ROM_synth
GENERIC MAP (C_ROM_SYNTH => 0)
PORT MAP(
CLK_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc815.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b00x00p05n01i00815ent IS
END c01s02b00x00p05n01i00815ent;
ARCHITECTURE c01s02b00x00p05n01i00815arch OF c01s02b00x00p05n01i00815ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b00x00p05n01i00815 - Simple name at the end of architecture does not repeat the identifier of the architecure."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s02b00x00p05n01i00815;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc815.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b00x00p05n01i00815ent IS
END c01s02b00x00p05n01i00815ent;
ARCHITECTURE c01s02b00x00p05n01i00815arch OF c01s02b00x00p05n01i00815ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b00x00p05n01i00815 - Simple name at the end of architecture does not repeat the identifier of the architecure."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s02b00x00p05n01i00815;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc815.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s02b00x00p05n01i00815ent IS
END c01s02b00x00p05n01i00815ent;
ARCHITECTURE c01s02b00x00p05n01i00815arch OF c01s02b00x00p05n01i00815ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s02b00x00p05n01i00815 - Simple name at the end of architecture does not repeat the identifier of the architecure."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s02b00x00p05n01i00815;
|
-- Copyright (c) 2016 Federico Madotto and Coline Doebelin
-- federico.madotto (at) gmail.com
-- coline.doebelin (at) gmail.com
-- https://github.com/fmadotto/DS_bitcoin_miner
-- fsm.vhd is part of DS_bitcoin_miner.
-- DS_bitcoin_miner is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- DS_bitcoin_miner is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all; -- std_logic
use ieee.std_logic_arith.all; -- signed/unsigned, conv_integer(), conv_std_logic_vector(signal, no. bit)
use ieee.numeric_std.all; -- to_integer()
entity fsm is
port (
clk : in std_ulogic; -- clock
rstn : in std_ulogic; -- asynchronous active low reset
start : in std_ulogic; -- start signal
exp_sel1 : out std_ulogic; -- select signal for exp_mux1
com_sel1 : out std_ulogic; -- select signal for com_mux1
M_j_memory_rcs_n : out std_ulogic; -- read chip select: when asserted low, memory can be read
M_j_memory_r_addr : out std_ulogic_vector(3 downto 0); -- address
reg_H_minus_1_en : out std_ulogic; -- enable signal for the H(i-1) registers
reg_H_minus_1_sel : out std_ulogic; -- select signal for the H(i-1) registers
K_j_init : out std_ulogic; -- init signal for the K_j constants feeder
done : out std_ulogic -- done signal
);
end entity fsm;
architecture behav of fsm is
type fsm_state_t is (idle, active);
type fsm_state_r is
record
fsm_state: fsm_state_t; -- FSM state
counter: natural range 0 to 67; -- counter
end record;
signal present_state, next_state: fsm_state_r;
begin
state_reg : process (clk, rstn)
begin
if rstn = '0' then
present_state <= (fsm_state => idle, counter => 0);
elsif clk'event and clk = '1' then
present_state.fsm_state <= next_state.fsm_state;
present_state.counter <= next_state.counter;
end if;
end process;
next_state_logic : process (present_state, start)
begin
next_state <= (fsm_state => idle, counter => 0);
case present_state.fsm_state is
when idle =>
next_state.counter <= 0;
if start = '1' then -- if the start signal is asserted we start the sequence
next_state.fsm_state <= active;
else
next_state.fsm_state <= idle;
end if;
when active =>
next_state <= present_state;
if present_state.counter = 67 then -- if we reached the end of the sequence we come back at idle
next_state.fsm_state <= idle;
next_state.counter <= 0;
else
next_state.counter <= present_state.counter + 1; -- otherwise we increment the counter
end if;
end case;
end process;
output_logic : process (present_state)
begin
case present_state.fsm_state is
when idle =>
exp_sel1 <= '0';
com_sel1 <= '1';
M_j_memory_rcs_n <= '1';
M_j_memory_r_addr <= (others => 'Z');
reg_H_minus_1_en <= '0';
reg_H_minus_1_sel <= '0';
K_j_init <= '0';
done <= '0';
when active =>
if present_state.counter >= 0 and present_state.counter <= 15 then
M_j_memory_r_addr <= std_ulogic_vector(to_unsigned(present_state.counter, 4));
if present_state.counter = 0 then
M_j_memory_rcs_n <= '0';
reg_H_minus_1_en <= '1';
K_j_init <= '1';
elsif present_state.counter = 1 then
com_sel1 <= '0';
reg_H_minus_1_en <= '0';
elsif present_state.counter = 2 then
K_j_init <= '0';
else -- do nothing
null;
end if;
elsif present_state.counter = 16 then
M_j_memory_rcs_n <= '1';
M_j_memory_r_addr <= (others => 'Z');
exp_sel1 <= '1';
elsif present_state.counter = 66 then
reg_H_minus_1_en <= '1';
reg_H_minus_1_sel <= '1';
elsif present_state.counter = 67 then
reg_H_minus_1_en <= '0';
reg_H_minus_1_sel <= '0';
done <= '1';
else -- do nothing
null;
end if;
end case;
end process;
end architecture behav; |
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_arith.all;
-- Helper component to map My IO to FPGA IO-s
entity PORTER is
Port (
JA_P: in std_logic_vector(7 downto 0); --JA port input
JB_P: in std_logic_vector(7 downto 0); --JB port input
JC_P: in std_logic_vector(7 downto 0); --JC port input
-- JD_P: in std_logic_vector(7 downto 0); --JD port input
LED_P: out std_logic_vector(7 downto 0); --LEDs
a : out STD_LOGIC_VECTOR (3 downto 0); --4 bit input
b : out STD_LOGIC_VECTOR (3 downto 0); -- 4 bit input
op : out STD_LOGIC_VECTOR (1 downto 0);
o : in STD_LOGIC_VECTOR (3 downto 0));
end PORTER;
architecture design of PORTER is
signal JA_P_sign, JB_P_sign, JC_P_sign, LED_P_sign: signed(7 downto 0);
signal a_sign, b_sign, o_sign: signed(3 downto 0);
signal op_sign: signed(1 downto 0);
begin
JA_P_sign <= signed(JA_P);
JB_P_sign <= signed(JB_P);
JC_P_sign <= signed(JC_P);
-- JD_P_sign <= signed(JD_P);
o_sign <= signed(o);
--Last 4 bits of JA will be my A-in -signal
a_sign <= JA_P_sign(3 downto 0);
--Last 4 bits of JB will be my B-in -signal
b_sign <= JB_P_sign(3 downto 0);
--Last 4 bits of JC will be my op-in -signal
op_sign <= JC_P_sign(1 downto 0);
--Last 4 LED lights will be controlled by o-out -signal
LED_P_sign <= ("0000" & o_sign);
a <= std_logic_vector(a_sign);
b <= std_logic_vector(b_sign);
op <= std_logic_vector(op_sign);
LED_P <= std_logic_vector(LED_P_sign);
end design; |
library verilog;
use verilog.vl_types.all;
entity altera_mult_add is
generic(
extra_latency : integer := 0;
dedicated_multiplier_circuitry: string := "AUTO";
dsp_block_balancing: string := "AUTO";
selected_device_family: string := "Stratix V";
lpm_type : string := "altera_mult_add";
lpm_hint : string := "UNUSED";
width_a : integer := 1;
input_register_a0: string := "UNREGISTERED";
input_aclr_a0 : string := "NONE";
input_source_a0 : string := "DATAA";
input_register_a1: string := "UNREGISTERED";
input_aclr_a1 : string := "NONE";
input_source_a1 : string := "DATAA";
input_register_a2: string := "UNREGISTERED";
input_aclr_a2 : string := "NONE";
input_source_a2 : string := "DATAA";
input_register_a3: string := "UNREGISTERED";
input_aclr_a3 : string := "NONE";
input_source_a3 : string := "DATAA";
input_a0_latency_clock: string := "UNREGISTERED";
input_a0_latency_aclr: string := "NONE";
input_a1_latency_clock: string := "UNREGISTERED";
input_a1_latency_aclr: string := "NONE";
input_a2_latency_clock: string := "UNREGISTERED";
input_a2_latency_aclr: string := "NONE";
input_a3_latency_clock: string := "UNREGISTERED";
input_a3_latency_aclr: string := "NONE";
width_b : integer := 1;
input_register_b0: string := "UNREGISTERED";
input_aclr_b0 : string := "NONE";
input_source_b0 : string := "DATAB";
input_register_b1: string := "UNREGISTERED";
input_aclr_b1 : string := "NONE";
input_source_b1 : string := "DATAB";
input_register_b2: string := "UNREGISTERED";
input_aclr_b2 : string := "NONE";
input_source_b2 : string := "DATAB";
input_register_b3: string := "UNREGISTERED";
input_aclr_b3 : string := "NONE";
input_source_b3 : string := "DATAB";
input_b0_latency_clock: string := "UNREGISTERED";
input_b0_latency_aclr: string := "NONE";
input_b1_latency_clock: string := "UNREGISTERED";
input_b1_latency_aclr: string := "NONE";
input_b2_latency_clock: string := "UNREGISTERED";
input_b2_latency_aclr: string := "NONE";
input_b3_latency_clock: string := "UNREGISTERED";
input_b3_latency_aclr: string := "NONE";
width_c : integer := 1;
input_register_c0: string := "UNREGISTERED";
input_aclr_c0 : string := "NONE";
input_register_c1: string := "UNREGISTERED";
input_aclr_c1 : string := "NONE";
input_register_c2: string := "UNREGISTERED";
input_aclr_c2 : string := "NONE";
input_register_c3: string := "UNREGISTERED";
input_aclr_c3 : string := "NONE";
input_c0_latency_clock: string := "UNREGISTERED";
input_c0_latency_aclr: string := "NONE";
input_c1_latency_clock: string := "UNREGISTERED";
input_c1_latency_aclr: string := "NONE";
input_c2_latency_clock: string := "UNREGISTERED";
input_c2_latency_aclr: string := "NONE";
input_c3_latency_clock: string := "UNREGISTERED";
input_c3_latency_aclr: string := "NONE";
width_result : integer := 34;
output_register : string := "UNREGISTERED";
output_aclr : string := "NONE";
port_signa : string := "PORT_UNUSED";
representation_a: string := "UNSIGNED";
signed_register_a: string := "UNREGISTERED";
signed_aclr_a : string := "NONE";
signed_latency_clock_a: string := "UNREGISTERED";
signed_latency_aclr_a: string := "NONE";
port_signb : string := "PORT_UNUSED";
representation_b: string := "UNSIGNED";
signed_register_b: string := "UNREGISTERED";
signed_aclr_b : string := "NONE";
signed_latency_clock_b: string := "UNREGISTERED";
signed_latency_aclr_b: string := "NONE";
number_of_multipliers: integer := 1;
multiplier1_direction: string := "NONE";
multiplier3_direction: string := "NONE";
multiplier_register0: string := "UNREGISTERED";
multiplier_aclr0: string := "NONE";
multiplier_register1: string := "UNREGISTERED";
multiplier_aclr1: string := "NONE";
multiplier_register2: string := "UNREGISTERED";
multiplier_aclr2: string := "NONE";
multiplier_register3: string := "UNREGISTERED";
multiplier_aclr3: string := "NONE";
port_addnsub1 : string := "PORT_UNUSED";
addnsub_multiplier_register1: string := "UNREGISTERED";
addnsub_multiplier_aclr1: string := "NONE";
addnsub_multiplier_latency_clock1: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr1: string := "NONE";
port_addnsub3 : string := "PORT_UNUSED";
addnsub_multiplier_register3: string := "UNREGISTERED";
addnsub_multiplier_aclr3: string := "NONE";
addnsub_multiplier_latency_clock3: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr3: string := "NONE";
adder1_rounding : string := "NO";
addnsub1_round_register: string := "UNREGISTERED";
addnsub1_round_aclr: string := "NONE";
adder3_rounding : string := "NO";
addnsub3_round_register: string := "UNREGISTERED";
addnsub3_round_aclr: string := "NONE";
multiplier01_rounding: string := "NO";
mult01_round_register: string := "UNREGISTERED";
mult01_round_aclr: string := "NONE";
multiplier23_rounding: string := "NO";
mult23_round_register: string := "UNREGISTERED";
mult23_round_aclr: string := "NONE";
width_msb : integer := 17;
output_rounding : string := "NO";
output_round_type: string := "NEAREST_INTEGER";
output_round_register: string := "UNREGISTERED";
output_round_aclr: string := "NONE";
chainout_rounding: string := "NO";
chainout_round_register: string := "UNREGISTERED";
chainout_round_aclr: string := "NONE";
chainout_round_output_register: string := "UNREGISTERED";
chainout_round_output_aclr: string := "NONE";
multiplier01_saturation: string := "NO";
mult01_saturation_register: string := "UNREGISTERED";
mult01_saturation_aclr: string := "NONE";
multiplier23_saturation: string := "NO";
mult23_saturation_register: string := "UNREGISTERED";
mult23_saturation_aclr: string := "NONE";
port_mult0_is_saturated: string := "NONE";
port_mult1_is_saturated: string := "NONE";
port_mult2_is_saturated: string := "NONE";
port_mult3_is_saturated: string := "NONE";
width_saturate_sign: integer := 1;
output_saturation: string := "NO";
port_output_is_overflow: string := "PORT_UNUSED";
output_saturate_type: string := "ASYMMETRIC";
output_saturate_register: string := "UNREGISTERED";
output_saturate_aclr: string := "NONE";
chainout_saturation: string := "NO";
port_chainout_sat_is_overflow: string := "PORT_UNUSED";
chainout_saturate_register: string := "UNREGISTERED";
chainout_saturate_aclr: string := "NONE";
chainout_saturate_output_register: string := "UNREGISTERED";
chainout_saturate_output_aclr: string := "NONE";
scanouta_register: string := "UNREGISTERED";
scanouta_aclr : string := "NONE";
width_chainin : integer := 1;
chainout_adder : string := "NO";
chainout_register: string := "UNREGISTERED";
chainout_aclr : string := "NONE";
zero_chainout_output_register: string := "UNREGISTERED";
zero_chainout_output_aclr: string := "NONE";
shift_mode : string := "NO";
rotate_register : string := "UNREGISTERED";
rotate_aclr : string := "NONE";
rotate_output_register: string := "UNREGISTERED";
rotate_output_aclr: string := "NONE";
shift_right_register: string := "UNREGISTERED";
shift_right_aclr: string := "NONE";
shift_right_output_register: string := "UNREGISTERED";
shift_right_output_aclr: string := "NONE";
zero_loopback_register: string := "UNREGISTERED";
zero_loopback_aclr: string := "NONE";
zero_loopback_output_register: string := "UNREGISTERED";
zero_loopback_output_aclr: string := "NONE";
accumulator : string := "NO";
accum_direction : string := "ADD";
loadconst_value : integer := 0;
use_sload_accum_port: string := "NO";
accum_sload_register: string := "UNREGISTERED";
accum_sload_aclr: string := "NONE";
accum_sload_latency_clock: string := "UNREGISTERED";
accum_sload_latency_aclr: string := "NONE";
loadconst_control_register: string := "UNREGISTERED";
loadconst_control_aclr: string := "NONE";
double_accum : string := "NO";
systolic_delay1 : string := "UNREGISTERED";
systolic_delay3 : string := "UNREGISTERED";
systolic_aclr1 : string := "NONE";
systolic_aclr3 : string := "NONE";
preadder_mode : string := "SIMPLE";
preadder_direction_0: string := "ADD";
preadder_direction_1: string := "ADD";
preadder_direction_2: string := "ADD";
preadder_direction_3: string := "ADD";
width_coef : integer := 1;
coefsel0_register: string := "UNREGISTERED";
coefsel0_aclr : string := "NONE";
coefsel1_register: string := "UNREGISTERED";
coefsel1_aclr : string := "NONE";
coefsel2_register: string := "UNREGISTERED";
coefsel2_aclr : string := "NONE";
coefsel3_register: string := "UNREGISTERED";
coefsel3_aclr : string := "NONE";
coef0_0 : integer := 0;
coef0_1 : integer := 0;
coef0_2 : integer := 0;
coef0_3 : integer := 0;
coef0_4 : integer := 0;
coef0_5 : integer := 0;
coef0_6 : integer := 0;
coef0_7 : integer := 0;
coef1_0 : integer := 0;
coef1_1 : integer := 0;
coef1_2 : integer := 0;
coef1_3 : integer := 0;
coef1_4 : integer := 0;
coef1_5 : integer := 0;
coef1_6 : integer := 0;
coef1_7 : integer := 0;
coef2_0 : integer := 0;
coef2_1 : integer := 0;
coef2_2 : integer := 0;
coef2_3 : integer := 0;
coef2_4 : integer := 0;
coef2_5 : integer := 0;
coef2_6 : integer := 0;
coef2_7 : integer := 0;
coef3_0 : integer := 0;
coef3_1 : integer := 0;
coef3_2 : integer := 0;
coef3_3 : integer := 0;
coef3_4 : integer := 0;
coef3_5 : integer := 0;
coef3_6 : integer := 0;
coef3_7 : integer := 0;
coefsel0_latency_clock: string := "UNREGISTERED";
coefsel0_latency_aclr: string := "NONE";
coefsel1_latency_clock: string := "UNREGISTERED";
coefsel1_latency_aclr: string := "NONE";
coefsel2_latency_clock: string := "UNREGISTERED";
coefsel2_latency_aclr: string := "NONE";
coefsel3_latency_clock: string := "UNREGISTERED";
coefsel3_latency_aclr: string := "NONE";
latency : integer := 0;
signed_pipeline_register_a: string := "UNREGISTERED";
signed_pipeline_aclr_a: string := "NONE";
signed_pipeline_register_b: string := "UNREGISTERED";
signed_pipeline_aclr_b: string := "NONE";
addnsub_multiplier_pipeline_register1: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr1: string := "NONE";
addnsub_multiplier_pipeline_register3: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr3: string := "NONE";
addnsub1_round_pipeline_register: string := "UNREGISTERED";
addnsub1_round_pipeline_aclr: string := "NONE";
addnsub3_round_pipeline_register: string := "UNREGISTERED";
addnsub3_round_pipeline_aclr: string := "NONE";
output_round_pipeline_register: string := "UNREGISTERED";
output_round_pipeline_aclr: string := "NONE";
chainout_round_pipeline_register: string := "UNREGISTERED";
chainout_round_pipeline_aclr: string := "NONE";
output_saturate_pipeline_register: string := "UNREGISTERED";
output_saturate_pipeline_aclr: string := "NONE";
chainout_saturate_pipeline_register: string := "UNREGISTERED";
chainout_saturate_pipeline_aclr: string := "NONE";
rotate_pipeline_register: string := "UNREGISTERED";
rotate_pipeline_aclr: string := "NONE";
shift_right_pipeline_register: string := "UNREGISTERED";
shift_right_pipeline_aclr: string := "NONE";
zero_loopback_pipeline_register: string := "UNREGISTERED";
zero_loopback_pipeline_aclr: string := "NONE";
accum_sload_pipeline_register: string := "UNREGISTERED";
accum_sload_pipeline_aclr: string := "NONE";
width_clock_all_wire_msb: integer := 3;
width_aclr_all_wire_msb: integer := 3;
width_ena_all_wire_msb: integer := 3;
width_a_total_msb: vl_notype;
width_a_msb : vl_notype;
width_b_total_msb: vl_notype;
width_b_msb : vl_notype;
width_c_total_msb: vl_notype;
width_c_msb : vl_notype;
width_scanina : vl_notype;
width_scanina_msb: vl_notype;
width_scaninb : vl_notype;
width_scaninb_msb: vl_notype;
width_sourcea_msb: vl_notype;
width_sourceb_msb: vl_notype;
width_scanouta_msb: vl_notype;
width_scanoutb_msb: vl_notype;
width_chainin_msb: vl_notype;
width_result_msb: vl_notype;
width_coef_msb : vl_notype;
dataa_split_ext_require: vl_notype;
dataa_port_sign : vl_notype;
width_a_ext : vl_notype;
width_a_ext_msb : vl_notype;
datab_split_ext_require: vl_notype;
datab_port_sign : vl_notype;
width_b_ext : vl_notype;
width_b_ext_msb : vl_notype;
coef_ext_require: vl_notype;
coef_port_sign : vl_notype;
width_coef_ext : vl_notype;
width_coef_ext_msb: vl_notype;
datac_split_ext_require: vl_notype;
datac_port_sign : vl_notype;
width_c_ext : vl_notype;
width_c_ext_msb : vl_notype;
width_scanchain : vl_notype;
width_scanchain_msb: vl_notype;
scanchain_port_sign: vl_notype;
preadder_representation: vl_notype;
width_preadder_input_a: vl_notype;
width_preadder_input_a_msb: vl_notype;
width_preadder_adder_result: vl_notype;
width_preadder_output_a: vl_notype;
width_preadder_output_a_msb: vl_notype;
width_preadder_output_b: vl_notype;
width_preadder_output_b_msb: vl_notype;
multiplier_input_representation_a: vl_notype;
multiplier_input_representation_b: vl_notype;
width_mult_source_a: vl_notype;
width_mult_source_a_msb: vl_notype;
width_mult_source_b: vl_notype;
width_mult_source_b_msb: vl_notype;
width_mult_result: vl_notype;
width_mult_result_msb: vl_notype;
width_adder_source: vl_notype;
width_adder_source_msb: vl_notype;
width_adder_result: vl_notype;
width_adder_result_msb: vl_notype;
width_chainin_ext: vl_notype;
width_original_result: vl_notype;
width_original_result_msb: vl_notype;
result_ext_width: vl_notype;
width_result_output: vl_notype;
width_result_output_msb: vl_notype
);
port(
dataa : in vl_logic_vector;
datab : in vl_logic_vector;
datac : in vl_logic_vector;
scanina : in vl_logic_vector;
scaninb : in vl_logic_vector;
sourcea : in vl_logic_vector;
sourceb : in vl_logic_vector;
clock3 : in vl_logic;
clock2 : in vl_logic;
clock1 : in vl_logic;
clock0 : in vl_logic;
aclr3 : in vl_logic;
aclr2 : in vl_logic;
aclr1 : in vl_logic;
aclr0 : in vl_logic;
ena3 : in vl_logic;
ena2 : in vl_logic;
ena1 : in vl_logic;
ena0 : in vl_logic;
signa : in vl_logic;
signb : in vl_logic;
addnsub1 : in vl_logic;
addnsub3 : in vl_logic;
result : out vl_logic_vector;
scanouta : out vl_logic_vector;
scanoutb : out vl_logic_vector;
mult01_round : in vl_logic;
mult23_round : in vl_logic;
mult01_saturation: in vl_logic;
mult23_saturation: in vl_logic;
addnsub1_round : in vl_logic;
addnsub3_round : in vl_logic;
mult0_is_saturated: out vl_logic;
mult1_is_saturated: out vl_logic;
mult2_is_saturated: out vl_logic;
mult3_is_saturated: out vl_logic;
output_round : in vl_logic;
chainout_round : in vl_logic;
output_saturate : in vl_logic;
chainout_saturate: in vl_logic;
overflow : out vl_logic;
chainout_sat_overflow: out vl_logic;
chainin : in vl_logic_vector;
zero_chainout : in vl_logic;
rotate : in vl_logic;
shift_right : in vl_logic;
zero_loopback : in vl_logic;
accum_sload : in vl_logic;
sload_accum : in vl_logic;
coefsel0 : in vl_logic_vector(2 downto 0);
coefsel1 : in vl_logic_vector(2 downto 0);
coefsel2 : in vl_logic_vector(2 downto 0);
coefsel3 : in vl_logic_vector(2 downto 0)
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of extra_latency : constant is 1;
attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1;
attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1;
attribute mti_svvh_generic_type of selected_device_family : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of width_a : constant is 1;
attribute mti_svvh_generic_type of input_register_a0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1;
attribute mti_svvh_generic_type of input_source_a0 : constant is 1;
attribute mti_svvh_generic_type of input_register_a1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1;
attribute mti_svvh_generic_type of input_source_a1 : constant is 1;
attribute mti_svvh_generic_type of input_register_a2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1;
attribute mti_svvh_generic_type of input_source_a2 : constant is 1;
attribute mti_svvh_generic_type of input_register_a3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1;
attribute mti_svvh_generic_type of input_source_a3 : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_b : constant is 1;
attribute mti_svvh_generic_type of input_register_b0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1;
attribute mti_svvh_generic_type of input_source_b0 : constant is 1;
attribute mti_svvh_generic_type of input_register_b1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1;
attribute mti_svvh_generic_type of input_source_b1 : constant is 1;
attribute mti_svvh_generic_type of input_register_b2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1;
attribute mti_svvh_generic_type of input_source_b2 : constant is 1;
attribute mti_svvh_generic_type of input_register_b3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1;
attribute mti_svvh_generic_type of input_source_b3 : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_c : constant is 1;
attribute mti_svvh_generic_type of input_register_c0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1;
attribute mti_svvh_generic_type of input_register_c1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1;
attribute mti_svvh_generic_type of input_register_c2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1;
attribute mti_svvh_generic_type of input_register_c3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_result : constant is 1;
attribute mti_svvh_generic_type of output_register : constant is 1;
attribute mti_svvh_generic_type of output_aclr : constant is 1;
attribute mti_svvh_generic_type of port_signa : constant is 1;
attribute mti_svvh_generic_type of representation_a : constant is 1;
attribute mti_svvh_generic_type of signed_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_a : constant is 1;
attribute mti_svvh_generic_type of port_signb : constant is 1;
attribute mti_svvh_generic_type of representation_b : constant is 1;
attribute mti_svvh_generic_type of signed_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_b : constant is 1;
attribute mti_svvh_generic_type of number_of_multipliers : constant is 1;
attribute mti_svvh_generic_type of multiplier1_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier3_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier_register0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1;
attribute mti_svvh_generic_type of adder1_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1;
attribute mti_svvh_generic_type of adder3_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1;
attribute mti_svvh_generic_type of mult01_round_register : constant is 1;
attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1;
attribute mti_svvh_generic_type of mult23_round_register : constant is 1;
attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1;
attribute mti_svvh_generic_type of width_msb : constant is 1;
attribute mti_svvh_generic_type of output_rounding : constant is 1;
attribute mti_svvh_generic_type of output_round_type : constant is 1;
attribute mti_svvh_generic_type of output_round_register : constant is 1;
attribute mti_svvh_generic_type of output_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_rounding : constant is 1;
attribute mti_svvh_generic_type of chainout_round_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1;
attribute mti_svvh_generic_type of width_saturate_sign : constant is 1;
attribute mti_svvh_generic_type of output_saturation : constant is 1;
attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1;
attribute mti_svvh_generic_type of output_saturate_type : constant is 1;
attribute mti_svvh_generic_type of output_saturate_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturation : constant is 1;
attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of scanouta_register : constant is 1;
attribute mti_svvh_generic_type of scanouta_aclr : constant is 1;
attribute mti_svvh_generic_type of width_chainin : constant is 1;
attribute mti_svvh_generic_type of chainout_adder : constant is 1;
attribute mti_svvh_generic_type of chainout_register : constant is 1;
attribute mti_svvh_generic_type of chainout_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_mode : constant is 1;
attribute mti_svvh_generic_type of rotate_register : constant is 1;
attribute mti_svvh_generic_type of rotate_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_output_register : constant is 1;
attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1;
attribute mti_svvh_generic_type of accumulator : constant is 1;
attribute mti_svvh_generic_type of accum_direction : constant is 1;
attribute mti_svvh_generic_type of loadconst_value : constant is 1;
attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1;
attribute mti_svvh_generic_type of accum_sload_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_register : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1;
attribute mti_svvh_generic_type of double_accum : constant is 1;
attribute mti_svvh_generic_type of systolic_delay1 : constant is 1;
attribute mti_svvh_generic_type of systolic_delay3 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1;
attribute mti_svvh_generic_type of preadder_mode : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1;
attribute mti_svvh_generic_type of width_coef : constant is 1;
attribute mti_svvh_generic_type of coefsel0_register : constant is 1;
attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_register : constant is 1;
attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_register : constant is 1;
attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_register : constant is 1;
attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1;
attribute mti_svvh_generic_type of coef0_0 : constant is 1;
attribute mti_svvh_generic_type of coef0_1 : constant is 1;
attribute mti_svvh_generic_type of coef0_2 : constant is 1;
attribute mti_svvh_generic_type of coef0_3 : constant is 1;
attribute mti_svvh_generic_type of coef0_4 : constant is 1;
attribute mti_svvh_generic_type of coef0_5 : constant is 1;
attribute mti_svvh_generic_type of coef0_6 : constant is 1;
attribute mti_svvh_generic_type of coef0_7 : constant is 1;
attribute mti_svvh_generic_type of coef1_0 : constant is 1;
attribute mti_svvh_generic_type of coef1_1 : constant is 1;
attribute mti_svvh_generic_type of coef1_2 : constant is 1;
attribute mti_svvh_generic_type of coef1_3 : constant is 1;
attribute mti_svvh_generic_type of coef1_4 : constant is 1;
attribute mti_svvh_generic_type of coef1_5 : constant is 1;
attribute mti_svvh_generic_type of coef1_6 : constant is 1;
attribute mti_svvh_generic_type of coef1_7 : constant is 1;
attribute mti_svvh_generic_type of coef2_0 : constant is 1;
attribute mti_svvh_generic_type of coef2_1 : constant is 1;
attribute mti_svvh_generic_type of coef2_2 : constant is 1;
attribute mti_svvh_generic_type of coef2_3 : constant is 1;
attribute mti_svvh_generic_type of coef2_4 : constant is 1;
attribute mti_svvh_generic_type of coef2_5 : constant is 1;
attribute mti_svvh_generic_type of coef2_6 : constant is 1;
attribute mti_svvh_generic_type of coef2_7 : constant is 1;
attribute mti_svvh_generic_type of coef3_0 : constant is 1;
attribute mti_svvh_generic_type of coef3_1 : constant is 1;
attribute mti_svvh_generic_type of coef3_2 : constant is 1;
attribute mti_svvh_generic_type of coef3_3 : constant is 1;
attribute mti_svvh_generic_type of coef3_4 : constant is 1;
attribute mti_svvh_generic_type of coef3_5 : constant is 1;
attribute mti_svvh_generic_type of coef3_6 : constant is 1;
attribute mti_svvh_generic_type of coef3_7 : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of latency : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of width_clock_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_aclr_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_ena_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_a_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanina : constant is 3;
attribute mti_svvh_generic_type of width_scanina_msb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourcea_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourceb_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanouta_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanoutb_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_msb : constant is 3;
attribute mti_svvh_generic_type of width_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_coef_msb : constant is 3;
attribute mti_svvh_generic_type of dataa_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of dataa_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_a_ext : constant is 3;
attribute mti_svvh_generic_type of width_a_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datab_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datab_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_b_ext : constant is 3;
attribute mti_svvh_generic_type of width_b_ext_msb : constant is 3;
attribute mti_svvh_generic_type of coef_ext_require : constant is 3;
attribute mti_svvh_generic_type of coef_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datac_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datac_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_c_ext : constant is 3;
attribute mti_svvh_generic_type of width_c_ext_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanchain : constant is 3;
attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3;
attribute mti_svvh_generic_type of scanchain_port_sign : constant is 3;
attribute mti_svvh_generic_type of preadder_representation : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b_msb : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_result : constant is 3;
attribute mti_svvh_generic_type of width_mult_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_source : constant is 3;
attribute mti_svvh_generic_type of width_adder_source_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_adder_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_ext : constant is 3;
attribute mti_svvh_generic_type of width_original_result : constant is 3;
attribute mti_svvh_generic_type of width_original_result_msb : constant is 3;
attribute mti_svvh_generic_type of result_ext_width : constant is 3;
attribute mti_svvh_generic_type of width_result_output : constant is 3;
attribute mti_svvh_generic_type of width_result_output_msb : constant is 3;
end altera_mult_add;
|
library verilog;
use verilog.vl_types.all;
entity altera_mult_add is
generic(
extra_latency : integer := 0;
dedicated_multiplier_circuitry: string := "AUTO";
dsp_block_balancing: string := "AUTO";
selected_device_family: string := "Stratix V";
lpm_type : string := "altera_mult_add";
lpm_hint : string := "UNUSED";
width_a : integer := 1;
input_register_a0: string := "UNREGISTERED";
input_aclr_a0 : string := "NONE";
input_source_a0 : string := "DATAA";
input_register_a1: string := "UNREGISTERED";
input_aclr_a1 : string := "NONE";
input_source_a1 : string := "DATAA";
input_register_a2: string := "UNREGISTERED";
input_aclr_a2 : string := "NONE";
input_source_a2 : string := "DATAA";
input_register_a3: string := "UNREGISTERED";
input_aclr_a3 : string := "NONE";
input_source_a3 : string := "DATAA";
input_a0_latency_clock: string := "UNREGISTERED";
input_a0_latency_aclr: string := "NONE";
input_a1_latency_clock: string := "UNREGISTERED";
input_a1_latency_aclr: string := "NONE";
input_a2_latency_clock: string := "UNREGISTERED";
input_a2_latency_aclr: string := "NONE";
input_a3_latency_clock: string := "UNREGISTERED";
input_a3_latency_aclr: string := "NONE";
width_b : integer := 1;
input_register_b0: string := "UNREGISTERED";
input_aclr_b0 : string := "NONE";
input_source_b0 : string := "DATAB";
input_register_b1: string := "UNREGISTERED";
input_aclr_b1 : string := "NONE";
input_source_b1 : string := "DATAB";
input_register_b2: string := "UNREGISTERED";
input_aclr_b2 : string := "NONE";
input_source_b2 : string := "DATAB";
input_register_b3: string := "UNREGISTERED";
input_aclr_b3 : string := "NONE";
input_source_b3 : string := "DATAB";
input_b0_latency_clock: string := "UNREGISTERED";
input_b0_latency_aclr: string := "NONE";
input_b1_latency_clock: string := "UNREGISTERED";
input_b1_latency_aclr: string := "NONE";
input_b2_latency_clock: string := "UNREGISTERED";
input_b2_latency_aclr: string := "NONE";
input_b3_latency_clock: string := "UNREGISTERED";
input_b3_latency_aclr: string := "NONE";
width_c : integer := 1;
input_register_c0: string := "UNREGISTERED";
input_aclr_c0 : string := "NONE";
input_register_c1: string := "UNREGISTERED";
input_aclr_c1 : string := "NONE";
input_register_c2: string := "UNREGISTERED";
input_aclr_c2 : string := "NONE";
input_register_c3: string := "UNREGISTERED";
input_aclr_c3 : string := "NONE";
input_c0_latency_clock: string := "UNREGISTERED";
input_c0_latency_aclr: string := "NONE";
input_c1_latency_clock: string := "UNREGISTERED";
input_c1_latency_aclr: string := "NONE";
input_c2_latency_clock: string := "UNREGISTERED";
input_c2_latency_aclr: string := "NONE";
input_c3_latency_clock: string := "UNREGISTERED";
input_c3_latency_aclr: string := "NONE";
width_result : integer := 34;
output_register : string := "UNREGISTERED";
output_aclr : string := "NONE";
port_signa : string := "PORT_UNUSED";
representation_a: string := "UNSIGNED";
signed_register_a: string := "UNREGISTERED";
signed_aclr_a : string := "NONE";
signed_latency_clock_a: string := "UNREGISTERED";
signed_latency_aclr_a: string := "NONE";
port_signb : string := "PORT_UNUSED";
representation_b: string := "UNSIGNED";
signed_register_b: string := "UNREGISTERED";
signed_aclr_b : string := "NONE";
signed_latency_clock_b: string := "UNREGISTERED";
signed_latency_aclr_b: string := "NONE";
number_of_multipliers: integer := 1;
multiplier1_direction: string := "NONE";
multiplier3_direction: string := "NONE";
multiplier_register0: string := "UNREGISTERED";
multiplier_aclr0: string := "NONE";
multiplier_register1: string := "UNREGISTERED";
multiplier_aclr1: string := "NONE";
multiplier_register2: string := "UNREGISTERED";
multiplier_aclr2: string := "NONE";
multiplier_register3: string := "UNREGISTERED";
multiplier_aclr3: string := "NONE";
port_addnsub1 : string := "PORT_UNUSED";
addnsub_multiplier_register1: string := "UNREGISTERED";
addnsub_multiplier_aclr1: string := "NONE";
addnsub_multiplier_latency_clock1: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr1: string := "NONE";
port_addnsub3 : string := "PORT_UNUSED";
addnsub_multiplier_register3: string := "UNREGISTERED";
addnsub_multiplier_aclr3: string := "NONE";
addnsub_multiplier_latency_clock3: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr3: string := "NONE";
adder1_rounding : string := "NO";
addnsub1_round_register: string := "UNREGISTERED";
addnsub1_round_aclr: string := "NONE";
adder3_rounding : string := "NO";
addnsub3_round_register: string := "UNREGISTERED";
addnsub3_round_aclr: string := "NONE";
multiplier01_rounding: string := "NO";
mult01_round_register: string := "UNREGISTERED";
mult01_round_aclr: string := "NONE";
multiplier23_rounding: string := "NO";
mult23_round_register: string := "UNREGISTERED";
mult23_round_aclr: string := "NONE";
width_msb : integer := 17;
output_rounding : string := "NO";
output_round_type: string := "NEAREST_INTEGER";
output_round_register: string := "UNREGISTERED";
output_round_aclr: string := "NONE";
chainout_rounding: string := "NO";
chainout_round_register: string := "UNREGISTERED";
chainout_round_aclr: string := "NONE";
chainout_round_output_register: string := "UNREGISTERED";
chainout_round_output_aclr: string := "NONE";
multiplier01_saturation: string := "NO";
mult01_saturation_register: string := "UNREGISTERED";
mult01_saturation_aclr: string := "NONE";
multiplier23_saturation: string := "NO";
mult23_saturation_register: string := "UNREGISTERED";
mult23_saturation_aclr: string := "NONE";
port_mult0_is_saturated: string := "NONE";
port_mult1_is_saturated: string := "NONE";
port_mult2_is_saturated: string := "NONE";
port_mult3_is_saturated: string := "NONE";
width_saturate_sign: integer := 1;
output_saturation: string := "NO";
port_output_is_overflow: string := "PORT_UNUSED";
output_saturate_type: string := "ASYMMETRIC";
output_saturate_register: string := "UNREGISTERED";
output_saturate_aclr: string := "NONE";
chainout_saturation: string := "NO";
port_chainout_sat_is_overflow: string := "PORT_UNUSED";
chainout_saturate_register: string := "UNREGISTERED";
chainout_saturate_aclr: string := "NONE";
chainout_saturate_output_register: string := "UNREGISTERED";
chainout_saturate_output_aclr: string := "NONE";
scanouta_register: string := "UNREGISTERED";
scanouta_aclr : string := "NONE";
width_chainin : integer := 1;
chainout_adder : string := "NO";
chainout_register: string := "UNREGISTERED";
chainout_aclr : string := "NONE";
zero_chainout_output_register: string := "UNREGISTERED";
zero_chainout_output_aclr: string := "NONE";
shift_mode : string := "NO";
rotate_register : string := "UNREGISTERED";
rotate_aclr : string := "NONE";
rotate_output_register: string := "UNREGISTERED";
rotate_output_aclr: string := "NONE";
shift_right_register: string := "UNREGISTERED";
shift_right_aclr: string := "NONE";
shift_right_output_register: string := "UNREGISTERED";
shift_right_output_aclr: string := "NONE";
zero_loopback_register: string := "UNREGISTERED";
zero_loopback_aclr: string := "NONE";
zero_loopback_output_register: string := "UNREGISTERED";
zero_loopback_output_aclr: string := "NONE";
accumulator : string := "NO";
accum_direction : string := "ADD";
loadconst_value : integer := 0;
use_sload_accum_port: string := "NO";
accum_sload_register: string := "UNREGISTERED";
accum_sload_aclr: string := "NONE";
accum_sload_latency_clock: string := "UNREGISTERED";
accum_sload_latency_aclr: string := "NONE";
loadconst_control_register: string := "UNREGISTERED";
loadconst_control_aclr: string := "NONE";
double_accum : string := "NO";
systolic_delay1 : string := "UNREGISTERED";
systolic_delay3 : string := "UNREGISTERED";
systolic_aclr1 : string := "NONE";
systolic_aclr3 : string := "NONE";
preadder_mode : string := "SIMPLE";
preadder_direction_0: string := "ADD";
preadder_direction_1: string := "ADD";
preadder_direction_2: string := "ADD";
preadder_direction_3: string := "ADD";
width_coef : integer := 1;
coefsel0_register: string := "UNREGISTERED";
coefsel0_aclr : string := "NONE";
coefsel1_register: string := "UNREGISTERED";
coefsel1_aclr : string := "NONE";
coefsel2_register: string := "UNREGISTERED";
coefsel2_aclr : string := "NONE";
coefsel3_register: string := "UNREGISTERED";
coefsel3_aclr : string := "NONE";
coef0_0 : integer := 0;
coef0_1 : integer := 0;
coef0_2 : integer := 0;
coef0_3 : integer := 0;
coef0_4 : integer := 0;
coef0_5 : integer := 0;
coef0_6 : integer := 0;
coef0_7 : integer := 0;
coef1_0 : integer := 0;
coef1_1 : integer := 0;
coef1_2 : integer := 0;
coef1_3 : integer := 0;
coef1_4 : integer := 0;
coef1_5 : integer := 0;
coef1_6 : integer := 0;
coef1_7 : integer := 0;
coef2_0 : integer := 0;
coef2_1 : integer := 0;
coef2_2 : integer := 0;
coef2_3 : integer := 0;
coef2_4 : integer := 0;
coef2_5 : integer := 0;
coef2_6 : integer := 0;
coef2_7 : integer := 0;
coef3_0 : integer := 0;
coef3_1 : integer := 0;
coef3_2 : integer := 0;
coef3_3 : integer := 0;
coef3_4 : integer := 0;
coef3_5 : integer := 0;
coef3_6 : integer := 0;
coef3_7 : integer := 0;
coefsel0_latency_clock: string := "UNREGISTERED";
coefsel0_latency_aclr: string := "NONE";
coefsel1_latency_clock: string := "UNREGISTERED";
coefsel1_latency_aclr: string := "NONE";
coefsel2_latency_clock: string := "UNREGISTERED";
coefsel2_latency_aclr: string := "NONE";
coefsel3_latency_clock: string := "UNREGISTERED";
coefsel3_latency_aclr: string := "NONE";
latency : integer := 0;
signed_pipeline_register_a: string := "UNREGISTERED";
signed_pipeline_aclr_a: string := "NONE";
signed_pipeline_register_b: string := "UNREGISTERED";
signed_pipeline_aclr_b: string := "NONE";
addnsub_multiplier_pipeline_register1: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr1: string := "NONE";
addnsub_multiplier_pipeline_register3: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr3: string := "NONE";
addnsub1_round_pipeline_register: string := "UNREGISTERED";
addnsub1_round_pipeline_aclr: string := "NONE";
addnsub3_round_pipeline_register: string := "UNREGISTERED";
addnsub3_round_pipeline_aclr: string := "NONE";
output_round_pipeline_register: string := "UNREGISTERED";
output_round_pipeline_aclr: string := "NONE";
chainout_round_pipeline_register: string := "UNREGISTERED";
chainout_round_pipeline_aclr: string := "NONE";
output_saturate_pipeline_register: string := "UNREGISTERED";
output_saturate_pipeline_aclr: string := "NONE";
chainout_saturate_pipeline_register: string := "UNREGISTERED";
chainout_saturate_pipeline_aclr: string := "NONE";
rotate_pipeline_register: string := "UNREGISTERED";
rotate_pipeline_aclr: string := "NONE";
shift_right_pipeline_register: string := "UNREGISTERED";
shift_right_pipeline_aclr: string := "NONE";
zero_loopback_pipeline_register: string := "UNREGISTERED";
zero_loopback_pipeline_aclr: string := "NONE";
accum_sload_pipeline_register: string := "UNREGISTERED";
accum_sload_pipeline_aclr: string := "NONE";
width_clock_all_wire_msb: integer := 3;
width_aclr_all_wire_msb: integer := 3;
width_ena_all_wire_msb: integer := 3;
width_a_total_msb: vl_notype;
width_a_msb : vl_notype;
width_b_total_msb: vl_notype;
width_b_msb : vl_notype;
width_c_total_msb: vl_notype;
width_c_msb : vl_notype;
width_scanina : vl_notype;
width_scanina_msb: vl_notype;
width_scaninb : vl_notype;
width_scaninb_msb: vl_notype;
width_sourcea_msb: vl_notype;
width_sourceb_msb: vl_notype;
width_scanouta_msb: vl_notype;
width_scanoutb_msb: vl_notype;
width_chainin_msb: vl_notype;
width_result_msb: vl_notype;
width_coef_msb : vl_notype;
dataa_split_ext_require: vl_notype;
dataa_port_sign : vl_notype;
width_a_ext : vl_notype;
width_a_ext_msb : vl_notype;
datab_split_ext_require: vl_notype;
datab_port_sign : vl_notype;
width_b_ext : vl_notype;
width_b_ext_msb : vl_notype;
coef_ext_require: vl_notype;
coef_port_sign : vl_notype;
width_coef_ext : vl_notype;
width_coef_ext_msb: vl_notype;
datac_split_ext_require: vl_notype;
datac_port_sign : vl_notype;
width_c_ext : vl_notype;
width_c_ext_msb : vl_notype;
width_scanchain : vl_notype;
width_scanchain_msb: vl_notype;
scanchain_port_sign: vl_notype;
preadder_representation: vl_notype;
width_preadder_input_a: vl_notype;
width_preadder_input_a_msb: vl_notype;
width_preadder_adder_result: vl_notype;
width_preadder_output_a: vl_notype;
width_preadder_output_a_msb: vl_notype;
width_preadder_output_b: vl_notype;
width_preadder_output_b_msb: vl_notype;
multiplier_input_representation_a: vl_notype;
multiplier_input_representation_b: vl_notype;
width_mult_source_a: vl_notype;
width_mult_source_a_msb: vl_notype;
width_mult_source_b: vl_notype;
width_mult_source_b_msb: vl_notype;
width_mult_result: vl_notype;
width_mult_result_msb: vl_notype;
width_adder_source: vl_notype;
width_adder_source_msb: vl_notype;
width_adder_result: vl_notype;
width_adder_result_msb: vl_notype;
width_chainin_ext: vl_notype;
width_original_result: vl_notype;
width_original_result_msb: vl_notype;
result_ext_width: vl_notype;
width_result_output: vl_notype;
width_result_output_msb: vl_notype
);
port(
dataa : in vl_logic_vector;
datab : in vl_logic_vector;
datac : in vl_logic_vector;
scanina : in vl_logic_vector;
scaninb : in vl_logic_vector;
sourcea : in vl_logic_vector;
sourceb : in vl_logic_vector;
clock3 : in vl_logic;
clock2 : in vl_logic;
clock1 : in vl_logic;
clock0 : in vl_logic;
aclr3 : in vl_logic;
aclr2 : in vl_logic;
aclr1 : in vl_logic;
aclr0 : in vl_logic;
ena3 : in vl_logic;
ena2 : in vl_logic;
ena1 : in vl_logic;
ena0 : in vl_logic;
signa : in vl_logic;
signb : in vl_logic;
addnsub1 : in vl_logic;
addnsub3 : in vl_logic;
result : out vl_logic_vector;
scanouta : out vl_logic_vector;
scanoutb : out vl_logic_vector;
mult01_round : in vl_logic;
mult23_round : in vl_logic;
mult01_saturation: in vl_logic;
mult23_saturation: in vl_logic;
addnsub1_round : in vl_logic;
addnsub3_round : in vl_logic;
mult0_is_saturated: out vl_logic;
mult1_is_saturated: out vl_logic;
mult2_is_saturated: out vl_logic;
mult3_is_saturated: out vl_logic;
output_round : in vl_logic;
chainout_round : in vl_logic;
output_saturate : in vl_logic;
chainout_saturate: in vl_logic;
overflow : out vl_logic;
chainout_sat_overflow: out vl_logic;
chainin : in vl_logic_vector;
zero_chainout : in vl_logic;
rotate : in vl_logic;
shift_right : in vl_logic;
zero_loopback : in vl_logic;
accum_sload : in vl_logic;
sload_accum : in vl_logic;
coefsel0 : in vl_logic_vector(2 downto 0);
coefsel1 : in vl_logic_vector(2 downto 0);
coefsel2 : in vl_logic_vector(2 downto 0);
coefsel3 : in vl_logic_vector(2 downto 0)
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of extra_latency : constant is 1;
attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1;
attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1;
attribute mti_svvh_generic_type of selected_device_family : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of width_a : constant is 1;
attribute mti_svvh_generic_type of input_register_a0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1;
attribute mti_svvh_generic_type of input_source_a0 : constant is 1;
attribute mti_svvh_generic_type of input_register_a1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1;
attribute mti_svvh_generic_type of input_source_a1 : constant is 1;
attribute mti_svvh_generic_type of input_register_a2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1;
attribute mti_svvh_generic_type of input_source_a2 : constant is 1;
attribute mti_svvh_generic_type of input_register_a3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1;
attribute mti_svvh_generic_type of input_source_a3 : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_b : constant is 1;
attribute mti_svvh_generic_type of input_register_b0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1;
attribute mti_svvh_generic_type of input_source_b0 : constant is 1;
attribute mti_svvh_generic_type of input_register_b1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1;
attribute mti_svvh_generic_type of input_source_b1 : constant is 1;
attribute mti_svvh_generic_type of input_register_b2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1;
attribute mti_svvh_generic_type of input_source_b2 : constant is 1;
attribute mti_svvh_generic_type of input_register_b3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1;
attribute mti_svvh_generic_type of input_source_b3 : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_c : constant is 1;
attribute mti_svvh_generic_type of input_register_c0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1;
attribute mti_svvh_generic_type of input_register_c1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1;
attribute mti_svvh_generic_type of input_register_c2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1;
attribute mti_svvh_generic_type of input_register_c3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_result : constant is 1;
attribute mti_svvh_generic_type of output_register : constant is 1;
attribute mti_svvh_generic_type of output_aclr : constant is 1;
attribute mti_svvh_generic_type of port_signa : constant is 1;
attribute mti_svvh_generic_type of representation_a : constant is 1;
attribute mti_svvh_generic_type of signed_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_a : constant is 1;
attribute mti_svvh_generic_type of port_signb : constant is 1;
attribute mti_svvh_generic_type of representation_b : constant is 1;
attribute mti_svvh_generic_type of signed_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_b : constant is 1;
attribute mti_svvh_generic_type of number_of_multipliers : constant is 1;
attribute mti_svvh_generic_type of multiplier1_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier3_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier_register0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1;
attribute mti_svvh_generic_type of adder1_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1;
attribute mti_svvh_generic_type of adder3_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1;
attribute mti_svvh_generic_type of mult01_round_register : constant is 1;
attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1;
attribute mti_svvh_generic_type of mult23_round_register : constant is 1;
attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1;
attribute mti_svvh_generic_type of width_msb : constant is 1;
attribute mti_svvh_generic_type of output_rounding : constant is 1;
attribute mti_svvh_generic_type of output_round_type : constant is 1;
attribute mti_svvh_generic_type of output_round_register : constant is 1;
attribute mti_svvh_generic_type of output_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_rounding : constant is 1;
attribute mti_svvh_generic_type of chainout_round_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1;
attribute mti_svvh_generic_type of width_saturate_sign : constant is 1;
attribute mti_svvh_generic_type of output_saturation : constant is 1;
attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1;
attribute mti_svvh_generic_type of output_saturate_type : constant is 1;
attribute mti_svvh_generic_type of output_saturate_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturation : constant is 1;
attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of scanouta_register : constant is 1;
attribute mti_svvh_generic_type of scanouta_aclr : constant is 1;
attribute mti_svvh_generic_type of width_chainin : constant is 1;
attribute mti_svvh_generic_type of chainout_adder : constant is 1;
attribute mti_svvh_generic_type of chainout_register : constant is 1;
attribute mti_svvh_generic_type of chainout_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_mode : constant is 1;
attribute mti_svvh_generic_type of rotate_register : constant is 1;
attribute mti_svvh_generic_type of rotate_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_output_register : constant is 1;
attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1;
attribute mti_svvh_generic_type of accumulator : constant is 1;
attribute mti_svvh_generic_type of accum_direction : constant is 1;
attribute mti_svvh_generic_type of loadconst_value : constant is 1;
attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1;
attribute mti_svvh_generic_type of accum_sload_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_register : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1;
attribute mti_svvh_generic_type of double_accum : constant is 1;
attribute mti_svvh_generic_type of systolic_delay1 : constant is 1;
attribute mti_svvh_generic_type of systolic_delay3 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1;
attribute mti_svvh_generic_type of preadder_mode : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1;
attribute mti_svvh_generic_type of width_coef : constant is 1;
attribute mti_svvh_generic_type of coefsel0_register : constant is 1;
attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_register : constant is 1;
attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_register : constant is 1;
attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_register : constant is 1;
attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1;
attribute mti_svvh_generic_type of coef0_0 : constant is 1;
attribute mti_svvh_generic_type of coef0_1 : constant is 1;
attribute mti_svvh_generic_type of coef0_2 : constant is 1;
attribute mti_svvh_generic_type of coef0_3 : constant is 1;
attribute mti_svvh_generic_type of coef0_4 : constant is 1;
attribute mti_svvh_generic_type of coef0_5 : constant is 1;
attribute mti_svvh_generic_type of coef0_6 : constant is 1;
attribute mti_svvh_generic_type of coef0_7 : constant is 1;
attribute mti_svvh_generic_type of coef1_0 : constant is 1;
attribute mti_svvh_generic_type of coef1_1 : constant is 1;
attribute mti_svvh_generic_type of coef1_2 : constant is 1;
attribute mti_svvh_generic_type of coef1_3 : constant is 1;
attribute mti_svvh_generic_type of coef1_4 : constant is 1;
attribute mti_svvh_generic_type of coef1_5 : constant is 1;
attribute mti_svvh_generic_type of coef1_6 : constant is 1;
attribute mti_svvh_generic_type of coef1_7 : constant is 1;
attribute mti_svvh_generic_type of coef2_0 : constant is 1;
attribute mti_svvh_generic_type of coef2_1 : constant is 1;
attribute mti_svvh_generic_type of coef2_2 : constant is 1;
attribute mti_svvh_generic_type of coef2_3 : constant is 1;
attribute mti_svvh_generic_type of coef2_4 : constant is 1;
attribute mti_svvh_generic_type of coef2_5 : constant is 1;
attribute mti_svvh_generic_type of coef2_6 : constant is 1;
attribute mti_svvh_generic_type of coef2_7 : constant is 1;
attribute mti_svvh_generic_type of coef3_0 : constant is 1;
attribute mti_svvh_generic_type of coef3_1 : constant is 1;
attribute mti_svvh_generic_type of coef3_2 : constant is 1;
attribute mti_svvh_generic_type of coef3_3 : constant is 1;
attribute mti_svvh_generic_type of coef3_4 : constant is 1;
attribute mti_svvh_generic_type of coef3_5 : constant is 1;
attribute mti_svvh_generic_type of coef3_6 : constant is 1;
attribute mti_svvh_generic_type of coef3_7 : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of latency : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of width_clock_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_aclr_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_ena_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_a_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanina : constant is 3;
attribute mti_svvh_generic_type of width_scanina_msb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourcea_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourceb_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanouta_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanoutb_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_msb : constant is 3;
attribute mti_svvh_generic_type of width_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_coef_msb : constant is 3;
attribute mti_svvh_generic_type of dataa_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of dataa_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_a_ext : constant is 3;
attribute mti_svvh_generic_type of width_a_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datab_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datab_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_b_ext : constant is 3;
attribute mti_svvh_generic_type of width_b_ext_msb : constant is 3;
attribute mti_svvh_generic_type of coef_ext_require : constant is 3;
attribute mti_svvh_generic_type of coef_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datac_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datac_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_c_ext : constant is 3;
attribute mti_svvh_generic_type of width_c_ext_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanchain : constant is 3;
attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3;
attribute mti_svvh_generic_type of scanchain_port_sign : constant is 3;
attribute mti_svvh_generic_type of preadder_representation : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b_msb : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_result : constant is 3;
attribute mti_svvh_generic_type of width_mult_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_source : constant is 3;
attribute mti_svvh_generic_type of width_adder_source_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_adder_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_ext : constant is 3;
attribute mti_svvh_generic_type of width_original_result : constant is 3;
attribute mti_svvh_generic_type of width_original_result_msb : constant is 3;
attribute mti_svvh_generic_type of result_ext_width : constant is 3;
attribute mti_svvh_generic_type of width_result_output : constant is 3;
attribute mti_svvh_generic_type of width_result_output_msb : constant is 3;
end altera_mult_add;
|
library verilog;
use verilog.vl_types.all;
entity altera_mult_add is
generic(
extra_latency : integer := 0;
dedicated_multiplier_circuitry: string := "AUTO";
dsp_block_balancing: string := "AUTO";
selected_device_family: string := "Stratix V";
lpm_type : string := "altera_mult_add";
lpm_hint : string := "UNUSED";
width_a : integer := 1;
input_register_a0: string := "UNREGISTERED";
input_aclr_a0 : string := "NONE";
input_source_a0 : string := "DATAA";
input_register_a1: string := "UNREGISTERED";
input_aclr_a1 : string := "NONE";
input_source_a1 : string := "DATAA";
input_register_a2: string := "UNREGISTERED";
input_aclr_a2 : string := "NONE";
input_source_a2 : string := "DATAA";
input_register_a3: string := "UNREGISTERED";
input_aclr_a3 : string := "NONE";
input_source_a3 : string := "DATAA";
input_a0_latency_clock: string := "UNREGISTERED";
input_a0_latency_aclr: string := "NONE";
input_a1_latency_clock: string := "UNREGISTERED";
input_a1_latency_aclr: string := "NONE";
input_a2_latency_clock: string := "UNREGISTERED";
input_a2_latency_aclr: string := "NONE";
input_a3_latency_clock: string := "UNREGISTERED";
input_a3_latency_aclr: string := "NONE";
width_b : integer := 1;
input_register_b0: string := "UNREGISTERED";
input_aclr_b0 : string := "NONE";
input_source_b0 : string := "DATAB";
input_register_b1: string := "UNREGISTERED";
input_aclr_b1 : string := "NONE";
input_source_b1 : string := "DATAB";
input_register_b2: string := "UNREGISTERED";
input_aclr_b2 : string := "NONE";
input_source_b2 : string := "DATAB";
input_register_b3: string := "UNREGISTERED";
input_aclr_b3 : string := "NONE";
input_source_b3 : string := "DATAB";
input_b0_latency_clock: string := "UNREGISTERED";
input_b0_latency_aclr: string := "NONE";
input_b1_latency_clock: string := "UNREGISTERED";
input_b1_latency_aclr: string := "NONE";
input_b2_latency_clock: string := "UNREGISTERED";
input_b2_latency_aclr: string := "NONE";
input_b3_latency_clock: string := "UNREGISTERED";
input_b3_latency_aclr: string := "NONE";
width_c : integer := 1;
input_register_c0: string := "UNREGISTERED";
input_aclr_c0 : string := "NONE";
input_register_c1: string := "UNREGISTERED";
input_aclr_c1 : string := "NONE";
input_register_c2: string := "UNREGISTERED";
input_aclr_c2 : string := "NONE";
input_register_c3: string := "UNREGISTERED";
input_aclr_c3 : string := "NONE";
input_c0_latency_clock: string := "UNREGISTERED";
input_c0_latency_aclr: string := "NONE";
input_c1_latency_clock: string := "UNREGISTERED";
input_c1_latency_aclr: string := "NONE";
input_c2_latency_clock: string := "UNREGISTERED";
input_c2_latency_aclr: string := "NONE";
input_c3_latency_clock: string := "UNREGISTERED";
input_c3_latency_aclr: string := "NONE";
width_result : integer := 34;
output_register : string := "UNREGISTERED";
output_aclr : string := "NONE";
port_signa : string := "PORT_UNUSED";
representation_a: string := "UNSIGNED";
signed_register_a: string := "UNREGISTERED";
signed_aclr_a : string := "NONE";
signed_latency_clock_a: string := "UNREGISTERED";
signed_latency_aclr_a: string := "NONE";
port_signb : string := "PORT_UNUSED";
representation_b: string := "UNSIGNED";
signed_register_b: string := "UNREGISTERED";
signed_aclr_b : string := "NONE";
signed_latency_clock_b: string := "UNREGISTERED";
signed_latency_aclr_b: string := "NONE";
number_of_multipliers: integer := 1;
multiplier1_direction: string := "NONE";
multiplier3_direction: string := "NONE";
multiplier_register0: string := "UNREGISTERED";
multiplier_aclr0: string := "NONE";
multiplier_register1: string := "UNREGISTERED";
multiplier_aclr1: string := "NONE";
multiplier_register2: string := "UNREGISTERED";
multiplier_aclr2: string := "NONE";
multiplier_register3: string := "UNREGISTERED";
multiplier_aclr3: string := "NONE";
port_addnsub1 : string := "PORT_UNUSED";
addnsub_multiplier_register1: string := "UNREGISTERED";
addnsub_multiplier_aclr1: string := "NONE";
addnsub_multiplier_latency_clock1: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr1: string := "NONE";
port_addnsub3 : string := "PORT_UNUSED";
addnsub_multiplier_register3: string := "UNREGISTERED";
addnsub_multiplier_aclr3: string := "NONE";
addnsub_multiplier_latency_clock3: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr3: string := "NONE";
adder1_rounding : string := "NO";
addnsub1_round_register: string := "UNREGISTERED";
addnsub1_round_aclr: string := "NONE";
adder3_rounding : string := "NO";
addnsub3_round_register: string := "UNREGISTERED";
addnsub3_round_aclr: string := "NONE";
multiplier01_rounding: string := "NO";
mult01_round_register: string := "UNREGISTERED";
mult01_round_aclr: string := "NONE";
multiplier23_rounding: string := "NO";
mult23_round_register: string := "UNREGISTERED";
mult23_round_aclr: string := "NONE";
width_msb : integer := 17;
output_rounding : string := "NO";
output_round_type: string := "NEAREST_INTEGER";
output_round_register: string := "UNREGISTERED";
output_round_aclr: string := "NONE";
chainout_rounding: string := "NO";
chainout_round_register: string := "UNREGISTERED";
chainout_round_aclr: string := "NONE";
chainout_round_output_register: string := "UNREGISTERED";
chainout_round_output_aclr: string := "NONE";
multiplier01_saturation: string := "NO";
mult01_saturation_register: string := "UNREGISTERED";
mult01_saturation_aclr: string := "NONE";
multiplier23_saturation: string := "NO";
mult23_saturation_register: string := "UNREGISTERED";
mult23_saturation_aclr: string := "NONE";
port_mult0_is_saturated: string := "NONE";
port_mult1_is_saturated: string := "NONE";
port_mult2_is_saturated: string := "NONE";
port_mult3_is_saturated: string := "NONE";
width_saturate_sign: integer := 1;
output_saturation: string := "NO";
port_output_is_overflow: string := "PORT_UNUSED";
output_saturate_type: string := "ASYMMETRIC";
output_saturate_register: string := "UNREGISTERED";
output_saturate_aclr: string := "NONE";
chainout_saturation: string := "NO";
port_chainout_sat_is_overflow: string := "PORT_UNUSED";
chainout_saturate_register: string := "UNREGISTERED";
chainout_saturate_aclr: string := "NONE";
chainout_saturate_output_register: string := "UNREGISTERED";
chainout_saturate_output_aclr: string := "NONE";
scanouta_register: string := "UNREGISTERED";
scanouta_aclr : string := "NONE";
width_chainin : integer := 1;
chainout_adder : string := "NO";
chainout_register: string := "UNREGISTERED";
chainout_aclr : string := "NONE";
zero_chainout_output_register: string := "UNREGISTERED";
zero_chainout_output_aclr: string := "NONE";
shift_mode : string := "NO";
rotate_register : string := "UNREGISTERED";
rotate_aclr : string := "NONE";
rotate_output_register: string := "UNREGISTERED";
rotate_output_aclr: string := "NONE";
shift_right_register: string := "UNREGISTERED";
shift_right_aclr: string := "NONE";
shift_right_output_register: string := "UNREGISTERED";
shift_right_output_aclr: string := "NONE";
zero_loopback_register: string := "UNREGISTERED";
zero_loopback_aclr: string := "NONE";
zero_loopback_output_register: string := "UNREGISTERED";
zero_loopback_output_aclr: string := "NONE";
accumulator : string := "NO";
accum_direction : string := "ADD";
loadconst_value : integer := 0;
use_sload_accum_port: string := "NO";
accum_sload_register: string := "UNREGISTERED";
accum_sload_aclr: string := "NONE";
accum_sload_latency_clock: string := "UNREGISTERED";
accum_sload_latency_aclr: string := "NONE";
loadconst_control_register: string := "UNREGISTERED";
loadconst_control_aclr: string := "NONE";
double_accum : string := "NO";
systolic_delay1 : string := "UNREGISTERED";
systolic_delay3 : string := "UNREGISTERED";
systolic_aclr1 : string := "NONE";
systolic_aclr3 : string := "NONE";
preadder_mode : string := "SIMPLE";
preadder_direction_0: string := "ADD";
preadder_direction_1: string := "ADD";
preadder_direction_2: string := "ADD";
preadder_direction_3: string := "ADD";
width_coef : integer := 1;
coefsel0_register: string := "UNREGISTERED";
coefsel0_aclr : string := "NONE";
coefsel1_register: string := "UNREGISTERED";
coefsel1_aclr : string := "NONE";
coefsel2_register: string := "UNREGISTERED";
coefsel2_aclr : string := "NONE";
coefsel3_register: string := "UNREGISTERED";
coefsel3_aclr : string := "NONE";
coef0_0 : integer := 0;
coef0_1 : integer := 0;
coef0_2 : integer := 0;
coef0_3 : integer := 0;
coef0_4 : integer := 0;
coef0_5 : integer := 0;
coef0_6 : integer := 0;
coef0_7 : integer := 0;
coef1_0 : integer := 0;
coef1_1 : integer := 0;
coef1_2 : integer := 0;
coef1_3 : integer := 0;
coef1_4 : integer := 0;
coef1_5 : integer := 0;
coef1_6 : integer := 0;
coef1_7 : integer := 0;
coef2_0 : integer := 0;
coef2_1 : integer := 0;
coef2_2 : integer := 0;
coef2_3 : integer := 0;
coef2_4 : integer := 0;
coef2_5 : integer := 0;
coef2_6 : integer := 0;
coef2_7 : integer := 0;
coef3_0 : integer := 0;
coef3_1 : integer := 0;
coef3_2 : integer := 0;
coef3_3 : integer := 0;
coef3_4 : integer := 0;
coef3_5 : integer := 0;
coef3_6 : integer := 0;
coef3_7 : integer := 0;
coefsel0_latency_clock: string := "UNREGISTERED";
coefsel0_latency_aclr: string := "NONE";
coefsel1_latency_clock: string := "UNREGISTERED";
coefsel1_latency_aclr: string := "NONE";
coefsel2_latency_clock: string := "UNREGISTERED";
coefsel2_latency_aclr: string := "NONE";
coefsel3_latency_clock: string := "UNREGISTERED";
coefsel3_latency_aclr: string := "NONE";
latency : integer := 0;
signed_pipeline_register_a: string := "UNREGISTERED";
signed_pipeline_aclr_a: string := "NONE";
signed_pipeline_register_b: string := "UNREGISTERED";
signed_pipeline_aclr_b: string := "NONE";
addnsub_multiplier_pipeline_register1: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr1: string := "NONE";
addnsub_multiplier_pipeline_register3: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr3: string := "NONE";
addnsub1_round_pipeline_register: string := "UNREGISTERED";
addnsub1_round_pipeline_aclr: string := "NONE";
addnsub3_round_pipeline_register: string := "UNREGISTERED";
addnsub3_round_pipeline_aclr: string := "NONE";
output_round_pipeline_register: string := "UNREGISTERED";
output_round_pipeline_aclr: string := "NONE";
chainout_round_pipeline_register: string := "UNREGISTERED";
chainout_round_pipeline_aclr: string := "NONE";
output_saturate_pipeline_register: string := "UNREGISTERED";
output_saturate_pipeline_aclr: string := "NONE";
chainout_saturate_pipeline_register: string := "UNREGISTERED";
chainout_saturate_pipeline_aclr: string := "NONE";
rotate_pipeline_register: string := "UNREGISTERED";
rotate_pipeline_aclr: string := "NONE";
shift_right_pipeline_register: string := "UNREGISTERED";
shift_right_pipeline_aclr: string := "NONE";
zero_loopback_pipeline_register: string := "UNREGISTERED";
zero_loopback_pipeline_aclr: string := "NONE";
accum_sload_pipeline_register: string := "UNREGISTERED";
accum_sload_pipeline_aclr: string := "NONE";
width_clock_all_wire_msb: integer := 3;
width_aclr_all_wire_msb: integer := 3;
width_ena_all_wire_msb: integer := 3;
width_a_total_msb: vl_notype;
width_a_msb : vl_notype;
width_b_total_msb: vl_notype;
width_b_msb : vl_notype;
width_c_total_msb: vl_notype;
width_c_msb : vl_notype;
width_scanina : vl_notype;
width_scanina_msb: vl_notype;
width_scaninb : vl_notype;
width_scaninb_msb: vl_notype;
width_sourcea_msb: vl_notype;
width_sourceb_msb: vl_notype;
width_scanouta_msb: vl_notype;
width_scanoutb_msb: vl_notype;
width_chainin_msb: vl_notype;
width_result_msb: vl_notype;
width_coef_msb : vl_notype;
dataa_split_ext_require: vl_notype;
dataa_port_sign : vl_notype;
width_a_ext : vl_notype;
width_a_ext_msb : vl_notype;
datab_split_ext_require: vl_notype;
datab_port_sign : vl_notype;
width_b_ext : vl_notype;
width_b_ext_msb : vl_notype;
coef_ext_require: vl_notype;
coef_port_sign : vl_notype;
width_coef_ext : vl_notype;
width_coef_ext_msb: vl_notype;
datac_split_ext_require: vl_notype;
datac_port_sign : vl_notype;
width_c_ext : vl_notype;
width_c_ext_msb : vl_notype;
width_scanchain : vl_notype;
width_scanchain_msb: vl_notype;
scanchain_port_sign: vl_notype;
preadder_representation: vl_notype;
width_preadder_input_a: vl_notype;
width_preadder_input_a_msb: vl_notype;
width_preadder_adder_result: vl_notype;
width_preadder_output_a: vl_notype;
width_preadder_output_a_msb: vl_notype;
width_preadder_output_b: vl_notype;
width_preadder_output_b_msb: vl_notype;
multiplier_input_representation_a: vl_notype;
multiplier_input_representation_b: vl_notype;
width_mult_source_a: vl_notype;
width_mult_source_a_msb: vl_notype;
width_mult_source_b: vl_notype;
width_mult_source_b_msb: vl_notype;
width_mult_result: vl_notype;
width_mult_result_msb: vl_notype;
width_adder_source: vl_notype;
width_adder_source_msb: vl_notype;
width_adder_result: vl_notype;
width_adder_result_msb: vl_notype;
width_chainin_ext: vl_notype;
width_original_result: vl_notype;
width_original_result_msb: vl_notype;
result_ext_width: vl_notype;
width_result_output: vl_notype;
width_result_output_msb: vl_notype
);
port(
dataa : in vl_logic_vector;
datab : in vl_logic_vector;
datac : in vl_logic_vector;
scanina : in vl_logic_vector;
scaninb : in vl_logic_vector;
sourcea : in vl_logic_vector;
sourceb : in vl_logic_vector;
clock3 : in vl_logic;
clock2 : in vl_logic;
clock1 : in vl_logic;
clock0 : in vl_logic;
aclr3 : in vl_logic;
aclr2 : in vl_logic;
aclr1 : in vl_logic;
aclr0 : in vl_logic;
ena3 : in vl_logic;
ena2 : in vl_logic;
ena1 : in vl_logic;
ena0 : in vl_logic;
signa : in vl_logic;
signb : in vl_logic;
addnsub1 : in vl_logic;
addnsub3 : in vl_logic;
result : out vl_logic_vector;
scanouta : out vl_logic_vector;
scanoutb : out vl_logic_vector;
mult01_round : in vl_logic;
mult23_round : in vl_logic;
mult01_saturation: in vl_logic;
mult23_saturation: in vl_logic;
addnsub1_round : in vl_logic;
addnsub3_round : in vl_logic;
mult0_is_saturated: out vl_logic;
mult1_is_saturated: out vl_logic;
mult2_is_saturated: out vl_logic;
mult3_is_saturated: out vl_logic;
output_round : in vl_logic;
chainout_round : in vl_logic;
output_saturate : in vl_logic;
chainout_saturate: in vl_logic;
overflow : out vl_logic;
chainout_sat_overflow: out vl_logic;
chainin : in vl_logic_vector;
zero_chainout : in vl_logic;
rotate : in vl_logic;
shift_right : in vl_logic;
zero_loopback : in vl_logic;
accum_sload : in vl_logic;
sload_accum : in vl_logic;
coefsel0 : in vl_logic_vector(2 downto 0);
coefsel1 : in vl_logic_vector(2 downto 0);
coefsel2 : in vl_logic_vector(2 downto 0);
coefsel3 : in vl_logic_vector(2 downto 0)
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of extra_latency : constant is 1;
attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1;
attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1;
attribute mti_svvh_generic_type of selected_device_family : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of width_a : constant is 1;
attribute mti_svvh_generic_type of input_register_a0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1;
attribute mti_svvh_generic_type of input_source_a0 : constant is 1;
attribute mti_svvh_generic_type of input_register_a1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1;
attribute mti_svvh_generic_type of input_source_a1 : constant is 1;
attribute mti_svvh_generic_type of input_register_a2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1;
attribute mti_svvh_generic_type of input_source_a2 : constant is 1;
attribute mti_svvh_generic_type of input_register_a3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1;
attribute mti_svvh_generic_type of input_source_a3 : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_b : constant is 1;
attribute mti_svvh_generic_type of input_register_b0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1;
attribute mti_svvh_generic_type of input_source_b0 : constant is 1;
attribute mti_svvh_generic_type of input_register_b1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1;
attribute mti_svvh_generic_type of input_source_b1 : constant is 1;
attribute mti_svvh_generic_type of input_register_b2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1;
attribute mti_svvh_generic_type of input_source_b2 : constant is 1;
attribute mti_svvh_generic_type of input_register_b3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1;
attribute mti_svvh_generic_type of input_source_b3 : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_c : constant is 1;
attribute mti_svvh_generic_type of input_register_c0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1;
attribute mti_svvh_generic_type of input_register_c1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1;
attribute mti_svvh_generic_type of input_register_c2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1;
attribute mti_svvh_generic_type of input_register_c3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_result : constant is 1;
attribute mti_svvh_generic_type of output_register : constant is 1;
attribute mti_svvh_generic_type of output_aclr : constant is 1;
attribute mti_svvh_generic_type of port_signa : constant is 1;
attribute mti_svvh_generic_type of representation_a : constant is 1;
attribute mti_svvh_generic_type of signed_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_a : constant is 1;
attribute mti_svvh_generic_type of port_signb : constant is 1;
attribute mti_svvh_generic_type of representation_b : constant is 1;
attribute mti_svvh_generic_type of signed_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_b : constant is 1;
attribute mti_svvh_generic_type of number_of_multipliers : constant is 1;
attribute mti_svvh_generic_type of multiplier1_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier3_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier_register0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1;
attribute mti_svvh_generic_type of adder1_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1;
attribute mti_svvh_generic_type of adder3_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1;
attribute mti_svvh_generic_type of mult01_round_register : constant is 1;
attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1;
attribute mti_svvh_generic_type of mult23_round_register : constant is 1;
attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1;
attribute mti_svvh_generic_type of width_msb : constant is 1;
attribute mti_svvh_generic_type of output_rounding : constant is 1;
attribute mti_svvh_generic_type of output_round_type : constant is 1;
attribute mti_svvh_generic_type of output_round_register : constant is 1;
attribute mti_svvh_generic_type of output_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_rounding : constant is 1;
attribute mti_svvh_generic_type of chainout_round_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1;
attribute mti_svvh_generic_type of width_saturate_sign : constant is 1;
attribute mti_svvh_generic_type of output_saturation : constant is 1;
attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1;
attribute mti_svvh_generic_type of output_saturate_type : constant is 1;
attribute mti_svvh_generic_type of output_saturate_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturation : constant is 1;
attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of scanouta_register : constant is 1;
attribute mti_svvh_generic_type of scanouta_aclr : constant is 1;
attribute mti_svvh_generic_type of width_chainin : constant is 1;
attribute mti_svvh_generic_type of chainout_adder : constant is 1;
attribute mti_svvh_generic_type of chainout_register : constant is 1;
attribute mti_svvh_generic_type of chainout_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_mode : constant is 1;
attribute mti_svvh_generic_type of rotate_register : constant is 1;
attribute mti_svvh_generic_type of rotate_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_output_register : constant is 1;
attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1;
attribute mti_svvh_generic_type of accumulator : constant is 1;
attribute mti_svvh_generic_type of accum_direction : constant is 1;
attribute mti_svvh_generic_type of loadconst_value : constant is 1;
attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1;
attribute mti_svvh_generic_type of accum_sload_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_register : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1;
attribute mti_svvh_generic_type of double_accum : constant is 1;
attribute mti_svvh_generic_type of systolic_delay1 : constant is 1;
attribute mti_svvh_generic_type of systolic_delay3 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1;
attribute mti_svvh_generic_type of preadder_mode : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1;
attribute mti_svvh_generic_type of width_coef : constant is 1;
attribute mti_svvh_generic_type of coefsel0_register : constant is 1;
attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_register : constant is 1;
attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_register : constant is 1;
attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_register : constant is 1;
attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1;
attribute mti_svvh_generic_type of coef0_0 : constant is 1;
attribute mti_svvh_generic_type of coef0_1 : constant is 1;
attribute mti_svvh_generic_type of coef0_2 : constant is 1;
attribute mti_svvh_generic_type of coef0_3 : constant is 1;
attribute mti_svvh_generic_type of coef0_4 : constant is 1;
attribute mti_svvh_generic_type of coef0_5 : constant is 1;
attribute mti_svvh_generic_type of coef0_6 : constant is 1;
attribute mti_svvh_generic_type of coef0_7 : constant is 1;
attribute mti_svvh_generic_type of coef1_0 : constant is 1;
attribute mti_svvh_generic_type of coef1_1 : constant is 1;
attribute mti_svvh_generic_type of coef1_2 : constant is 1;
attribute mti_svvh_generic_type of coef1_3 : constant is 1;
attribute mti_svvh_generic_type of coef1_4 : constant is 1;
attribute mti_svvh_generic_type of coef1_5 : constant is 1;
attribute mti_svvh_generic_type of coef1_6 : constant is 1;
attribute mti_svvh_generic_type of coef1_7 : constant is 1;
attribute mti_svvh_generic_type of coef2_0 : constant is 1;
attribute mti_svvh_generic_type of coef2_1 : constant is 1;
attribute mti_svvh_generic_type of coef2_2 : constant is 1;
attribute mti_svvh_generic_type of coef2_3 : constant is 1;
attribute mti_svvh_generic_type of coef2_4 : constant is 1;
attribute mti_svvh_generic_type of coef2_5 : constant is 1;
attribute mti_svvh_generic_type of coef2_6 : constant is 1;
attribute mti_svvh_generic_type of coef2_7 : constant is 1;
attribute mti_svvh_generic_type of coef3_0 : constant is 1;
attribute mti_svvh_generic_type of coef3_1 : constant is 1;
attribute mti_svvh_generic_type of coef3_2 : constant is 1;
attribute mti_svvh_generic_type of coef3_3 : constant is 1;
attribute mti_svvh_generic_type of coef3_4 : constant is 1;
attribute mti_svvh_generic_type of coef3_5 : constant is 1;
attribute mti_svvh_generic_type of coef3_6 : constant is 1;
attribute mti_svvh_generic_type of coef3_7 : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of latency : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of width_clock_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_aclr_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_ena_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_a_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanina : constant is 3;
attribute mti_svvh_generic_type of width_scanina_msb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourcea_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourceb_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanouta_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanoutb_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_msb : constant is 3;
attribute mti_svvh_generic_type of width_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_coef_msb : constant is 3;
attribute mti_svvh_generic_type of dataa_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of dataa_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_a_ext : constant is 3;
attribute mti_svvh_generic_type of width_a_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datab_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datab_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_b_ext : constant is 3;
attribute mti_svvh_generic_type of width_b_ext_msb : constant is 3;
attribute mti_svvh_generic_type of coef_ext_require : constant is 3;
attribute mti_svvh_generic_type of coef_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datac_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datac_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_c_ext : constant is 3;
attribute mti_svvh_generic_type of width_c_ext_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanchain : constant is 3;
attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3;
attribute mti_svvh_generic_type of scanchain_port_sign : constant is 3;
attribute mti_svvh_generic_type of preadder_representation : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b_msb : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_result : constant is 3;
attribute mti_svvh_generic_type of width_mult_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_source : constant is 3;
attribute mti_svvh_generic_type of width_adder_source_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_adder_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_ext : constant is 3;
attribute mti_svvh_generic_type of width_original_result : constant is 3;
attribute mti_svvh_generic_type of width_original_result_msb : constant is 3;
attribute mti_svvh_generic_type of result_ext_width : constant is 3;
attribute mti_svvh_generic_type of width_result_output : constant is 3;
attribute mti_svvh_generic_type of width_result_output_msb : constant is 3;
end altera_mult_add;
|
library verilog;
use verilog.vl_types.all;
entity altera_mult_add is
generic(
extra_latency : integer := 0;
dedicated_multiplier_circuitry: string := "AUTO";
dsp_block_balancing: string := "AUTO";
selected_device_family: string := "Stratix V";
lpm_type : string := "altera_mult_add";
lpm_hint : string := "UNUSED";
width_a : integer := 1;
input_register_a0: string := "UNREGISTERED";
input_aclr_a0 : string := "NONE";
input_source_a0 : string := "DATAA";
input_register_a1: string := "UNREGISTERED";
input_aclr_a1 : string := "NONE";
input_source_a1 : string := "DATAA";
input_register_a2: string := "UNREGISTERED";
input_aclr_a2 : string := "NONE";
input_source_a2 : string := "DATAA";
input_register_a3: string := "UNREGISTERED";
input_aclr_a3 : string := "NONE";
input_source_a3 : string := "DATAA";
input_a0_latency_clock: string := "UNREGISTERED";
input_a0_latency_aclr: string := "NONE";
input_a1_latency_clock: string := "UNREGISTERED";
input_a1_latency_aclr: string := "NONE";
input_a2_latency_clock: string := "UNREGISTERED";
input_a2_latency_aclr: string := "NONE";
input_a3_latency_clock: string := "UNREGISTERED";
input_a3_latency_aclr: string := "NONE";
width_b : integer := 1;
input_register_b0: string := "UNREGISTERED";
input_aclr_b0 : string := "NONE";
input_source_b0 : string := "DATAB";
input_register_b1: string := "UNREGISTERED";
input_aclr_b1 : string := "NONE";
input_source_b1 : string := "DATAB";
input_register_b2: string := "UNREGISTERED";
input_aclr_b2 : string := "NONE";
input_source_b2 : string := "DATAB";
input_register_b3: string := "UNREGISTERED";
input_aclr_b3 : string := "NONE";
input_source_b3 : string := "DATAB";
input_b0_latency_clock: string := "UNREGISTERED";
input_b0_latency_aclr: string := "NONE";
input_b1_latency_clock: string := "UNREGISTERED";
input_b1_latency_aclr: string := "NONE";
input_b2_latency_clock: string := "UNREGISTERED";
input_b2_latency_aclr: string := "NONE";
input_b3_latency_clock: string := "UNREGISTERED";
input_b3_latency_aclr: string := "NONE";
width_c : integer := 1;
input_register_c0: string := "UNREGISTERED";
input_aclr_c0 : string := "NONE";
input_register_c1: string := "UNREGISTERED";
input_aclr_c1 : string := "NONE";
input_register_c2: string := "UNREGISTERED";
input_aclr_c2 : string := "NONE";
input_register_c3: string := "UNREGISTERED";
input_aclr_c3 : string := "NONE";
input_c0_latency_clock: string := "UNREGISTERED";
input_c0_latency_aclr: string := "NONE";
input_c1_latency_clock: string := "UNREGISTERED";
input_c1_latency_aclr: string := "NONE";
input_c2_latency_clock: string := "UNREGISTERED";
input_c2_latency_aclr: string := "NONE";
input_c3_latency_clock: string := "UNREGISTERED";
input_c3_latency_aclr: string := "NONE";
width_result : integer := 34;
output_register : string := "UNREGISTERED";
output_aclr : string := "NONE";
port_signa : string := "PORT_UNUSED";
representation_a: string := "UNSIGNED";
signed_register_a: string := "UNREGISTERED";
signed_aclr_a : string := "NONE";
signed_latency_clock_a: string := "UNREGISTERED";
signed_latency_aclr_a: string := "NONE";
port_signb : string := "PORT_UNUSED";
representation_b: string := "UNSIGNED";
signed_register_b: string := "UNREGISTERED";
signed_aclr_b : string := "NONE";
signed_latency_clock_b: string := "UNREGISTERED";
signed_latency_aclr_b: string := "NONE";
number_of_multipliers: integer := 1;
multiplier1_direction: string := "NONE";
multiplier3_direction: string := "NONE";
multiplier_register0: string := "UNREGISTERED";
multiplier_aclr0: string := "NONE";
multiplier_register1: string := "UNREGISTERED";
multiplier_aclr1: string := "NONE";
multiplier_register2: string := "UNREGISTERED";
multiplier_aclr2: string := "NONE";
multiplier_register3: string := "UNREGISTERED";
multiplier_aclr3: string := "NONE";
port_addnsub1 : string := "PORT_UNUSED";
addnsub_multiplier_register1: string := "UNREGISTERED";
addnsub_multiplier_aclr1: string := "NONE";
addnsub_multiplier_latency_clock1: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr1: string := "NONE";
port_addnsub3 : string := "PORT_UNUSED";
addnsub_multiplier_register3: string := "UNREGISTERED";
addnsub_multiplier_aclr3: string := "NONE";
addnsub_multiplier_latency_clock3: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr3: string := "NONE";
adder1_rounding : string := "NO";
addnsub1_round_register: string := "UNREGISTERED";
addnsub1_round_aclr: string := "NONE";
adder3_rounding : string := "NO";
addnsub3_round_register: string := "UNREGISTERED";
addnsub3_round_aclr: string := "NONE";
multiplier01_rounding: string := "NO";
mult01_round_register: string := "UNREGISTERED";
mult01_round_aclr: string := "NONE";
multiplier23_rounding: string := "NO";
mult23_round_register: string := "UNREGISTERED";
mult23_round_aclr: string := "NONE";
width_msb : integer := 17;
output_rounding : string := "NO";
output_round_type: string := "NEAREST_INTEGER";
output_round_register: string := "UNREGISTERED";
output_round_aclr: string := "NONE";
chainout_rounding: string := "NO";
chainout_round_register: string := "UNREGISTERED";
chainout_round_aclr: string := "NONE";
chainout_round_output_register: string := "UNREGISTERED";
chainout_round_output_aclr: string := "NONE";
multiplier01_saturation: string := "NO";
mult01_saturation_register: string := "UNREGISTERED";
mult01_saturation_aclr: string := "NONE";
multiplier23_saturation: string := "NO";
mult23_saturation_register: string := "UNREGISTERED";
mult23_saturation_aclr: string := "NONE";
port_mult0_is_saturated: string := "NONE";
port_mult1_is_saturated: string := "NONE";
port_mult2_is_saturated: string := "NONE";
port_mult3_is_saturated: string := "NONE";
width_saturate_sign: integer := 1;
output_saturation: string := "NO";
port_output_is_overflow: string := "PORT_UNUSED";
output_saturate_type: string := "ASYMMETRIC";
output_saturate_register: string := "UNREGISTERED";
output_saturate_aclr: string := "NONE";
chainout_saturation: string := "NO";
port_chainout_sat_is_overflow: string := "PORT_UNUSED";
chainout_saturate_register: string := "UNREGISTERED";
chainout_saturate_aclr: string := "NONE";
chainout_saturate_output_register: string := "UNREGISTERED";
chainout_saturate_output_aclr: string := "NONE";
scanouta_register: string := "UNREGISTERED";
scanouta_aclr : string := "NONE";
width_chainin : integer := 1;
chainout_adder : string := "NO";
chainout_register: string := "UNREGISTERED";
chainout_aclr : string := "NONE";
zero_chainout_output_register: string := "UNREGISTERED";
zero_chainout_output_aclr: string := "NONE";
shift_mode : string := "NO";
rotate_register : string := "UNREGISTERED";
rotate_aclr : string := "NONE";
rotate_output_register: string := "UNREGISTERED";
rotate_output_aclr: string := "NONE";
shift_right_register: string := "UNREGISTERED";
shift_right_aclr: string := "NONE";
shift_right_output_register: string := "UNREGISTERED";
shift_right_output_aclr: string := "NONE";
zero_loopback_register: string := "UNREGISTERED";
zero_loopback_aclr: string := "NONE";
zero_loopback_output_register: string := "UNREGISTERED";
zero_loopback_output_aclr: string := "NONE";
accumulator : string := "NO";
accum_direction : string := "ADD";
loadconst_value : integer := 0;
use_sload_accum_port: string := "NO";
accum_sload_register: string := "UNREGISTERED";
accum_sload_aclr: string := "NONE";
accum_sload_latency_clock: string := "UNREGISTERED";
accum_sload_latency_aclr: string := "NONE";
loadconst_control_register: string := "UNREGISTERED";
loadconst_control_aclr: string := "NONE";
double_accum : string := "NO";
systolic_delay1 : string := "UNREGISTERED";
systolic_delay3 : string := "UNREGISTERED";
systolic_aclr1 : string := "NONE";
systolic_aclr3 : string := "NONE";
preadder_mode : string := "SIMPLE";
preadder_direction_0: string := "ADD";
preadder_direction_1: string := "ADD";
preadder_direction_2: string := "ADD";
preadder_direction_3: string := "ADD";
width_coef : integer := 1;
coefsel0_register: string := "UNREGISTERED";
coefsel0_aclr : string := "NONE";
coefsel1_register: string := "UNREGISTERED";
coefsel1_aclr : string := "NONE";
coefsel2_register: string := "UNREGISTERED";
coefsel2_aclr : string := "NONE";
coefsel3_register: string := "UNREGISTERED";
coefsel3_aclr : string := "NONE";
coef0_0 : integer := 0;
coef0_1 : integer := 0;
coef0_2 : integer := 0;
coef0_3 : integer := 0;
coef0_4 : integer := 0;
coef0_5 : integer := 0;
coef0_6 : integer := 0;
coef0_7 : integer := 0;
coef1_0 : integer := 0;
coef1_1 : integer := 0;
coef1_2 : integer := 0;
coef1_3 : integer := 0;
coef1_4 : integer := 0;
coef1_5 : integer := 0;
coef1_6 : integer := 0;
coef1_7 : integer := 0;
coef2_0 : integer := 0;
coef2_1 : integer := 0;
coef2_2 : integer := 0;
coef2_3 : integer := 0;
coef2_4 : integer := 0;
coef2_5 : integer := 0;
coef2_6 : integer := 0;
coef2_7 : integer := 0;
coef3_0 : integer := 0;
coef3_1 : integer := 0;
coef3_2 : integer := 0;
coef3_3 : integer := 0;
coef3_4 : integer := 0;
coef3_5 : integer := 0;
coef3_6 : integer := 0;
coef3_7 : integer := 0;
coefsel0_latency_clock: string := "UNREGISTERED";
coefsel0_latency_aclr: string := "NONE";
coefsel1_latency_clock: string := "UNREGISTERED";
coefsel1_latency_aclr: string := "NONE";
coefsel2_latency_clock: string := "UNREGISTERED";
coefsel2_latency_aclr: string := "NONE";
coefsel3_latency_clock: string := "UNREGISTERED";
coefsel3_latency_aclr: string := "NONE";
latency : integer := 0;
signed_pipeline_register_a: string := "UNREGISTERED";
signed_pipeline_aclr_a: string := "NONE";
signed_pipeline_register_b: string := "UNREGISTERED";
signed_pipeline_aclr_b: string := "NONE";
addnsub_multiplier_pipeline_register1: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr1: string := "NONE";
addnsub_multiplier_pipeline_register3: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr3: string := "NONE";
addnsub1_round_pipeline_register: string := "UNREGISTERED";
addnsub1_round_pipeline_aclr: string := "NONE";
addnsub3_round_pipeline_register: string := "UNREGISTERED";
addnsub3_round_pipeline_aclr: string := "NONE";
output_round_pipeline_register: string := "UNREGISTERED";
output_round_pipeline_aclr: string := "NONE";
chainout_round_pipeline_register: string := "UNREGISTERED";
chainout_round_pipeline_aclr: string := "NONE";
output_saturate_pipeline_register: string := "UNREGISTERED";
output_saturate_pipeline_aclr: string := "NONE";
chainout_saturate_pipeline_register: string := "UNREGISTERED";
chainout_saturate_pipeline_aclr: string := "NONE";
rotate_pipeline_register: string := "UNREGISTERED";
rotate_pipeline_aclr: string := "NONE";
shift_right_pipeline_register: string := "UNREGISTERED";
shift_right_pipeline_aclr: string := "NONE";
zero_loopback_pipeline_register: string := "UNREGISTERED";
zero_loopback_pipeline_aclr: string := "NONE";
accum_sload_pipeline_register: string := "UNREGISTERED";
accum_sload_pipeline_aclr: string := "NONE";
width_clock_all_wire_msb: integer := 3;
width_aclr_all_wire_msb: integer := 3;
width_ena_all_wire_msb: integer := 3;
width_a_total_msb: vl_notype;
width_a_msb : vl_notype;
width_b_total_msb: vl_notype;
width_b_msb : vl_notype;
width_c_total_msb: vl_notype;
width_c_msb : vl_notype;
width_scanina : vl_notype;
width_scanina_msb: vl_notype;
width_scaninb : vl_notype;
width_scaninb_msb: vl_notype;
width_sourcea_msb: vl_notype;
width_sourceb_msb: vl_notype;
width_scanouta_msb: vl_notype;
width_scanoutb_msb: vl_notype;
width_chainin_msb: vl_notype;
width_result_msb: vl_notype;
width_coef_msb : vl_notype;
dataa_split_ext_require: vl_notype;
dataa_port_sign : vl_notype;
width_a_ext : vl_notype;
width_a_ext_msb : vl_notype;
datab_split_ext_require: vl_notype;
datab_port_sign : vl_notype;
width_b_ext : vl_notype;
width_b_ext_msb : vl_notype;
coef_ext_require: vl_notype;
coef_port_sign : vl_notype;
width_coef_ext : vl_notype;
width_coef_ext_msb: vl_notype;
datac_split_ext_require: vl_notype;
datac_port_sign : vl_notype;
width_c_ext : vl_notype;
width_c_ext_msb : vl_notype;
width_scanchain : vl_notype;
width_scanchain_msb: vl_notype;
scanchain_port_sign: vl_notype;
preadder_representation: vl_notype;
width_preadder_input_a: vl_notype;
width_preadder_input_a_msb: vl_notype;
width_preadder_adder_result: vl_notype;
width_preadder_output_a: vl_notype;
width_preadder_output_a_msb: vl_notype;
width_preadder_output_b: vl_notype;
width_preadder_output_b_msb: vl_notype;
multiplier_input_representation_a: vl_notype;
multiplier_input_representation_b: vl_notype;
width_mult_source_a: vl_notype;
width_mult_source_a_msb: vl_notype;
width_mult_source_b: vl_notype;
width_mult_source_b_msb: vl_notype;
width_mult_result: vl_notype;
width_mult_result_msb: vl_notype;
width_adder_source: vl_notype;
width_adder_source_msb: vl_notype;
width_adder_result: vl_notype;
width_adder_result_msb: vl_notype;
width_chainin_ext: vl_notype;
width_original_result: vl_notype;
width_original_result_msb: vl_notype;
result_ext_width: vl_notype;
width_result_output: vl_notype;
width_result_output_msb: vl_notype
);
port(
dataa : in vl_logic_vector;
datab : in vl_logic_vector;
datac : in vl_logic_vector;
scanina : in vl_logic_vector;
scaninb : in vl_logic_vector;
sourcea : in vl_logic_vector;
sourceb : in vl_logic_vector;
clock3 : in vl_logic;
clock2 : in vl_logic;
clock1 : in vl_logic;
clock0 : in vl_logic;
aclr3 : in vl_logic;
aclr2 : in vl_logic;
aclr1 : in vl_logic;
aclr0 : in vl_logic;
ena3 : in vl_logic;
ena2 : in vl_logic;
ena1 : in vl_logic;
ena0 : in vl_logic;
signa : in vl_logic;
signb : in vl_logic;
addnsub1 : in vl_logic;
addnsub3 : in vl_logic;
result : out vl_logic_vector;
scanouta : out vl_logic_vector;
scanoutb : out vl_logic_vector;
mult01_round : in vl_logic;
mult23_round : in vl_logic;
mult01_saturation: in vl_logic;
mult23_saturation: in vl_logic;
addnsub1_round : in vl_logic;
addnsub3_round : in vl_logic;
mult0_is_saturated: out vl_logic;
mult1_is_saturated: out vl_logic;
mult2_is_saturated: out vl_logic;
mult3_is_saturated: out vl_logic;
output_round : in vl_logic;
chainout_round : in vl_logic;
output_saturate : in vl_logic;
chainout_saturate: in vl_logic;
overflow : out vl_logic;
chainout_sat_overflow: out vl_logic;
chainin : in vl_logic_vector;
zero_chainout : in vl_logic;
rotate : in vl_logic;
shift_right : in vl_logic;
zero_loopback : in vl_logic;
accum_sload : in vl_logic;
sload_accum : in vl_logic;
coefsel0 : in vl_logic_vector(2 downto 0);
coefsel1 : in vl_logic_vector(2 downto 0);
coefsel2 : in vl_logic_vector(2 downto 0);
coefsel3 : in vl_logic_vector(2 downto 0)
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of extra_latency : constant is 1;
attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1;
attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1;
attribute mti_svvh_generic_type of selected_device_family : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of width_a : constant is 1;
attribute mti_svvh_generic_type of input_register_a0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1;
attribute mti_svvh_generic_type of input_source_a0 : constant is 1;
attribute mti_svvh_generic_type of input_register_a1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1;
attribute mti_svvh_generic_type of input_source_a1 : constant is 1;
attribute mti_svvh_generic_type of input_register_a2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1;
attribute mti_svvh_generic_type of input_source_a2 : constant is 1;
attribute mti_svvh_generic_type of input_register_a3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1;
attribute mti_svvh_generic_type of input_source_a3 : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_b : constant is 1;
attribute mti_svvh_generic_type of input_register_b0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1;
attribute mti_svvh_generic_type of input_source_b0 : constant is 1;
attribute mti_svvh_generic_type of input_register_b1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1;
attribute mti_svvh_generic_type of input_source_b1 : constant is 1;
attribute mti_svvh_generic_type of input_register_b2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1;
attribute mti_svvh_generic_type of input_source_b2 : constant is 1;
attribute mti_svvh_generic_type of input_register_b3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1;
attribute mti_svvh_generic_type of input_source_b3 : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_c : constant is 1;
attribute mti_svvh_generic_type of input_register_c0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1;
attribute mti_svvh_generic_type of input_register_c1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1;
attribute mti_svvh_generic_type of input_register_c2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1;
attribute mti_svvh_generic_type of input_register_c3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_result : constant is 1;
attribute mti_svvh_generic_type of output_register : constant is 1;
attribute mti_svvh_generic_type of output_aclr : constant is 1;
attribute mti_svvh_generic_type of port_signa : constant is 1;
attribute mti_svvh_generic_type of representation_a : constant is 1;
attribute mti_svvh_generic_type of signed_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_a : constant is 1;
attribute mti_svvh_generic_type of port_signb : constant is 1;
attribute mti_svvh_generic_type of representation_b : constant is 1;
attribute mti_svvh_generic_type of signed_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_b : constant is 1;
attribute mti_svvh_generic_type of number_of_multipliers : constant is 1;
attribute mti_svvh_generic_type of multiplier1_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier3_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier_register0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1;
attribute mti_svvh_generic_type of adder1_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1;
attribute mti_svvh_generic_type of adder3_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1;
attribute mti_svvh_generic_type of mult01_round_register : constant is 1;
attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1;
attribute mti_svvh_generic_type of mult23_round_register : constant is 1;
attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1;
attribute mti_svvh_generic_type of width_msb : constant is 1;
attribute mti_svvh_generic_type of output_rounding : constant is 1;
attribute mti_svvh_generic_type of output_round_type : constant is 1;
attribute mti_svvh_generic_type of output_round_register : constant is 1;
attribute mti_svvh_generic_type of output_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_rounding : constant is 1;
attribute mti_svvh_generic_type of chainout_round_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1;
attribute mti_svvh_generic_type of width_saturate_sign : constant is 1;
attribute mti_svvh_generic_type of output_saturation : constant is 1;
attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1;
attribute mti_svvh_generic_type of output_saturate_type : constant is 1;
attribute mti_svvh_generic_type of output_saturate_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturation : constant is 1;
attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of scanouta_register : constant is 1;
attribute mti_svvh_generic_type of scanouta_aclr : constant is 1;
attribute mti_svvh_generic_type of width_chainin : constant is 1;
attribute mti_svvh_generic_type of chainout_adder : constant is 1;
attribute mti_svvh_generic_type of chainout_register : constant is 1;
attribute mti_svvh_generic_type of chainout_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_mode : constant is 1;
attribute mti_svvh_generic_type of rotate_register : constant is 1;
attribute mti_svvh_generic_type of rotate_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_output_register : constant is 1;
attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1;
attribute mti_svvh_generic_type of accumulator : constant is 1;
attribute mti_svvh_generic_type of accum_direction : constant is 1;
attribute mti_svvh_generic_type of loadconst_value : constant is 1;
attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1;
attribute mti_svvh_generic_type of accum_sload_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_register : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1;
attribute mti_svvh_generic_type of double_accum : constant is 1;
attribute mti_svvh_generic_type of systolic_delay1 : constant is 1;
attribute mti_svvh_generic_type of systolic_delay3 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1;
attribute mti_svvh_generic_type of preadder_mode : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1;
attribute mti_svvh_generic_type of width_coef : constant is 1;
attribute mti_svvh_generic_type of coefsel0_register : constant is 1;
attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_register : constant is 1;
attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_register : constant is 1;
attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_register : constant is 1;
attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1;
attribute mti_svvh_generic_type of coef0_0 : constant is 1;
attribute mti_svvh_generic_type of coef0_1 : constant is 1;
attribute mti_svvh_generic_type of coef0_2 : constant is 1;
attribute mti_svvh_generic_type of coef0_3 : constant is 1;
attribute mti_svvh_generic_type of coef0_4 : constant is 1;
attribute mti_svvh_generic_type of coef0_5 : constant is 1;
attribute mti_svvh_generic_type of coef0_6 : constant is 1;
attribute mti_svvh_generic_type of coef0_7 : constant is 1;
attribute mti_svvh_generic_type of coef1_0 : constant is 1;
attribute mti_svvh_generic_type of coef1_1 : constant is 1;
attribute mti_svvh_generic_type of coef1_2 : constant is 1;
attribute mti_svvh_generic_type of coef1_3 : constant is 1;
attribute mti_svvh_generic_type of coef1_4 : constant is 1;
attribute mti_svvh_generic_type of coef1_5 : constant is 1;
attribute mti_svvh_generic_type of coef1_6 : constant is 1;
attribute mti_svvh_generic_type of coef1_7 : constant is 1;
attribute mti_svvh_generic_type of coef2_0 : constant is 1;
attribute mti_svvh_generic_type of coef2_1 : constant is 1;
attribute mti_svvh_generic_type of coef2_2 : constant is 1;
attribute mti_svvh_generic_type of coef2_3 : constant is 1;
attribute mti_svvh_generic_type of coef2_4 : constant is 1;
attribute mti_svvh_generic_type of coef2_5 : constant is 1;
attribute mti_svvh_generic_type of coef2_6 : constant is 1;
attribute mti_svvh_generic_type of coef2_7 : constant is 1;
attribute mti_svvh_generic_type of coef3_0 : constant is 1;
attribute mti_svvh_generic_type of coef3_1 : constant is 1;
attribute mti_svvh_generic_type of coef3_2 : constant is 1;
attribute mti_svvh_generic_type of coef3_3 : constant is 1;
attribute mti_svvh_generic_type of coef3_4 : constant is 1;
attribute mti_svvh_generic_type of coef3_5 : constant is 1;
attribute mti_svvh_generic_type of coef3_6 : constant is 1;
attribute mti_svvh_generic_type of coef3_7 : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of latency : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of width_clock_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_aclr_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_ena_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_a_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanina : constant is 3;
attribute mti_svvh_generic_type of width_scanina_msb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourcea_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourceb_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanouta_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanoutb_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_msb : constant is 3;
attribute mti_svvh_generic_type of width_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_coef_msb : constant is 3;
attribute mti_svvh_generic_type of dataa_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of dataa_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_a_ext : constant is 3;
attribute mti_svvh_generic_type of width_a_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datab_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datab_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_b_ext : constant is 3;
attribute mti_svvh_generic_type of width_b_ext_msb : constant is 3;
attribute mti_svvh_generic_type of coef_ext_require : constant is 3;
attribute mti_svvh_generic_type of coef_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datac_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datac_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_c_ext : constant is 3;
attribute mti_svvh_generic_type of width_c_ext_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanchain : constant is 3;
attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3;
attribute mti_svvh_generic_type of scanchain_port_sign : constant is 3;
attribute mti_svvh_generic_type of preadder_representation : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b_msb : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_result : constant is 3;
attribute mti_svvh_generic_type of width_mult_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_source : constant is 3;
attribute mti_svvh_generic_type of width_adder_source_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_adder_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_ext : constant is 3;
attribute mti_svvh_generic_type of width_original_result : constant is 3;
attribute mti_svvh_generic_type of width_original_result_msb : constant is 3;
attribute mti_svvh_generic_type of result_ext_width : constant is 3;
attribute mti_svvh_generic_type of width_result_output : constant is 3;
attribute mti_svvh_generic_type of width_result_output_msb : constant is 3;
end altera_mult_add;
|
library verilog;
use verilog.vl_types.all;
entity altera_mult_add is
generic(
extra_latency : integer := 0;
dedicated_multiplier_circuitry: string := "AUTO";
dsp_block_balancing: string := "AUTO";
selected_device_family: string := "Stratix V";
lpm_type : string := "altera_mult_add";
lpm_hint : string := "UNUSED";
width_a : integer := 1;
input_register_a0: string := "UNREGISTERED";
input_aclr_a0 : string := "NONE";
input_source_a0 : string := "DATAA";
input_register_a1: string := "UNREGISTERED";
input_aclr_a1 : string := "NONE";
input_source_a1 : string := "DATAA";
input_register_a2: string := "UNREGISTERED";
input_aclr_a2 : string := "NONE";
input_source_a2 : string := "DATAA";
input_register_a3: string := "UNREGISTERED";
input_aclr_a3 : string := "NONE";
input_source_a3 : string := "DATAA";
input_a0_latency_clock: string := "UNREGISTERED";
input_a0_latency_aclr: string := "NONE";
input_a1_latency_clock: string := "UNREGISTERED";
input_a1_latency_aclr: string := "NONE";
input_a2_latency_clock: string := "UNREGISTERED";
input_a2_latency_aclr: string := "NONE";
input_a3_latency_clock: string := "UNREGISTERED";
input_a3_latency_aclr: string := "NONE";
width_b : integer := 1;
input_register_b0: string := "UNREGISTERED";
input_aclr_b0 : string := "NONE";
input_source_b0 : string := "DATAB";
input_register_b1: string := "UNREGISTERED";
input_aclr_b1 : string := "NONE";
input_source_b1 : string := "DATAB";
input_register_b2: string := "UNREGISTERED";
input_aclr_b2 : string := "NONE";
input_source_b2 : string := "DATAB";
input_register_b3: string := "UNREGISTERED";
input_aclr_b3 : string := "NONE";
input_source_b3 : string := "DATAB";
input_b0_latency_clock: string := "UNREGISTERED";
input_b0_latency_aclr: string := "NONE";
input_b1_latency_clock: string := "UNREGISTERED";
input_b1_latency_aclr: string := "NONE";
input_b2_latency_clock: string := "UNREGISTERED";
input_b2_latency_aclr: string := "NONE";
input_b3_latency_clock: string := "UNREGISTERED";
input_b3_latency_aclr: string := "NONE";
width_c : integer := 1;
input_register_c0: string := "UNREGISTERED";
input_aclr_c0 : string := "NONE";
input_register_c1: string := "UNREGISTERED";
input_aclr_c1 : string := "NONE";
input_register_c2: string := "UNREGISTERED";
input_aclr_c2 : string := "NONE";
input_register_c3: string := "UNREGISTERED";
input_aclr_c3 : string := "NONE";
input_c0_latency_clock: string := "UNREGISTERED";
input_c0_latency_aclr: string := "NONE";
input_c1_latency_clock: string := "UNREGISTERED";
input_c1_latency_aclr: string := "NONE";
input_c2_latency_clock: string := "UNREGISTERED";
input_c2_latency_aclr: string := "NONE";
input_c3_latency_clock: string := "UNREGISTERED";
input_c3_latency_aclr: string := "NONE";
width_result : integer := 34;
output_register : string := "UNREGISTERED";
output_aclr : string := "NONE";
port_signa : string := "PORT_UNUSED";
representation_a: string := "UNSIGNED";
signed_register_a: string := "UNREGISTERED";
signed_aclr_a : string := "NONE";
signed_latency_clock_a: string := "UNREGISTERED";
signed_latency_aclr_a: string := "NONE";
port_signb : string := "PORT_UNUSED";
representation_b: string := "UNSIGNED";
signed_register_b: string := "UNREGISTERED";
signed_aclr_b : string := "NONE";
signed_latency_clock_b: string := "UNREGISTERED";
signed_latency_aclr_b: string := "NONE";
number_of_multipliers: integer := 1;
multiplier1_direction: string := "NONE";
multiplier3_direction: string := "NONE";
multiplier_register0: string := "UNREGISTERED";
multiplier_aclr0: string := "NONE";
multiplier_register1: string := "UNREGISTERED";
multiplier_aclr1: string := "NONE";
multiplier_register2: string := "UNREGISTERED";
multiplier_aclr2: string := "NONE";
multiplier_register3: string := "UNREGISTERED";
multiplier_aclr3: string := "NONE";
port_addnsub1 : string := "PORT_UNUSED";
addnsub_multiplier_register1: string := "UNREGISTERED";
addnsub_multiplier_aclr1: string := "NONE";
addnsub_multiplier_latency_clock1: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr1: string := "NONE";
port_addnsub3 : string := "PORT_UNUSED";
addnsub_multiplier_register3: string := "UNREGISTERED";
addnsub_multiplier_aclr3: string := "NONE";
addnsub_multiplier_latency_clock3: string := "UNREGISTERED";
addnsub_multiplier_latency_aclr3: string := "NONE";
adder1_rounding : string := "NO";
addnsub1_round_register: string := "UNREGISTERED";
addnsub1_round_aclr: string := "NONE";
adder3_rounding : string := "NO";
addnsub3_round_register: string := "UNREGISTERED";
addnsub3_round_aclr: string := "NONE";
multiplier01_rounding: string := "NO";
mult01_round_register: string := "UNREGISTERED";
mult01_round_aclr: string := "NONE";
multiplier23_rounding: string := "NO";
mult23_round_register: string := "UNREGISTERED";
mult23_round_aclr: string := "NONE";
width_msb : integer := 17;
output_rounding : string := "NO";
output_round_type: string := "NEAREST_INTEGER";
output_round_register: string := "UNREGISTERED";
output_round_aclr: string := "NONE";
chainout_rounding: string := "NO";
chainout_round_register: string := "UNREGISTERED";
chainout_round_aclr: string := "NONE";
chainout_round_output_register: string := "UNREGISTERED";
chainout_round_output_aclr: string := "NONE";
multiplier01_saturation: string := "NO";
mult01_saturation_register: string := "UNREGISTERED";
mult01_saturation_aclr: string := "NONE";
multiplier23_saturation: string := "NO";
mult23_saturation_register: string := "UNREGISTERED";
mult23_saturation_aclr: string := "NONE";
port_mult0_is_saturated: string := "NONE";
port_mult1_is_saturated: string := "NONE";
port_mult2_is_saturated: string := "NONE";
port_mult3_is_saturated: string := "NONE";
width_saturate_sign: integer := 1;
output_saturation: string := "NO";
port_output_is_overflow: string := "PORT_UNUSED";
output_saturate_type: string := "ASYMMETRIC";
output_saturate_register: string := "UNREGISTERED";
output_saturate_aclr: string := "NONE";
chainout_saturation: string := "NO";
port_chainout_sat_is_overflow: string := "PORT_UNUSED";
chainout_saturate_register: string := "UNREGISTERED";
chainout_saturate_aclr: string := "NONE";
chainout_saturate_output_register: string := "UNREGISTERED";
chainout_saturate_output_aclr: string := "NONE";
scanouta_register: string := "UNREGISTERED";
scanouta_aclr : string := "NONE";
width_chainin : integer := 1;
chainout_adder : string := "NO";
chainout_register: string := "UNREGISTERED";
chainout_aclr : string := "NONE";
zero_chainout_output_register: string := "UNREGISTERED";
zero_chainout_output_aclr: string := "NONE";
shift_mode : string := "NO";
rotate_register : string := "UNREGISTERED";
rotate_aclr : string := "NONE";
rotate_output_register: string := "UNREGISTERED";
rotate_output_aclr: string := "NONE";
shift_right_register: string := "UNREGISTERED";
shift_right_aclr: string := "NONE";
shift_right_output_register: string := "UNREGISTERED";
shift_right_output_aclr: string := "NONE";
zero_loopback_register: string := "UNREGISTERED";
zero_loopback_aclr: string := "NONE";
zero_loopback_output_register: string := "UNREGISTERED";
zero_loopback_output_aclr: string := "NONE";
accumulator : string := "NO";
accum_direction : string := "ADD";
loadconst_value : integer := 0;
use_sload_accum_port: string := "NO";
accum_sload_register: string := "UNREGISTERED";
accum_sload_aclr: string := "NONE";
accum_sload_latency_clock: string := "UNREGISTERED";
accum_sload_latency_aclr: string := "NONE";
loadconst_control_register: string := "UNREGISTERED";
loadconst_control_aclr: string := "NONE";
double_accum : string := "NO";
systolic_delay1 : string := "UNREGISTERED";
systolic_delay3 : string := "UNREGISTERED";
systolic_aclr1 : string := "NONE";
systolic_aclr3 : string := "NONE";
preadder_mode : string := "SIMPLE";
preadder_direction_0: string := "ADD";
preadder_direction_1: string := "ADD";
preadder_direction_2: string := "ADD";
preadder_direction_3: string := "ADD";
width_coef : integer := 1;
coefsel0_register: string := "UNREGISTERED";
coefsel0_aclr : string := "NONE";
coefsel1_register: string := "UNREGISTERED";
coefsel1_aclr : string := "NONE";
coefsel2_register: string := "UNREGISTERED";
coefsel2_aclr : string := "NONE";
coefsel3_register: string := "UNREGISTERED";
coefsel3_aclr : string := "NONE";
coef0_0 : integer := 0;
coef0_1 : integer := 0;
coef0_2 : integer := 0;
coef0_3 : integer := 0;
coef0_4 : integer := 0;
coef0_5 : integer := 0;
coef0_6 : integer := 0;
coef0_7 : integer := 0;
coef1_0 : integer := 0;
coef1_1 : integer := 0;
coef1_2 : integer := 0;
coef1_3 : integer := 0;
coef1_4 : integer := 0;
coef1_5 : integer := 0;
coef1_6 : integer := 0;
coef1_7 : integer := 0;
coef2_0 : integer := 0;
coef2_1 : integer := 0;
coef2_2 : integer := 0;
coef2_3 : integer := 0;
coef2_4 : integer := 0;
coef2_5 : integer := 0;
coef2_6 : integer := 0;
coef2_7 : integer := 0;
coef3_0 : integer := 0;
coef3_1 : integer := 0;
coef3_2 : integer := 0;
coef3_3 : integer := 0;
coef3_4 : integer := 0;
coef3_5 : integer := 0;
coef3_6 : integer := 0;
coef3_7 : integer := 0;
coefsel0_latency_clock: string := "UNREGISTERED";
coefsel0_latency_aclr: string := "NONE";
coefsel1_latency_clock: string := "UNREGISTERED";
coefsel1_latency_aclr: string := "NONE";
coefsel2_latency_clock: string := "UNREGISTERED";
coefsel2_latency_aclr: string := "NONE";
coefsel3_latency_clock: string := "UNREGISTERED";
coefsel3_latency_aclr: string := "NONE";
latency : integer := 0;
signed_pipeline_register_a: string := "UNREGISTERED";
signed_pipeline_aclr_a: string := "NONE";
signed_pipeline_register_b: string := "UNREGISTERED";
signed_pipeline_aclr_b: string := "NONE";
addnsub_multiplier_pipeline_register1: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr1: string := "NONE";
addnsub_multiplier_pipeline_register3: string := "UNREGISTERED";
addnsub_multiplier_pipeline_aclr3: string := "NONE";
addnsub1_round_pipeline_register: string := "UNREGISTERED";
addnsub1_round_pipeline_aclr: string := "NONE";
addnsub3_round_pipeline_register: string := "UNREGISTERED";
addnsub3_round_pipeline_aclr: string := "NONE";
output_round_pipeline_register: string := "UNREGISTERED";
output_round_pipeline_aclr: string := "NONE";
chainout_round_pipeline_register: string := "UNREGISTERED";
chainout_round_pipeline_aclr: string := "NONE";
output_saturate_pipeline_register: string := "UNREGISTERED";
output_saturate_pipeline_aclr: string := "NONE";
chainout_saturate_pipeline_register: string := "UNREGISTERED";
chainout_saturate_pipeline_aclr: string := "NONE";
rotate_pipeline_register: string := "UNREGISTERED";
rotate_pipeline_aclr: string := "NONE";
shift_right_pipeline_register: string := "UNREGISTERED";
shift_right_pipeline_aclr: string := "NONE";
zero_loopback_pipeline_register: string := "UNREGISTERED";
zero_loopback_pipeline_aclr: string := "NONE";
accum_sload_pipeline_register: string := "UNREGISTERED";
accum_sload_pipeline_aclr: string := "NONE";
width_clock_all_wire_msb: integer := 3;
width_aclr_all_wire_msb: integer := 3;
width_ena_all_wire_msb: integer := 3;
width_a_total_msb: vl_notype;
width_a_msb : vl_notype;
width_b_total_msb: vl_notype;
width_b_msb : vl_notype;
width_c_total_msb: vl_notype;
width_c_msb : vl_notype;
width_scanina : vl_notype;
width_scanina_msb: vl_notype;
width_scaninb : vl_notype;
width_scaninb_msb: vl_notype;
width_sourcea_msb: vl_notype;
width_sourceb_msb: vl_notype;
width_scanouta_msb: vl_notype;
width_scanoutb_msb: vl_notype;
width_chainin_msb: vl_notype;
width_result_msb: vl_notype;
width_coef_msb : vl_notype;
dataa_split_ext_require: vl_notype;
dataa_port_sign : vl_notype;
width_a_ext : vl_notype;
width_a_ext_msb : vl_notype;
datab_split_ext_require: vl_notype;
datab_port_sign : vl_notype;
width_b_ext : vl_notype;
width_b_ext_msb : vl_notype;
coef_ext_require: vl_notype;
coef_port_sign : vl_notype;
width_coef_ext : vl_notype;
width_coef_ext_msb: vl_notype;
datac_split_ext_require: vl_notype;
datac_port_sign : vl_notype;
width_c_ext : vl_notype;
width_c_ext_msb : vl_notype;
width_scanchain : vl_notype;
width_scanchain_msb: vl_notype;
scanchain_port_sign: vl_notype;
preadder_representation: vl_notype;
width_preadder_input_a: vl_notype;
width_preadder_input_a_msb: vl_notype;
width_preadder_adder_result: vl_notype;
width_preadder_output_a: vl_notype;
width_preadder_output_a_msb: vl_notype;
width_preadder_output_b: vl_notype;
width_preadder_output_b_msb: vl_notype;
multiplier_input_representation_a: vl_notype;
multiplier_input_representation_b: vl_notype;
width_mult_source_a: vl_notype;
width_mult_source_a_msb: vl_notype;
width_mult_source_b: vl_notype;
width_mult_source_b_msb: vl_notype;
width_mult_result: vl_notype;
width_mult_result_msb: vl_notype;
width_adder_source: vl_notype;
width_adder_source_msb: vl_notype;
width_adder_result: vl_notype;
width_adder_result_msb: vl_notype;
width_chainin_ext: vl_notype;
width_original_result: vl_notype;
width_original_result_msb: vl_notype;
result_ext_width: vl_notype;
width_result_output: vl_notype;
width_result_output_msb: vl_notype
);
port(
dataa : in vl_logic_vector;
datab : in vl_logic_vector;
datac : in vl_logic_vector;
scanina : in vl_logic_vector;
scaninb : in vl_logic_vector;
sourcea : in vl_logic_vector;
sourceb : in vl_logic_vector;
clock3 : in vl_logic;
clock2 : in vl_logic;
clock1 : in vl_logic;
clock0 : in vl_logic;
aclr3 : in vl_logic;
aclr2 : in vl_logic;
aclr1 : in vl_logic;
aclr0 : in vl_logic;
ena3 : in vl_logic;
ena2 : in vl_logic;
ena1 : in vl_logic;
ena0 : in vl_logic;
signa : in vl_logic;
signb : in vl_logic;
addnsub1 : in vl_logic;
addnsub3 : in vl_logic;
result : out vl_logic_vector;
scanouta : out vl_logic_vector;
scanoutb : out vl_logic_vector;
mult01_round : in vl_logic;
mult23_round : in vl_logic;
mult01_saturation: in vl_logic;
mult23_saturation: in vl_logic;
addnsub1_round : in vl_logic;
addnsub3_round : in vl_logic;
mult0_is_saturated: out vl_logic;
mult1_is_saturated: out vl_logic;
mult2_is_saturated: out vl_logic;
mult3_is_saturated: out vl_logic;
output_round : in vl_logic;
chainout_round : in vl_logic;
output_saturate : in vl_logic;
chainout_saturate: in vl_logic;
overflow : out vl_logic;
chainout_sat_overflow: out vl_logic;
chainin : in vl_logic_vector;
zero_chainout : in vl_logic;
rotate : in vl_logic;
shift_right : in vl_logic;
zero_loopback : in vl_logic;
accum_sload : in vl_logic;
sload_accum : in vl_logic;
coefsel0 : in vl_logic_vector(2 downto 0);
coefsel1 : in vl_logic_vector(2 downto 0);
coefsel2 : in vl_logic_vector(2 downto 0);
coefsel3 : in vl_logic_vector(2 downto 0)
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of extra_latency : constant is 1;
attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1;
attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1;
attribute mti_svvh_generic_type of selected_device_family : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
attribute mti_svvh_generic_type of width_a : constant is 1;
attribute mti_svvh_generic_type of input_register_a0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1;
attribute mti_svvh_generic_type of input_source_a0 : constant is 1;
attribute mti_svvh_generic_type of input_register_a1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1;
attribute mti_svvh_generic_type of input_source_a1 : constant is 1;
attribute mti_svvh_generic_type of input_register_a2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1;
attribute mti_svvh_generic_type of input_source_a2 : constant is 1;
attribute mti_svvh_generic_type of input_register_a3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1;
attribute mti_svvh_generic_type of input_source_a3 : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_a3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_b : constant is 1;
attribute mti_svvh_generic_type of input_register_b0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1;
attribute mti_svvh_generic_type of input_source_b0 : constant is 1;
attribute mti_svvh_generic_type of input_register_b1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1;
attribute mti_svvh_generic_type of input_source_b1 : constant is 1;
attribute mti_svvh_generic_type of input_register_b2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1;
attribute mti_svvh_generic_type of input_source_b2 : constant is 1;
attribute mti_svvh_generic_type of input_register_b3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1;
attribute mti_svvh_generic_type of input_source_b3 : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_b3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_c : constant is 1;
attribute mti_svvh_generic_type of input_register_c0 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1;
attribute mti_svvh_generic_type of input_register_c1 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1;
attribute mti_svvh_generic_type of input_register_c2 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1;
attribute mti_svvh_generic_type of input_register_c3 : constant is 1;
attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of input_c3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of width_result : constant is 1;
attribute mti_svvh_generic_type of output_register : constant is 1;
attribute mti_svvh_generic_type of output_aclr : constant is 1;
attribute mti_svvh_generic_type of port_signa : constant is 1;
attribute mti_svvh_generic_type of representation_a : constant is 1;
attribute mti_svvh_generic_type of signed_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_a : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_a : constant is 1;
attribute mti_svvh_generic_type of port_signb : constant is 1;
attribute mti_svvh_generic_type of representation_b : constant is 1;
attribute mti_svvh_generic_type of signed_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_aclr_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_clock_b : constant is 1;
attribute mti_svvh_generic_type of signed_latency_aclr_b : constant is 1;
attribute mti_svvh_generic_type of number_of_multipliers : constant is 1;
attribute mti_svvh_generic_type of multiplier1_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier3_direction : constant is 1;
attribute mti_svvh_generic_type of multiplier_register0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1;
attribute mti_svvh_generic_type of multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1;
attribute mti_svvh_generic_type of port_addnsub3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1;
attribute mti_svvh_generic_type of adder1_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1;
attribute mti_svvh_generic_type of adder3_rounding : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1;
attribute mti_svvh_generic_type of mult01_round_register : constant is 1;
attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1;
attribute mti_svvh_generic_type of mult23_round_register : constant is 1;
attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1;
attribute mti_svvh_generic_type of width_msb : constant is 1;
attribute mti_svvh_generic_type of output_rounding : constant is 1;
attribute mti_svvh_generic_type of output_round_type : constant is 1;
attribute mti_svvh_generic_type of output_round_register : constant is 1;
attribute mti_svvh_generic_type of output_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_rounding : constant is 1;
attribute mti_svvh_generic_type of chainout_round_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1;
attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1;
attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1;
attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1;
attribute mti_svvh_generic_type of width_saturate_sign : constant is 1;
attribute mti_svvh_generic_type of output_saturation : constant is 1;
attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1;
attribute mti_svvh_generic_type of output_saturate_type : constant is 1;
attribute mti_svvh_generic_type of output_saturate_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturation : constant is 1;
attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of scanouta_register : constant is 1;
attribute mti_svvh_generic_type of scanouta_aclr : constant is 1;
attribute mti_svvh_generic_type of width_chainin : constant is 1;
attribute mti_svvh_generic_type of chainout_adder : constant is 1;
attribute mti_svvh_generic_type of chainout_register : constant is 1;
attribute mti_svvh_generic_type of chainout_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_mode : constant is 1;
attribute mti_svvh_generic_type of rotate_register : constant is 1;
attribute mti_svvh_generic_type of rotate_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_output_register : constant is 1;
attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1;
attribute mti_svvh_generic_type of accumulator : constant is 1;
attribute mti_svvh_generic_type of accum_direction : constant is 1;
attribute mti_svvh_generic_type of loadconst_value : constant is 1;
attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1;
attribute mti_svvh_generic_type of accum_sload_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1;
attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_register : constant is 1;
attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1;
attribute mti_svvh_generic_type of double_accum : constant is 1;
attribute mti_svvh_generic_type of systolic_delay1 : constant is 1;
attribute mti_svvh_generic_type of systolic_delay3 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1;
attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1;
attribute mti_svvh_generic_type of preadder_mode : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1;
attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1;
attribute mti_svvh_generic_type of width_coef : constant is 1;
attribute mti_svvh_generic_type of coefsel0_register : constant is 1;
attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_register : constant is 1;
attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_register : constant is 1;
attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_register : constant is 1;
attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1;
attribute mti_svvh_generic_type of coef0_0 : constant is 1;
attribute mti_svvh_generic_type of coef0_1 : constant is 1;
attribute mti_svvh_generic_type of coef0_2 : constant is 1;
attribute mti_svvh_generic_type of coef0_3 : constant is 1;
attribute mti_svvh_generic_type of coef0_4 : constant is 1;
attribute mti_svvh_generic_type of coef0_5 : constant is 1;
attribute mti_svvh_generic_type of coef0_6 : constant is 1;
attribute mti_svvh_generic_type of coef0_7 : constant is 1;
attribute mti_svvh_generic_type of coef1_0 : constant is 1;
attribute mti_svvh_generic_type of coef1_1 : constant is 1;
attribute mti_svvh_generic_type of coef1_2 : constant is 1;
attribute mti_svvh_generic_type of coef1_3 : constant is 1;
attribute mti_svvh_generic_type of coef1_4 : constant is 1;
attribute mti_svvh_generic_type of coef1_5 : constant is 1;
attribute mti_svvh_generic_type of coef1_6 : constant is 1;
attribute mti_svvh_generic_type of coef1_7 : constant is 1;
attribute mti_svvh_generic_type of coef2_0 : constant is 1;
attribute mti_svvh_generic_type of coef2_1 : constant is 1;
attribute mti_svvh_generic_type of coef2_2 : constant is 1;
attribute mti_svvh_generic_type of coef2_3 : constant is 1;
attribute mti_svvh_generic_type of coef2_4 : constant is 1;
attribute mti_svvh_generic_type of coef2_5 : constant is 1;
attribute mti_svvh_generic_type of coef2_6 : constant is 1;
attribute mti_svvh_generic_type of coef2_7 : constant is 1;
attribute mti_svvh_generic_type of coef3_0 : constant is 1;
attribute mti_svvh_generic_type of coef3_1 : constant is 1;
attribute mti_svvh_generic_type of coef3_2 : constant is 1;
attribute mti_svvh_generic_type of coef3_3 : constant is 1;
attribute mti_svvh_generic_type of coef3_4 : constant is 1;
attribute mti_svvh_generic_type of coef3_5 : constant is 1;
attribute mti_svvh_generic_type of coef3_6 : constant is 1;
attribute mti_svvh_generic_type of coef3_7 : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel0_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel1_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel2_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_clock : constant is 1;
attribute mti_svvh_generic_type of coefsel3_latency_aclr : constant is 1;
attribute mti_svvh_generic_type of latency : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1;
attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1;
attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1;
attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1;
attribute mti_svvh_generic_type of width_clock_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_aclr_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_ena_all_wire_msb : constant is 1;
attribute mti_svvh_generic_type of width_a_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_total_msb : constant is 3;
attribute mti_svvh_generic_type of width_c_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanina : constant is 3;
attribute mti_svvh_generic_type of width_scanina_msb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb : constant is 3;
attribute mti_svvh_generic_type of width_scaninb_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourcea_msb : constant is 3;
attribute mti_svvh_generic_type of width_sourceb_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanouta_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanoutb_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_msb : constant is 3;
attribute mti_svvh_generic_type of width_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_coef_msb : constant is 3;
attribute mti_svvh_generic_type of dataa_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of dataa_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_a_ext : constant is 3;
attribute mti_svvh_generic_type of width_a_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datab_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datab_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_b_ext : constant is 3;
attribute mti_svvh_generic_type of width_b_ext_msb : constant is 3;
attribute mti_svvh_generic_type of coef_ext_require : constant is 3;
attribute mti_svvh_generic_type of coef_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext : constant is 3;
attribute mti_svvh_generic_type of width_coef_ext_msb : constant is 3;
attribute mti_svvh_generic_type of datac_split_ext_require : constant is 3;
attribute mti_svvh_generic_type of datac_port_sign : constant is 3;
attribute mti_svvh_generic_type of width_c_ext : constant is 3;
attribute mti_svvh_generic_type of width_c_ext_msb : constant is 3;
attribute mti_svvh_generic_type of width_scanchain : constant is 3;
attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3;
attribute mti_svvh_generic_type of scanchain_port_sign : constant is 3;
attribute mti_svvh_generic_type of preadder_representation : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_input_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b : constant is 3;
attribute mti_svvh_generic_type of width_preadder_output_b_msb : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 3;
attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_a_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b : constant is 3;
attribute mti_svvh_generic_type of width_mult_source_b_msb : constant is 3;
attribute mti_svvh_generic_type of width_mult_result : constant is 3;
attribute mti_svvh_generic_type of width_mult_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_source : constant is 3;
attribute mti_svvh_generic_type of width_adder_source_msb : constant is 3;
attribute mti_svvh_generic_type of width_adder_result : constant is 3;
attribute mti_svvh_generic_type of width_adder_result_msb : constant is 3;
attribute mti_svvh_generic_type of width_chainin_ext : constant is 3;
attribute mti_svvh_generic_type of width_original_result : constant is 3;
attribute mti_svvh_generic_type of width_original_result_msb : constant is 3;
attribute mti_svvh_generic_type of result_ext_width : constant is 3;
attribute mti_svvh_generic_type of width_result_output : constant is 3;
attribute mti_svvh_generic_type of width_result_output_msb : constant is 3;
end altera_mult_add;
|
---------------------------------------------------------
-- JAM CPU
-- Very simple SRAM model for simulation
--
-- Copyright © 2002:
-- Anders Lindström, Johan E. Thelin, Michael Nordseth
---------------------------------------------------------
-- This is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Library General Public
-- License as published by the Free Software Foundation; either
-- version 2 of the License, or (at your option) any later version.
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
entity sram is
generic (rom_data_file_name: string := "sram.dat");
port (ncs : in std_logic_vector( 3 downto 0 ); -- not chip select
addr : in std_logic_vector( 16 downto 0 );
data : inout std_logic_vector( 31 downto 0 );
nwe : in std_logic; -- not write enable
noe : in std_logic -- not output enable
);
end sram;
architecture behav of sram is
begin
mem: process
constant low_address: natural := 0;
constant high_address: natural := 131072; -- 128K SRAM
subtype word is std_logic_vector(31 downto 0 );
type memory_array is
array (natural range low_address to high_address) of word;
variable mem: memory_array;
variable address : natural;
begin
data <= (others => 'Z') ;
--
--
-- process memory cycles
--
loop
--
-- wait for chip-select,
--
if (ncs(0) = '0') then
-- decode address
address := conv_integer( addr );
--
if nwe = '0' then
--- write cycle
mem( address ) := data(31 downto 0);
data <= (others => 'Z');
elsif nwe = '1' then
-- read cycle
if noe = '0' then
data <= mem( address );
else
data <= (others => 'Z');
end if;
else
data <= (others => 'Z');
end if;
else
--
-- Chip not selected, disable output
--
data <= (others => 'Z');
end if;
wait on ncs, nwe, noe, addr, data;
end loop;
end process;
end behav;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package DW02_components is
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end DW02_components;
-- pragma translate_off
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library grlib;
use grlib.stdlib.all;
entity DW02_mult_2_stage is
generic( A_width: POSITIVE;
B_width: POSITIVE);
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic;
CLK : in std_logic;
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end;
architecture behav of DW02_mult_2_stage is
signal P_i : std_logic_vector(A_width+B_width-1 downto 0);
begin
comb : process(A, B, TC)
begin
if notx(A) and notx(B) then
if TC = '1' then
P_i <= signed(A) * signed(B);
else
P_i <= unsigned(A) * unsigned(B);
end if;
else
P_i <= (others => 'X');
end if;
end process;
reg : process(CLK)
begin
if rising_edge(CLK) then
PRODUCT <= P_i;
end if;
end process;
end;
-- pragma translate_on
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package DW02_components is
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end DW02_components;
-- pragma translate_off
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library grlib;
use grlib.stdlib.all;
entity DW02_mult_2_stage is
generic( A_width: POSITIVE;
B_width: POSITIVE);
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic;
CLK : in std_logic;
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end;
architecture behav of DW02_mult_2_stage is
signal P_i : std_logic_vector(A_width+B_width-1 downto 0);
begin
comb : process(A, B, TC)
begin
if notx(A) and notx(B) then
if TC = '1' then
P_i <= signed(A) * signed(B);
else
P_i <= unsigned(A) * unsigned(B);
end if;
else
P_i <= (others => 'X');
end if;
end process;
reg : process(CLK)
begin
if rising_edge(CLK) then
PRODUCT <= P_i;
end if;
end process;
end;
-- pragma translate_on
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package DW02_components is
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end DW02_components;
-- pragma translate_off
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library grlib;
use grlib.stdlib.all;
entity DW02_mult_2_stage is
generic( A_width: POSITIVE;
B_width: POSITIVE);
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic;
CLK : in std_logic;
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end;
architecture behav of DW02_mult_2_stage is
signal P_i : std_logic_vector(A_width+B_width-1 downto 0);
begin
comb : process(A, B, TC)
begin
if notx(A) and notx(B) then
if TC = '1' then
P_i <= signed(A) * signed(B);
else
P_i <= unsigned(A) * unsigned(B);
end if;
else
P_i <= (others => 'X');
end if;
end process;
reg : process(CLK)
begin
if rising_edge(CLK) then
PRODUCT <= P_i;
end if;
end process;
end;
-- pragma translate_on
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package DW02_components is
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end DW02_components;
-- pragma translate_off
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library grlib;
use grlib.stdlib.all;
entity DW02_mult_2_stage is
generic( A_width: POSITIVE;
B_width: POSITIVE);
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic;
CLK : in std_logic;
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end;
architecture behav of DW02_mult_2_stage is
signal P_i : std_logic_vector(A_width+B_width-1 downto 0);
begin
comb : process(A, B, TC)
begin
if notx(A) and notx(B) then
if TC = '1' then
P_i <= signed(A) * signed(B);
else
P_i <= unsigned(A) * unsigned(B);
end if;
else
P_i <= (others => 'X');
end if;
end process;
reg : process(CLK)
begin
if rising_edge(CLK) then
PRODUCT <= P_i;
end if;
end process;
end;
-- pragma translate_on
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package DW02_components is
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end DW02_components;
-- pragma translate_off
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library grlib;
use grlib.stdlib.all;
entity DW02_mult_2_stage is
generic( A_width: POSITIVE;
B_width: POSITIVE);
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic;
CLK : in std_logic;
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end;
architecture behav of DW02_mult_2_stage is
signal P_i : std_logic_vector(A_width+B_width-1 downto 0);
begin
comb : process(A, B, TC)
begin
if notx(A) and notx(B) then
if TC = '1' then
P_i <= signed(A) * signed(B);
else
P_i <= unsigned(A) * unsigned(B);
end if;
else
P_i <= (others => 'X');
end if;
end process;
reg : process(CLK)
begin
if rising_edge(CLK) then
PRODUCT <= P_i;
end if;
end process;
end;
-- pragma translate_on
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
package DW02_components is
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end DW02_components;
-- pragma translate_off
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library grlib;
use grlib.stdlib.all;
entity DW02_mult_2_stage is
generic( A_width: POSITIVE;
B_width: POSITIVE);
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic;
CLK : in std_logic;
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end;
architecture behav of DW02_mult_2_stage is
signal P_i : std_logic_vector(A_width+B_width-1 downto 0);
begin
comb : process(A, B, TC)
begin
if notx(A) and notx(B) then
if TC = '1' then
P_i <= signed(A) * signed(B);
else
P_i <= unsigned(A) * unsigned(B);
end if;
else
P_i <= (others => 'X');
end if;
end process;
reg : process(CLK)
begin
if rising_edge(CLK) then
PRODUCT <= P_i;
end if;
end process;
end;
-- pragma translate_on
|
library verilog;
use verilog.vl_types.all;
entity SixToEightExtend is
port(
\In\ : in vl_logic_vector(5 downto 0);
\Out\ : out vl_logic_vector(7 downto 0)
);
end SixToEightExtend;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block
3I75aSxZJUp0L2UIY92Z4OcofdmM758hnlnQEa0P4LagJ0jdsqD/k2U9Ry3FgRL+72mI0r471n0a
GOZ0X0ABisYh4NM9O9jrYX6RP/vM9cKUgKKvsHlD7VmMH7pLb6Q8GtDJTJXVWS5kmBvNRheA5SSo
0SP/mwE0F/SV21KM1vfkQCMPsH/H09kZgdrDV7o76uQdJKoh2NeVomXCR1uoFQ/oflpsOanxZKKe
/TM+9T9kpOKujMydXcRV0eCN8pEVdJRl2mpfFDP1SS4SPsu5+WuKwzpmpEzYsB3rKEumt8xeWhmz
+iptCg6vKyv2o5VXqyusjjCqrApujWp7aQ1AK+uaEsdEVlXfFsxNkhWNIZS2tL/DBUMueWF8quLH
1HobJLXCOYu/dSkWs6HKJu4sJyD2+TL6eArro2ZwgFKa9NLQHoAWhPi3iRvUzBpH43zUpJW4lJ3E
eRFZFkaFC+MffpYOE0Ul17qNJu90bQmGTmWv4RmHpUJ7Vll0CmRkUNDkQdRoVaLqXI/4F1OIqgk1
Uq+4or1g0LzDt8BAGdqayZ3Lruj5+IlOi7n4h8/6Zb+JT9IOfqW55eE06eHcSVhcVe3YmaQoHW7n
Z/yD4ngbxnYH5c3AMy8nuOMkBcZKacljtbo4X2IO/ZzDy+G8r391KKZXVRRrjxvKnewKIn515iTo
HyMwxOqeij7TJQJLOjSenX/0SFNv5j9uSPdBiCyKBY5G6Om3lBfbgETdSDoa2/Gn4ZiTfNXXEqq7
a+rtMruwM7UC7UycsUgvwF3w4/cGe0UHH9cSrhjt8W9z9xufUtVoppXfRJHVyeEEwR0eopt2SbiV
4X82yoLPMhHNVp2KV720SJjn2bymykCySGiWNEg8G5SVq0VrhpOPFTRm6VRFLuG0s6TAzu3Q9EbI
3WCZtCtdLZv4F5TgW5zi3LVEDmbdtGOj4Alm485P7Wo5FcTuKrnnb5VaR6oBq/61+nsPmlcEeCPn
sRHMOdvmIhX+4egu0cj3hqmFXY6t2jDMya2pHhwfqisUqQ8617eOoxj4sugI10U5G3LAs4kwqqrS
POF/xBQHAegqQIdC/NdTvhu4HgNwBa0xWrlVhwjXdOl3pt2pMVU8oHH9aQy19oupV/vnDHYlxsG/
PhoHIOh0abgtbP9uH8Jm6GmSWXa5wN81Fk90ga3iJ20DfCLekukWsP0vM0ZmSvAeHP1gNJiI3V08
OXyjfE6whsFR03IuVxA9yf1Y/Omxc8oPJT2HcQJQDl0e3JQ0eqMisrFYfUkMF2OlCIyylRPFbLdn
Uah6PHMFmUohpZfZhPPVdbfClGs4lV9KR6XYG+JyD/agnfsXYxFDpoKFr+4JuWwrVtsH1Bj1oygE
4RN4obIjDVl7V8kS68+ajGq6yVzrfYrNdFrg+FOdPOaawSHbfgklWxT8bbh3H6HHDpWDZ7VpkI+/
V7IQ12uFGwJ9LCmquQ2qHC2TDwDRoBgIwdlXHftlhnXqdMPI0ay63Pz1vwyyLyTWA/clhygOgP4L
9vLCH4nCvg4IXR7bguAaY0g8hEhW5D5Znqgkscvnd8eMRFNrfJtrcvc7dp4UCwPdRQNiuxhh5NYw
r0E9iUIYhJ2XfTfkuUtcxUFV7mGwitGhGiv+sKq/qdcy1JbhgAi36ZqLuQdkXwRC47uKG/7LKX6W
BpwZExzuD1jT2qkTBY+h59BA8aFV2k5TA3t86dA8mkmg7yluQxB93KXUUOA3G1LaK2WIwag/9XEX
jJGfiUDMhUlKUbryjJrGNxEcsmwNBKF276VLKWhTaJJNga8zbDfbZy75u2GkF2VLZ9q98v+/fGz1
FOzjpCn+udDJ/RWX37ue+uHK426B+VAibLlwl0pOiRC7l7EUanuzVp7NuLGTtYW1wNn5ys2DqpCL
MEkMbm0NnpQr5mEkrV5ZQe6QY2I0qz+u4wFYNaHYIILQii7ab1PL+pCNuR37qcxN+PNhsIquk5DI
2dufrTMly5ZRTRdZDjcYVFFwE4WMeMA7V0kELI2qhaPk+3gcXsFoPprezHQRCZImNGFIvdz6+ytw
samAoPtBdbQhcFnx3zDRG/dN4nFO8CuTKwql67cvb7JEGoTKwBiE/E96hu3uODrezmec/Lstfx5G
ljXHELwygzO+iqGoy8qOGIDS8WbbcZi2BT2bXEpIQV2TO9cLWjRqV8xvecSEP83E1zPtfSpzDjG+
hFWk+3lTdrGPbexl66d1DW8zL67e/hiWtw7nQJhygHl6cqYzo/yXqrwGlCY0ePDm1NkwP70nUypP
jNzHK9D6R+0TgO7sodYm7y1rPKLZ40FCncc5G5sHTFFk/n6t0qWOIHprNnvV9m8Os6xull7mF2Gm
8+7qg9YOPxtIFS5qGuoXWQmhX8YCoLVu1mC8dGcYfFrUJQFoKrC9o7K3y7GNDpBxA3Q1Skhcj1Hr
R06jKGIo4dXAXJi+wN39tvgqwIzZP4TWqBmxruga8GWopmOist2rmFa0hnK8ks7v1Fv11RlCyO7X
/ASgyYMJOTYPsxtw4PD839B9lUPayy8ltADSwReIF7m98JYC8LnufEBKYjyNYqObo3Voe/dhz+Uh
2Co486ahQ9+M1L70SGeQd0omc+EKpdEbqyo9FUFCSsAh8P0ryWIJ06XwnSt84rYdOe/RUdGDV4p7
79WLopc4JsruJ4HRWjVoqbjdtF0OyQ9L/eBcuC4oER2rluPICjBdCI9iZltC4yKW/dSwn+ixBul2
JwuqGU7fWW4fyNVayAehVgEOvekkccICOn6PXqv7GtaeDuBLOARNMpSuZeGKSElfQ+4PdsGYhool
dwkW/hDdiRwjA1FAgLVZIEJ7UCsiOuYHWIoQkNnugKxqWLkcFLMb7gVOa80/His+kORVoXu1/gyW
goJ4iwmb+b3gBpYMw9dyRDTRRQsjJ69zF93jGNqfC18Fmqyd3UEHfezYF3pj51RRvtqOBSnZnN/P
ID/4OvCw6lU+blIHz2Jc5Z6PPiKO6oXphpjFpNKsOR/PY4pt5kH0NM1ynkkvD8jaoLQoTb0PWLKK
VDfNxkRpnY6M7KWL0w2GN2s9+Cm08tGJoHh8z9BH4JqT8Xmoq9JLz0YnWPitT0OcA0NJw3ATYBfT
yOKTpI7+HbxzI/eKugsGiws+7bgawNVFHnA0XNUGkOSLhcsyFttzKx0/lKTOHrSVOxal+kzc+8x5
gqzlZ0hmxK3e25mUAzj20OsR1PVOlKws7F4hP4qL6VQnERSjVodyocnR9caaHWqB6oq1YoWQFtxR
FuW4lk7AJclslKfTHS4j/DLZZfKIefxaepfssjR8Yx3UbFxjPI1gkdF9bdzCtri7RMXZP7I8icGR
LzeEVu+civGZ34M+i827FfmG5HUVK5CC0h0LxH97WoTjhBgU5xFCY/XVG7rY2VdVHxlm6obiwvK9
uNCd2c0qFAArrXf2JqbAeIwEtxEZYSfW83Ug7SuylOCmpZDPjGB9LPfMm6mTfOuZodhdIGiDKdVi
KBvmWvW/ChItwED4rBs39C5o2hK2AqwinDXDUqz65TyFe2r2CWu3543TMsVFFcZMYoM5OPaQ/FTW
QoR/tk4mPEJi9hxW/GZMGiIsPArEpNU/O87OToqdGg/MelVlU9jGyKNlal1qJKjbY6ETMdKaGZqT
uumwKZQKi+emjOi5GEfS8Dlcyajj6/HuidsqLqfW2lXPp+Nx9nVp1X4Knu1mJBkQ5Od1BB+9Au9T
IWxichR5Dh/I+wr9CsgyrZnsOQ3td2vjZyGe189GEhc88GwtKdJ0vgfsvftWR054qGgsvwPN1UMW
eyJnyp7FYe+oLfF4HzkqMlVn35NafxUVBNZfiUHT/dZcQBSeClw7LbGzk870e2izRwFTs1p/Hxvh
5a1a99ux4o7TKQkXJKIp2UyhkDq4UUVRTQv6Ecmw+h/bRdu6BTZDCL7p520DV5mc9dlm4gr/fqH2
5ce0cxu0q14PBTlblJfrC+XqjzC4NAi8RJ/PPlDnwqRxz1NdD9rWhqccDh1y/mFjuws9F4p7fcsM
chWf95GFjUrTUwEaGXYtItZL/HKLRZbAE6OeqSCXUaI2h0TqI/+kxoJC3w0wQAm1VgcKah63XZcP
lwz6pjkSwul3ITT+vixdpMwfgxMcusgQiGdknsiZTdLA/NZJaFU/2TW7kTRHb6yFkp73dbf48T66
5BIlbIZ9rAF/b2GSfeS+qm8lFZTMuy6rCzZ4a+rsXWE39tiGapW/SQriPyei5wG7yDbzdVHtN3qB
op4faIAGTcHM6iN4evHSCAInBPKjOdG8ualAFNIORyHc0Su0LUBjzLby5ciDFwdGypSMyoR0nl7j
V9f+shGcy5mS1QEEeE3afBKCAEw/hRBfbSuXQyL08tbG9UoUuLxC/N3AlHbXT0pcVIKDqs3HrPpX
w7IQoKLq36hISXzHSa2HtcNXy4Jd+Z+ROHGdgTzuZN2qYzLpaEdoI4WPzIG6b3soBLOchnniIzs1
1qdMyPyUFxx1sLoZ6NfA5VpsAHkJLTUjQWUKODGHrh0+52EdGlqdnbgai32QAYC6BWtcdCJ55BTZ
35+JgyNnouwTzNHxEZYr+6q2x5wmAiDOvkgaDTgFAcOY1zG6SjmoTaT0M5aTGHTMSp9Y3w3j6h6X
auUSTJw/23rBiaq8GC0PR9juBaYdJg1C2FeWCBpzVC90ejw4pAI3RVaj1INGoO6Y4Le6zCnC9POV
YawiwJ1aswjbMyvtzcp8Jd2JbGSpeg0O/RFbKWwHzAi1fZWaYxiEjwq8AP3rN6s9NcBIhD05vqBH
eaPR9GNPo80mWB1gMpejpLNzoLyVEldCK8TCmPj3yteRfwr9Dy0ihK0gA+2TsH3p42Kl0RtrwHyw
sKQvIWwQplhmOTe0ouC65vbE+ISI8ToknBabqFrAVt7BfTRmMzcDSs61a64YvWOOy4p3AUsQ774r
5SKNlIoOvsoa8f5wW/9dQnbxocPiBfP0PvBZnVAh31o3L4QshkQChkJIolVwUXA2LcwCV7PO5V91
Ivv5B+sgFF/JraEodTEtrtvwvcdYd5Eh7GUHkna86rH5QIDBeY+b0KPUAUilI9Eb/R/xDxLbY2ce
c17iQacQhRAFpOq+UzY63YEy11fitO4xzhIoqSL6JWWOuVflcvZCfofMLvNLF3vST3lcybORaHM6
oLMB1xcaaGAjZjNFpSbO6uT19KdHVb0kmq9oeXG3nM4DAvl0scHYrcpMexrabgCcXo3sZf/qc4cu
J0Zx8ZdiR5KVMM7iW+78S9b8YfIiv81bUXKO7CHEP4iR1yyGYBAXizW/forGU0KLOH1Yjto40CEf
rRCnktxCUaQ923BXr8H+AGp2rLGUrwO7AuZBoWeQeqci8xvAnYx8rYVOrQZZrLhY13USbXV2PbPW
vgIJNvqRfX8h41a27YMP3WDwldVoTVG5s8svR1EG6B8TODnWLYKhMwYLb0E2ek7v5sa1etdIZYwJ
0dRuwsg7L7krGITDHxYQlfPGC10iK2jwicScTnSmhEdt3DDkGnQa8OWjppp2aOcQaeDzfHo/8H2D
ooU0UoB4D7HOXIzvgvramvqonh/sucAQUPtiqPhfBjzs8nydRZogltv3vmmzv+x7fcc+Hp10X27l
umo5B0/Ka6jxJ2Se0UPBcYNKxj9MZ7Ii1CLCN+biNZ07PwETJi+y3ZBQfSRLNviSXwYrNba4Dx1O
qDz6L7y0dtPsAwRROglitrqyzoZqeJCP3Rr9yIhaWnplgIxSLu1Cgsqi/cNfOsZndhE60WzNGjFi
c6ze4SvsxTUnCUEp4z8+9nY9wPUddXECPtFj4nBqQUvDzgs3toTQn3tQ5+HnHZDYDo3FvwoH0nR8
yOnT+wYcz460B2pyHW1VVseW3bMExuYu59uMjgmbt7kt3n84XG9PqfIu4s7lit3wCHtsc8XVCKec
CAfhBIAW2+hGpi/Ruj4O1boHji1yNRF486vzHIUdAG85tcbJhb4VaeQp6uGfYVgnr7NJO7tB0xac
y9UJeW3juTtltoeWXq+bXmQ2QcUeMWecJRGEbNo8OcUoXXSB5VfqsgfIj7/CiMSRZ3rex/T7W0rJ
rG8053wanb/usgWGop/lGSmW+Gm/LWeafxvCtrOaJhG8JWnWiiJgqqQmFTgWY+UnXfwv89RRHwdF
n8NxVNVLzwCyWivzfn5/BirQm0nESZZhi4lTC6hNlQmFt4xIWiEQnedXOX9GWq54EB7votWOA8X3
0bgEsHbyczFOvcEIrfQXOu6GT6nIWZG3AYz8oN32um5yqDD0yYOVHUnpZeAVCxGfntWO9qcab4TW
dL6pneZe0HGqSlZM+wM2Pf4np5GKTcz4A/V7gpK0jmdVLLXvXHXe3FZIOBOt7IzfUlBPTN0CqSTX
C3DK/HlwhoOai+bHQXI/VcBdLl28zGu2oBUSlV8V45pZNUx6sugga83fWlDlr83HEISCt7yahdhB
y67sc1VDOVF7bFRP9/GyzUVJjD3ymP0PckVaPx++wg/nAGMWfTq1CCH8JwI4ysUKhVxqyaNHSqsw
kJeO530i+JK4fic8rZt6XjsgdbRJHWJCNhU5wnDZZbzAAdVWXYX6pSISmSwMMhlyYdcwKAKot2zI
zuKWJXoEEBcQEA3SvTiW67gtz7hiFwPX+7fHcU9LoKp5Vmq05y35qRCSwjM/iRPMen9+i4sUMrhm
RLcLzB66Me2Zb1rppouFfOBQrY1QwMI9fvyNHtM7b84Jd6WRCCig877OHw6GS142bD+VoFwis44z
8PGut0/vaRH+Xb65uQzcUTRQE8Us/sh7Q3eAL9G9K09zfa/39gB6/V3qACwqiHAvYd4jF5ouHVMP
GMOqcDpixCQ3Lk/JGk8mEI2PmAHF7zDyDBYDoIuzLBOwx2LBS1ALcjlWCEfgBHapdvRW0OCoz0+P
X9LAZvKdPe3NQwr5ASuknsj25KgRaCvCdJhKEmXKS5OL9e6RKg2WkyWJvgphwyFAuFffgXzxWza0
0Iq6e6iFXMzYSBEryHhnJWTnGTZ8N2JJkCxGmoVd2+yrKjd1IWZHPxHAKW6Gw5vCpErO9gpK+gqs
4D6yF9d8hldrjQc1Fuvn80FOJPefrfCl38HoEOsXrxZEXuAnth52USi9idvBVhYi3QyThmrJEdM7
kJRXVHe+toW6eqb/eafQwUJS3K5MrE+uGfpP2OlwY3EY7tHoHlxSNqIX5x7K8G4g9YUBeBDJYI/P
CNMg5FnZfCDJFYJlqlnso8qhxCUMXXxNxubtdPyibvkiDe7v5z7cqhaoxO8+zCbbOZQ3HyRUUqwy
VwTB0/XERIiuI1gjMGcW8BA38qAZn1xJ48XmEjGe1UbT2WzWSazTnf093DdTJppRe3LL+uzTTN3e
ToTOMs4jMDnVmVQCRv7IPeQDoMTqlRMIpnKDGsbz+FHpjeyjgj+s8r3lg2v9p0PtLz8KigeK5fsZ
sMvlmagYn0EeSnPuQybcvhgUUfSI2z61rGE9iv4WIw1odMdhBfRUntj0LxfBt6rUZLFrE0o+rpSE
fX1hco/Z4FkRVQT2dVlYeN9EsUgCitY2BQzVNyZkGpiyLsiAmf+BFnqE9lyor1BrOxRreglpH6I7
uTTtnZVAthGUv4I9CH/gdjomU8JC8YpQWV4d9tx33A8jovesOXv0Hku0my0BIFxfp2NTRSbPs3/H
5ss384wtlB0XsBK7oGAy/6NAjo0KEmNxlmoif7v/UnXj1YnYTiikQtVaD8nqKr5r36sFZBodp7uU
L8ENWuGCjb5rf/OSke8oJ/LjaIh/0WhMpPW7q1+WZhtqm3PtSOr2xvyNuSxxkgh5zAaBpdpTTbyE
iq199L3gAO7a3loPIGMAlHXn3hyUfrEGAqxwY0GmA5U/BT4v52iBy6JPO1GmJo/v53lJWpzXhp2A
US8vpG7HtFyr/foVXQwssXFl6+LlWQEDTFslB8DS4HQIKe7kEFErAVsWxF4ILnyt7PMJkcsv3TeH
3Zwf4fxcEop//hwDvQi8OH7JGygaTg4qXk2ViGlHAUV5Db+t+vfU26eLKHOxfAKopszWqigtwFyW
3aUBmLk49Dql6z5Vru56mNPm9H6xqIIvyJWha8IGD0GN+XMhKMaGveKhCF73aoNMNlL42LoWwUEp
eO8ozB4Y1V9uwt0P9mMoFtcnu6qQW1yXDmZahywzvAr/fB32QyGSl7pdIIx0l3FAsrc6j43AREzM
UYa99OdoegSJM65R3Dz6/cZ/ujfR9KqKfV1U69kEFFhuyfXhF4b5C4HJ6g0dDLcIgiVDaXhtpn1P
cTMAVIjbFoYF0ii8+Y9OSIy7O2u2M+ZggugROeuFP9PFi5A6/dbJEQKXiO8IjVDWZjWePye/D7F8
qpx54Z+Aw6yNC4ywGYeUV/J2kwWy/lYWaWOFfeg4FZj/BV6PRTb8JpR7H/dAfhg9EmZBuo1gKJUc
rTAHWMCwrwhlaf4BmkyyFrvTEWtUM/gCIYJjxAnXhwASOUbTYRJGKuNmi/twSfJIUwzoMC8Nxhws
D3X45MUGfkbcygOH2VVKonaMWAMtY2xri9iLaCoPeqhvL4QlSGoaxZnxqb1LaaFMMy7rn90uibhA
+IeSbl5vMqE3UmpR+davhwVqx3WVwbHvxHlHKvU3nD8xZ6lab8bbFXLgm/ZA8WpCwxdri27UVwzo
meX+PIi3NQuVQExUBRHuTiLB/4pZbZn5f1sxL+ZjIIFVRNyZrLPWBnQryH3SG+ADspoEILRpsxi7
rLZP9hA3WqevpXfPWyxIqhgeHLYZiFI/5ThW6N/A7RKvHf05I9mtp77deXlPOuvGZze817uVFw46
PIh4cnEk3pmZCZzpTYXfAcfGNN4PlGflMBd4gV1sHLnyAzfr7x+t7DkWrzE3+g+wuuT4sjimJ71b
336SScwTGWxetSQFF37QPTS1dlN42r/7M8kyRIHgOfJGb68xs4TwAn2na9yMnXz7AOZLDnt8P4/t
PbceeLPY92cwWhJMnMMr+63hIxvryKMIz/9BT6dXyesci7NhifUYs7bzWGORwcaz1Fv3ymBHOUIu
RUtJ9TjCprylGfwtJUU6nOkxa+wsqFCGRqHdORGWGBY9TNt99W4a+KS2vVUAuoos7GsjVo7dD03A
RG00B7yaWw2LDddvCq9pptQb7/fRHuUp+5QR3lqtJWqC7KtZwv+TMupBiK7KKrWlTbbY17xjvyqM
LD9r72cmYCEN9V/kvvp6pqciiwwfmKyCHAcpEkR/9CULjEHndh9Gvt4bZJdX+nk+QBt/nN8Ylbpj
A27CQdPgd0bLEUIVKn6RKD9j59a5+aXHfIaiEMtrELqbAu9Z6LczzN9B1kSQLbj6xE17yrn4TFtw
ciDbnn71a6PzNkugg/s9BA8jty/oLS/uHlPX+W1ewI+0l3eeA2dHZhYko1elh8BkDfrC6i1wPo7e
CqJcVM31BR7h5RVE8RrwjJIzfaTSOlwDvSf9clI+9nmb6Ksy5VQeHqcfjBMQ6idmO4R9UqRzTyG2
L5AOxz/ezK+8dn5icwb1Qcs9v+lFZL/WyT+2ltIaCkbM2FAAKOFXL8F9c+UGvrzld86mi+zKm2Xc
HGlEp2QdPBpcoBJIpmDO5HEFee/Zd9s587fF7KvqPnZAT5loWq7bfDlcOeGs4KAdV3Wt0JMgY5cm
tExOPdaDBJlLm6M2D6p26R26AA5/WaHWUQtfenIICCoVCkHBcIfjwcNcJqg68+xwss6Zf4mQKOJF
viI0ZWEHoltCThncFwKM3Hstl0Bcbq+IIe/wOnqOg6BWBnYBb8T/cv475dolTj9fkkk8tMUhRYQE
zscsc9TdXNT/q+sL7xQat38fav4yK++/Jj8ko5/+VKguDg9i1PWiqghCekELjC4aM2BAssrGGY3o
DJm+DYhkk43FBJbx/ZQrr0uaaBEv7Zm+OTtj/uoc9vFZ4LnE+f6CwZVCQsSemfhUslaA7xvJiOOf
gNpu51YruKCIF7sauIC/WYwC0esDNefpSTbJLTx8tEBhnMxv4WLt03iuA46MOljFd6E15s1BHKxc
dtsMcAA4YXiiRO1K6kEMFGkmNZcWUTpsaHgNH20qtucZXw3EvXO0qGYwuhsZ9/JY0cQbFBWSCjin
P3Xk8AVYMT5RZoInaLTgp7/nok4TRsw7RTCl1zuHPKHw/bynDAGUfKn2zI8PYY/Fmv5+CREMks47
hEYJOSilruEfv+qSuaqHXLwJyedJMZJ/FSpH8P4P0Cl+ZfDRhISRY6ZWEF0AMfxMxIuSCfgn9E3s
HOGaI23XrkP/iTfdypXZ9OsFzDGbbU7APVwrMk4XAbgFJHkZf1yiYMvzWhuKzDnZMQ4DRi92FofZ
135PT079oOnezoqHitZgpyX+dWY6toBFhVq9n23QGVSnPfYZFuaPdvDfKiwmJD/2AhI5251ooVgn
7cEdoQloNqutQF9p2U8ec/S1MIEIsrQLXhohTnNr2VLrdkKnPDyzZVHtpZn4VqmTRhVJSqPAaW8o
s82cwHdCwJ7yNhsW5HZ2e6DY3G4IU1Xw1IgdJGVl4KQGypsfPN37Nc9PPzQxRrIcj8S96/pL5nHI
QMvRsN9OXueTc77NIZX2M+Mdb9Z6GAbffD816RLSBaGVZF6I0Pcj1pBef3MEJz6hboN8D0IJtb2x
T2vSJQmEcwrAGT/CPoCkgm+hj86ETiZfHSjmkbNKXQ1i30cJClGlsloEmipzVThkTnXd+ZBXxdxC
K1Vl5tQBSeBED2e7KmVyPxGe6qMi9ARlL5KaGlEm7sEvG8MEoPnhDdoTDtdkiOcSRFniIVEPUVVS
9i4eXEvmav6wC6W/AcyovedMv9vDxrmpJl/tpeyIuZ4BhrfAvnnL7m3m8u+xhNR0vVYXqaiGBD1z
pLNLnFQe1x/IE/UA5Kljt6cTmM968/j+zbY1aUtEedEstqzuFnkdUHxW231Om3v/3CR5qg12ulsJ
syKDEAkj8ezWTKW+WPbyfom+cAVXrBy1t34RArJStPOHZcVoSpmDY7bsTguGIxA64I+p6cqhle6+
rjJCqkWeMnC3j942pObvlkupbrjVZ4PmBmNitReeqfVZx4mQKyLVb4YpYf9N6kgtcG/fYWGHHF0l
lJLZ07w+dSbiUSj2EcRaeCOzx70DP/g91crbf7POmLLqJqabpw88Zs+uTlsoy4RQ6SMgwZwo5yan
fiA06WvjlwkY1t/gTmUHDcMFY1P7n7/tkLeR2RojWZ48gL+OCtzqxU4EASTCV2eSvUDwJZwBn5YA
iXJd4u9EPL4MmHSJFoPRjUOE9Xux65Y1Xd1L0GBGR7DvXuDqkONfqnZN9U9UvB+wqkXA3sKGsFtD
wtFgUH/xJSZvnONpdvCGHy6+c/ODcFJdLuWHWDJSJmMz2aj1G4kHpWM77l04CZa75pGGXotkpAfS
QoUJAskVzr4DlXADyqgd3NK2motQ365Yee1ceUYF1ifxzIvt9hjQn6ZXplOYAs48tN0x6B1W4HL9
/ATBqaWQlvZWRjSoO7tPyoK2f168gtpYH2wUd/l2L6qiJGRRq7PFTNsE423mBfzV15M5DlEDK8B0
1g8ZpeEEPv6GFGu3iMBchHSG5snwfezdel6dVa+QZ57lxTeUF+HVjNXrPIcOid1LfToMvZMxaZBy
oyU4N9eRsdZ9uW43y+I1LKLwvzNkdMFjexoS3B8sRdoUQlZShZAJSldp2tjwuPgepw1AClUSKlY6
5JaLnlf4nlhAxKOZG9GWFVBawuq7/w7RCDXVNGCrZXa8dNoQtNdscw0/EV1c+eYVunhBUfzUo70w
xPPK0uaF/IT7T711BjwiZS/RXEm1X9O1Fn6ZwUjP4sTyP0WZa/jkJ2Z3j5UAx4NXAsG8O9D73haN
h7uSZdrqLHqu5RE1go+kk8e84aBtVPNjl9zmQyMMcQUMxaFDmJNi89NQDqAE1mdtPgKxUGgLRf6t
wkiLFWATPkv3fPPMsmse2LaUzvEox26GCNRYd4Z/1bx3ds9RYHGoBv7LYL4y/yX+6a4vHySQwQMl
eh5NsQOLb3nvc1uVluIUUO6XyRLgKQrfnAaXeUJ9sfqrbG+7qJGthFGvvKDSTc8mt+nVBYi8BB8V
p1huIHDoQB97mZp6pJnaTUZrjkHhAfsWrwnD36rnMJs/c1xQIRYUyiZ1dt6mbNgVb+e64WMrtxt5
2qX2r4DlWIwzCeGCmejm4splCXSOYCw2nexFdFXma6XCBlEH4Cmw61/gpd6KDsbbQFd80pzPwl7/
nz9Sj9BiU1YDzOCMwWcrBQ4osFy6YWe7RPxY6EyFnQ7xQ9lH7Q6IpYDgWM5IGsyuugO9xwGlViww
puuSZztSabPb8uJcTgnG9RcKhfd4zJuFpKzJvKW5wlNOok3AN5zk2ImgdjiETDWGm5Ap/OhRJEPz
+6uSmXBYTTXsmc3tn1tFOoGXTOYPESGVWh2Ey4jO/98likfm2YNbjTLstRmLqBwjFtfFFPV+FUap
E/Zz6iNn2yVCKpX+sbyAO0fGmr22teteyilMZH2feGum1DwLOdzMQ8P/L19HrVObLASNTjZK5L6y
Eb3HmX2fpYoK+OK6QYQy6rp5DPp4CqJo1NxeOCrhsLN51kifk/m2O1GbAQvfq8T/AwiEh0yLKfC4
vH5szDxo0DP5+xtZGIAcqMm+CAcCYUHPzg5DCLD3vM2pca3O2LeWwQ9LNecAS1dksX7s0hby5A/7
Lj/ZSGdSPB8JHFLrgUHZQYTkMEkI3WGyis5GN/tBdpxD5j2afZLxlB+8Tkav1LYJE2Rs9BeGZlyx
aJowo/zgWPZcHJz9mbdA6EC8irg3FU8K0P57zZn6NEuZUlkX7yEdO4I1MxkaM/neKZQH7MUb9Ebb
DmXMzxWWk45cZk8DXi3JVTjOY6U4ZQ6pSj2txTwKFbniyKgLF61MLbIbWLDRV6GwpucQ/El3DnGA
PERlIMhGK+siEUZIfgkLINmdYm1SY98aqux4Js5m1qBNOdHxOaYajjByCKTnzF48j4dsiSt+HxXc
YfkGwAdkIWdke7nVxpYEu5j0Pu3s7Sie5cPZuGRKKCekbgC9KGshSa1qVX847e0A+6rTF9XmDQ8L
sw3eebWbwEhrucXrJ+SLXoCgCBmNl4g8BwR6Q1/QEHWnNTeLZBBloOKa18FsJiRpK9KJxaUGFHMU
vuu6VARTQ4YsJkhW1oLD3mXsvTxgjSHzMF3khZBHY0+8D9gNDxiwWPWA+v1yeEk2TeCPe5+6QQXh
fC5H/xIekrPcfxjJrqbJ3Q1oLScCnBsIvWj7SiRGaMme2Y0srcQZNQO3ae7pRIJfEnuw3LuZFcJO
X7oQCeKPB5jFTmdZhqeflApRqpSBBtbzS6pmr7TlkSXHOasGw1xkBjDZrtvL3BSoWk/i8PGIClZJ
y4Kum/2px/5UlCHp7GSLd5O42sfYKwZiLw4JYYf1G81A/AF7wayLte/fmCYqTDOdBq7sWytM5a8S
ZE7n22+iDRPEw/e2YD730QS2F9R2XNHSyL9gyvXZFNxuwTilyrauieOX9mvs+St5nAHxSo1NzHZz
EdBbe+TfevinQ4MHIbUmOV6grAk4ZmMcVy6A2BGGcg2FWDW6mFKkEz7IP6n5iUM7SIX79xFeEjQX
jaUffSwwg+O6OzwSWBF2FnCX4JF2zuyhoya1X5c4hV+xce4xN19ZXEJzbRaoa2wdVHIWjBgKT17x
L0MLrZrFnQVsnPtmcSI3nGpswGl2UQ3wRgCYA8EFhEhw2x741stroCzua7/ET32iBSZ+dsFrfCyb
E1cCz4obJQtPMlUD4ydmUmCWCTTMQqG5d3dbX8jxtg0qFK/Lenyoev3Kiia2Syv/Xj8kMqudmlV5
6ff6Ssc0fU0yG5QUWONStwyL8/vSxXKOJry7VvuUoc/KpHz6uRvLIFo/ewRHqQvmW3JQuNUCgVap
JDAMUDXtdLRa/VJfgnhH8gnfriioIQqzgvWhiA0VuPXDzZlNs7+eF3xg0Q3R2rRpZ286hoyM7B02
Psq1pn+geMgdTu/qSalxxxUdyLEIHhhwnhVFLkd/iE7uj2nV4glQBhf6VHJUsks1ZbdyuKf1xu6U
+f/ydCBzVti91PMgZevrkZCaEM/MB9XcyaKk6noDxBoeCmQdbWcyjVHFLFWKUw90VRpS4RT7XGiy
jXV3r/QNhptRCsdILEeBjkjilb3/lYaipGYz+ApbKyjAq+6i/qm62VNCsPL/01t5EQ4wp7k7yAIj
qzy+bZYihGNQTm3iE6NC4fyotq4i+rocnOcIVz2O0isDG3yfui5YbpVaWD0oCOfiSLBDIPiVrM81
gzMoa+qL3v/Zp113jYjNGKCxDJyx+JhpVfOwl8PpiE/KJ4YhGMF7cahnhAhtS0tjeOW+E1zftgfx
OaFcgc8Amv3VQV4USBvj5cTVpxmp7OG6iczThvNvsLzVhIWxYiZbX6tecjs+4p9e5dUUkb2gMbj0
I1MZYop5A9SbQZRd7DH/0V2LNiJTaop9VVlR/J/ba6h31sI9/bX5j7xf2bmBzS2O6aS0L0+YrlXQ
ePKkjVAvs5CCSJNRUBIXcMLMeOxuoJZSMDkQspWSKMQLgjbpKBiBofT4PNfiPO+GvQeMp56iLirz
YVqxzzaPPsHzH9w2a5dyl4KWcYgviA5SdxTQbOMH1Zyqn+aS3fNisWPqoyirFH2Fr3y8KQ0LjFV5
oNI6RWD+mr1S6IARkRUMx7FtMP5+uxhAK8YQXBnKxoPyIyvmdt28Kid0Uudl90d+Mv6bkC8pY0Y6
9DHkDzPiUxtSp9L3CHTIZvMxVy73pobTA4xTnapjsA04w4/Jh9pP/1v0uRO2FuySmFvalMBomcvT
xPf4HCe8sVnU7CTx8sGI5jm05XoelLILHfHIgTjO+/kqTOhhcT4pyPk7eUG6jVlyW07l6xDDYe70
1IdCm+lpgWNGEeFUDXP4lQdyFzGWynHJaXgWoiqUrt4vB1rjslLymTKwI5PZhVV5wK0fukmlBhqA
yQKDv+EroEn9/9UpgF+UGVNbb/lHmNdwt67eL1J7avs4pwg7iSQWrRjW/K0MNYLkXvKvDw33opoF
DVyANYfwqD8fUBSmonFbEjEhtcnCP7KSaF9JFEpiaexjcstHvPsHsYpLR9694K+9emnmp7vftr3S
0wndFFfg54jJlbZermiyvhAB6fxCPBJ/fw/EthD1YTAH0aoex4yPkPZp/I8EQf+386F6nigge/+O
j5E0MqpLJS7018zX8B+br7nSE92LebcgUelQ+yRngkNTYrj5kYYGepsclMlSDG81enuraGdSLJUQ
/acCNE7iyGdwOW7P4hRMzylYA5VspRJYyHY5rFkSbJgDKCB38JDqgJbL+Ezw9TGdkPsdNeFhq8DU
laSAual+4v225vOZOhV47pqqB/n9pLq2DDKsr4gU/DtyFB2v6z44xU4g0ekR4RQb1LbcOJQ//Aj9
F0MlGuH11YXvqxqFq4tydniYq+/Gz2T+X5ZM+Qeup4Cco3SMUCaDOJpaqw0ezjeC3kWlqH6fXmv3
l7zpL1ut0X4LXOcEyl2UZCdQGhOv3hKLaAoHlcGGSRZd9CUNyVD2Ex2YdJuku0+uBLVp5HUtvZDY
ZXZYnuRIaXeJjblDjboNLnwvhj3hS530KWr1HLy+9/T8DlY3VtlWrwPyXCtBU2rhdVMWsIIbxR+s
HrZ860XS5h35j0EqjQAtNMb+IruU9fw6kWUaYitgF5F8AM9qZrvWd/NKeIKwRipGak0Lgxaeew1l
ZpxLPL3jkgMLR5N5ls9uAVY3dfdO/o3hnybWr1uBvOOKlQRolpuNhx/OQ5Wgkg2vxbHKFvEsYd6p
RwcL1uMP3q+wgTWSTZ2lnDu0vFWfu2t689IASXLZqAaBImn/FRkWyEZG99ojB50kIz7iV7vxpMVC
z3Vkc2TCM2Iz7ACF18rkCd0+Aodp7ELM2NF6M4V89Jni16orow8Y0QdFhsQgG/gk4pTs0oMY9o+4
e98dQjF2BrcoNfKssMPb4zuFqLDnFFeQGPJCaYuRgGXTf3PfdfmRejXf7+qpwr4pShNCs7VFXldF
9M2LQEapQYjCwF9dYe4z3If2RMRc1G5CLlDeezWVlCjGBED7imnMH6MbWHUvakCXpC0DCsW9ovr9
cJjV2Qkb06sUWXQSf4QsnzRvZpc/vgIC4JbeuVau5jvcqQRfKzuoAnF0Y7yWU+SiHGbkGkEQRsZb
/5hdw+eIQz/EJ2qG2HNjQlXmm2s/JqyBBTrsX4ANJQDQbXc/WpfoCD/3mpjtZqmbQlXU1Dp4WAGr
DZSJSmQpPgdzL+b23v9eB5qSTRhWBBQaKb0mGP+IkR3sMmW+7gST4Q5zm0jGN985vD7ydNQWVHPY
XqNhqzdljJBuvqqI+7UrDxnDZdVVi9nmFuloD3yU090pRDi5F6shRfsO7A8jmT2r27LFoCvgioFx
86tqFT5Mcktf8hxptJRXLLwn39j+8uEHltCtdchcNkNFdZFdRkT1O9oOht0kzReRFRMSBm6XzmsY
UbrwNl2E5VUkpjMJIeix13k7wMhPN+ABElcq1uFAwS6soX63U+WOABJ/hltpf4kLpN9V+8a6v6F/
bw/Gz5wIeeg6ghyc3XRt1zjw1lAEoo+mZT9FZGHMpl0sPEtFEiZUdy4bOIgVH+L/hOdGfm3GP49D
JKOdTnky3nGHhticbG+sDZ5AScyfAKgOnKGCq6OGi9pZSLHT548a4F9ak7SNHUbG3CeLHBSD8Jyv
6ixtlT5tRyoCdu3xUXY+OzmF5IVipUwnwuTEbVx3WbDWgFQXTzHw6l54YcMm0VlVPGrS/pfCHuOe
JDqGYjkapf96P9tbAC/aoORN4nuoCIH1dbjxQUiYWURwZicNUO6Hxb3QNXFveeDl463Rv1+4sFEY
uY06LwKG5vMklEjR/DGEQGe8ZOtUEIp9pK3MHas7Sk9XL0bN9prewO7/n34XgWnGSPvqjwHIxl1H
Ht9fcS7waK967waIXTpGdL6PO6nvuHsDOdq5mA6ViAXvPFDO3How+o+D2C/ffzzCJ5f+ekyS1r2J
WE1wB1WOoXOT0MNXopo/J5fgRInd4kiYAaAwAoCZ2I9CEL6Nn4A1ZUZZLw3PT1Z0Quon+KEvBu7N
rzFglt0mXFvcYpiOfNPih/aiEQXCYjVjiVdcOe3lVT28JcWdv0mXp9IgDu2GR8bU+nB8ePAZz0Yg
+uEbwVh/XYfP9WqQ7iEQT9O4GehKM4P8bJKIUTmkPfSImoD28q4fZqJo0YW7Ha0bWudfoh5wKW/+
mXoPeo91C+9yHhvf4fmzmeumT1RUOTP3lBCI6rQcp78XMdNl8rqab7obCz3cZXtlBBPEZfIJxowz
xnGqC5U49SNAFONxP/epzMybUddBld0Y621X4kvGfwJYZXFuE7vN+HM6YhBcVARSYkXVlZ0F4o7s
X++/8kuXo6fUDims6/VBGoNtlXG8LK8I9QXiHE4MHT8ObLI4fYk1AZcEHCw07u0dkyXF8MKBmU2L
J9TUCZxu0Ent8B+RgdhW1dYlzcbwd5ncE0xH1yHhzbdPQTfT9yv2w2aDMy1cPRW0lvuE+hQUAW+g
IG9CNNgWihpaEUxkhu2jGvkDvqJgK5akl63SQh0i+ZSRmBtR5Ay1Pu4ikpnMmRsUTR/DK42DMZTx
FVYWrOiobnk58mpvJa7i3xb/2UUaHlCyBgIfE8np/+kAf6idfoorv1nSwXcTIo1KAlSy2gireofR
CgobEwUeUiH8IXO51iNgOF8BuCzmFYThZ0vsdivcGdUhq6pKcmMbWENR2gz2Z400RwxXF3WvzdDk
Qxcsd+wUCtjQ02wx/Yr9X2BXDnB4Th97ugfm7jVch8DAKr+0qBMrIPq2h7VH3OdJU6QxMtnyfOun
ZXTWKuqmhyZA+DyWb1HHda6rHVHk+u27tOab6ZrfgLw17IO0pWKJQWl9lpCMaMBzWxua18gygx/W
MAU45O89QHyLQ2HCRTWafslzzyGrRTrlp6m+Mm3cEjnGN/LMlI6MMvyoqpz8P3JV6xzdl6mbqUW2
Ed7l2bLggV11/sv/oYc65SzwNLZwB8mCnczgMFEy5u/RueDWS0rUa9arhG2bCM38IkNFFPLszQT7
QzL0Mz3qGTONjD/vwM2iTMEjPDcMcRxQQi/gfWw/+nXrr2YafhHTFH9GID+99i9BSoEDNWVBMsuO
J5kxVrChhNKlvq9QKM6Q1lf9Vqw1hqlF8E8xygFsdxX7GgHDB//OuwY/wstcvPHssiApI52jr4al
pmybVyHFhZ1Ygod10oQOj4exEwNN11W5mmR6GXsw7NwlPFDtTZ8md3fKTl4X9DKiWmWZ+dh9t2nI
BMQZLfdgwIzc64WFXNx+QaqYxOHgpt2SaEzJ9xQCYq722/mja4IxtiFDc5jHilZtoqgdsS5GtvyP
p7u5gm6rsZaclAPs7jnMrjrXc4BiwoCoJHLTGHmhOYr2OZlb/GrgF4sP9qLMeG/Ze/rh+4ncooxm
qVtvoMSJfaNrEiI5GGq5filVfos8BlNvYy3jpz+ZXj3klBeyei71l0mbWp9h47nyVGp74JPnATg2
ejsW7lyt8ldzoJ0uAlMpqjDODxjMmsxf/BsOJagTH80ZDZJNWanJ29yu/nW+TYesMkRcOSYJzb3N
U6JzsT36XtL46QHrTbcSZYJd8lqX3fbGbPBFLZtVzzm4FAr31nwhqcBAq0NpV+kwTTegPic3Yfbg
0bqpU8D5vlzpkvTWLf0PpcawM6T2V4hqu9Suq4DlVlErf782sJbePXtrpLmCkhT9n6Y+5X2E82sP
bov47RbamxSIdIeJvEV08HcRC80KTwgI/EOZFth/hoY9EGvSSTX4A5romAvwzBIj0CnaW/pHbDQY
0Hd7UwnWElK0sXZdHr+AtSPw/8tUjJ1cTsoWE/LIeU8BXYMV8pIHIUPwv/Ahawy/6rXz0JbhHwdn
d6L7kXkwM96hvFbTGS2P69c4Hl0fcVlOF011Oj0BqhSCkNPCPa0RLo1EANZ8FYx+TC7l1x+1TbRR
S+avr3rRWSE2RYomDAE1fh3cKZa/d4+zm+IFKT0Jrl74gPM1ddyW9cX0xE5HCPDVSExrBACJ4CCq
DuDrBfgwLjJEA3Fa68K/0Vzw7mf05Fo8Sm21/06bkXJRwvRA6y4S6hh5cYjm6B13Ghct1ic4THp1
Gun7aRJMn0UWAeFHMSlQuIiYPueO6K5YnRR2HyY+CQJl9Qssthy76TXLwNmTU9GV47YNH0dAtM1r
7VIAtbxZrS3iOyzEJsM4R4ZUtcT/f822UtyZgKk8na/q6cijIBuXE3JQbj07e2WI7fMHH8vo76n8
fx/WzG4Oys77okTmsxTiBMTXxWv8+MWTYDwBwvQe/dLhoXwr8oZIWYIvzuP7ZeBn2XxknQpJJWps
O48zc9Tbj06YFGy/0BeJBHn8YFJ9Q0d2VCPWDBBsS8NAdXu3D662rekDgXPTO26kBYQR36uEueLQ
Wqw8+qcP0Gow4YA47t5nDolId4z8Ye9IPqANwaP7mCn2Ic1oJPPIHUfuLI+9OmR9evsM2mMLAke4
Ng66vRG441KeT4x9Ap/WJ/runeHFmyLnZ5bGLdwgWSQt+imPdtyTdAvAZxJxDupiNVXiyEYO/kPi
DvPPUTExfJQ2F8jdP25ZQvV52mLsoo9+4iPwDboElO/cMfFxfI6OLEU3i6JoH+x+ela0Gi+O20I4
3g+PsXEuY79nHrIQ4gxAxeDbWGGcsz3vR6alFDVZD9crqiPXfBg020JJIN9IZRhpI6dSJO1QsWPu
ORO4Zxqz8WNi2uEdtCw9amsTUTRzm2vTrWe3/x82qtCYqAatjltKh1edlb6rW4LJchjvwTGBrwGD
md6Er1K0pwEcbqlXEQPT36jYollIJYaGf7rPFZwOssWaYR0IQ/d+ruFKlIUESxGUuyfr+6HX2h2y
09LUKEAQpG7UNGW9SnignTQMYlvgXBHe6CfMeAmzH3/3eCm8CwnzGayu8kWQKPRLRM0yatb8GN61
yDmL7qzFA2bGlbIA+nEomIPHmEbmJ8WrNqzDMdUrxi7t17MH3GlDs81TKqB1XGl4W6+FfyZPzpxl
yzE6tbass2cPOijXxxVKJV1eYkUJX5/xm3T8X7aDLfWBQcZo07fwiwU8zH6/kGdyiv4fCLNgMEJN
LOEQeHx22mzFSPqPb+o+r25A5IArIiEpQZrEnmXhkat+jSDPNGw7wSLMRYeXUybFeRx2KfYvgGU/
S6L07mqVVucbfvV2IMOzigzHRPbLVemCCns9bjY6zrUOM3lZI0E06+8U02b0yPfT1J+CzF7diulA
dF+sf06rJsO5cETEs0InEJEasetfjiihN91+5CnNkilRc0THdxndj1NANagm36/rY3oDI2rQ5o4W
gaAOw6J6tWTOEfnwbCjjTdTGugUVAgKKKYo/gx7CUrT62OlpRUGNaEYtKLs6tkJRPWKTzDKrUetb
b+rs+WR2ZhXtyfEDG+ocgfmrs3RtdFipZdbTrOk090NSNV3Szj0XmpplF8P/O3CQFLXfO5aV7+N2
ROS4Bq32xsH9yUTilfcf91JI/JhYEGRTlsxZHzKrb3l17mt5cIOcQzlOHfXKN8jbJyXB/xVm7CHZ
Gg7J3CO/7yZI6jpCXKh5zJl6UZjHfyEQR8V/9p+SuhDBSpvKmL0pQiZ/rIwFbuQfmfli3kzjilJU
sWGgRsNbSLd/yzhog75Lk42uye6C4Pul+EQAjVXT3mUwNoJ02+c19364LbJ/vCdKcuJNFDkVRSwd
2uefOv8HUehtwTNWcCypho/o9vMhCIuFtegn3Gqg2LiGYKnGljMVnMDKAZwNil9y0JXixZ8lfcia
5aLaR73U1hOYwdvyuL5rbME5bYSCzpxQ2XoI0hspI26tXmoT/rS2ahcAkqfzUniGrSosMAF7bd0j
4nnWgFijG9fJ7IR/zeAwMZ5AEr05oWjwVT0+GujZqPl3kd/Kxj93H8FlVH3HItStuimyeBnZPctv
IlmsrndS10P8LYRT4dqEy9iqfEJR+QHTJFxz5vgzLqAdXrruV8AZpeJkP2GHjEDTHh5misAZybtd
uSypLXLQDYuU/P+FOnPMpqmzPvKKfMr0rvJBHKrvfNlKcR9KkDchs/cvxme5ob3NxuK1X1hykLaJ
r7iyMOgeXYI0yiTigZn7DK/gcKPvoOM61zYyAwvlflUFfE12F3mXmciTwbaz4fvPWd9f50J1DnnT
uxndVbyhcxHaf6ZgEgo9iDvYuF6gUdVRbONplfrOgR6SRl/phwEzWnTkusHRmVmb33P9pbyWx7Sk
55nTJPYhqMUPgYWngP6HewUzEObOf7HHAAhOeASoVnrK/kGG/p+gotUEgNfLn9lZ3OQvRkGuUIBs
DISLzHmYMosiOhSDAIvhdm1dZSeZvMYlSE57ysG6EMs3lVjBbWdYTRei8bUuPwTzt5Ex/e0RepEH
QsoH0XgswzusqZD8rUqJXhgNzLLq5uJ66wy8psyq5pcgmSkb34zSNIl6bmWzFgk3GqPv/LybzakS
msxIh3eqa17kGp5xE2jlU5HB2JlSFAkm6bu8M8Fu/JYy4W2YLYpAdzW1p6AaGZziaKJZAv6A2xJu
NqiOTMuNYKm2xLu3T/njuvZzZZrf00DjDC9/X5Itb+bYE/NTpHtORj9umsqqmmx6RIPUFJcM/5xV
cQBjZcDaQJ8+vqj60deLCUZfvdnHGfqOqhEQgCbW05gZckgpGk2v/uQivjuX59rubCmMm3rKy69j
CXHGMcadY5JLua5Jo8/f2pErGCHutat/PcUF4n6i36siqnBcVnADkw0tiPu8kTjkfFE4kPIOXn+W
SuxZwMHL/ZekLJj/YWEUyI7RCTuY6imykdB3Jf3TQPEgjLrK8u6sG0DPhfqVjWDvyiwPk46rpX5J
2wC90N7nHk0hi2wxhQkW7VZeH2q1rRQQ3dcQhV+vTA9jgP/VL6A8s+hs0A9sb/TAK5YtGYh/Uyw7
cv+dGm6fJoLx30XK3ZWpnDnuyLA28vOVuwAD65gbp+572MdLEtxEjdVIhFCJLjh1ICkrEn67OoDS
cSeXSCSOFZhPJEoT7vRomw+siEhz0vWmBP9nxcC4Jv5Ge4u7jvUv4hU7on3OhEWaUojEapZS6zOY
yUMkfWCLvl9P7W/OS6mmOUsVJL9R5Ef5t5+NReok14F8aQV0XjwpUVhNAWcaz9n4p8IkljyrlNnw
CP5Buvlvg3OPzqYHkD9h/W20Y4GO1icOAFFFUVjp6q2hTht2GjsV6tdeBY0rH7mt5dPGyR43h6uJ
sLMVfQ4DN+zRfypZqqtpnoEE+BVegd3rJ3SOMsYOAmn5ruIAV4gSbomVCrwMHAgaJSktgxJwNtzJ
CExB7ahuupofnDuWlKcWdkm/51CWGephMCq3NLXAF5665lYnDCH0euEpo2L2EtzOYceiPspaGaU/
OdKWNP99OmjGZE0msH/j5k3lZpHqmLMpIf6QTBLJ+MSRol8bkfOFJw2/ecz4sZcQHPXtsZW34qAp
Ogkzx9V4YiDvO/YMmIGwT0CPoKSDM6DvIiGwpU1xDDocH1uNvfa7xLkaHzdZE8RjBOVRY0252Bo6
PCccxMC1dJdRKkcsh7gDD7OsikKKbTUDvLQ5vPIrWYossjWE725GWnOEc8p8F3szhZeSs7iWM3TB
FyzZ//Ad3peljyf+8C5PazA/6bp66SJQYlaHrm/uPzKuzRD6XXmrpPeF3FuZMjwuWzeng55I24AN
dJ8cm28AXEwwWeKbLFwekg2q0DHRVybUAnbyZLASXPwMB/n59o9qOwB6rlzlBBxm4g0mzn2HisEn
dcs1OaYpjKcQzNHOfufJJIXYW0JzE74zTQgP802scyVxggUquO2NYFkQjDIeoJfzNfwjX0qS+x2I
68uoGCRLoO82jzAatVyMgcQkU+MPcdKu1Kwqj/i/7e99p8S26Vn/UOzGnXRQkzm1U/QUuEr1pgeW
J+8htVYdNuI0PFwd/xoUO4TJ+v2nYsNNcOjo2VI7PCFtnM78D0IWOK3TBctjwOhw0Lqz/kZf11Gg
fRTD5vFKibHf7nAhelQVUhl/aV6N/0oBTUJiO/dE2DXI/l75FrVXUVg6kWjNqoSK0Z//BOXz5lmf
H8EZwp+pbKf2vEVijgGkLWzE20XKoiI11ROKB05j+fycpr77BiqNwciTINfKXQTnd4iEv0AOBaGo
2dT/LNclelCyLObXNI2G8GmOOOrUqke3vUgjlf5NCvv8ro/eCNdGitoaudK/ybz6HppTSxbOizOw
drOgjsPFevBPfRCamiIckSiUAbk07Y6HzQBDRNJhn9XFFb6JpN9wsWzWrqcJ7lXQRuXgeRZwpqnx
eETW7cwhVY74QkivhuuHgCAc6jIDvczxzPpTr054/mwrzL8GK2IDIrWereOATFpPtlbTMHhDoO0R
UPhMuyRvKhJ/WBDX3TAhTSgHmbbMJT9YuuWC2WNWXgUfmeV0pC2yUvC2qySM3MSvJxDleD+aRsXK
L75UdiI5UyYJ+cl2iEht/yNb4uw0PI+XiKJHhdaiC+1eFdjuLPfoLO4uWjer2lSDd6DkfPmt7+Rb
WHhXNgQjJ+1dgLWokZ4LmjJQSD7HifpnQIrHRmA/n86nfIOOOsXTv+cczlvk4zH0fScP7y3rLh35
rtxLj9puOSgjQstEJviz17J3spwZ3x7y5PahUuO62UzU5BxHt8vfM88/ojAi6h2WJTFaQIVcw62X
AKd51nJIe/y8PhbvhzlOvEsXVHFsANHfgzzIQPghBvw7dFQ/eb1bWU6zcFqJS42rJaC37jIicAjU
07gOQAD6hCwTJHqa+aahnQlA8Yyq5kbJevyyJJcZww3PIaG0pwy91ZCXmvctG0IJrdx0P1J3yNre
PVfLqf7AxnpFQERLbgYPwYQTpKYWA7Fq+GxnEdUQ+Fn7e9Ys7pIgg5k02ngdEXtcYTBprkApXi+j
p2C29XEZB+3MlpRdtoZMTuCrxgw5BgASGp8i6+j6ypyufb66kpWNxjClX7tcjmDXP1b8SRoG6cAt
TbdmlH/VS92cCoYjgCy5LEM5tGhKdFyMNIHHP4NQTxUvMgSImNfxpiOGZ/EiXRyTk5OTgv9wgurD
6rQ7EYHnWW2/Rb01ZZan9UYZ0g5wH3oe+Gp1ofeq4aL7M9fwjB3a3ItfD7kTzF/oAWnjAPo88R8a
+HDFco3oDFGr4CpaF55rQhFaZI2eXoOJ7GZLRvQXZnBaCImDxNyfomQqq9yoeg40Asm+K9GznL6f
AZbJJ9cSmBalh2YlnCqO9R/xB6y2N1aZ2o3xs9yir2B7H6NPJVjMSKwHI6EQ3HzYxvGxLTxVSggG
XNJGjfLX+CpdAGilZ1cDl6YaJiNj9Xl1r/Zr8GYdXHO0sricTdQLPNx9mzK3oOOtQNXq7XDRFjyu
o+T0vpnNzaGIcf4OPgS9XnfNuI2mjBPgM2I//gwrBbrMwH0NAujq3qMw9j/LEbHR/Si1G1f0HgLr
XYZqe6wS96G9LIG+8GH6W4lXAz9cHYUXT+HQw7hXaMD7OTGZKcs5FZbI+XjVsPjW503zDaVTiN1F
nsYmIx4SjvLOIqvg07xdE3P/w/F2/dAZKaZHfjwenhmtz6bkKDNeW32actlu/s9inZoAXTZWzdLM
k2o8IMPf/wKRDAeqeH6USD/QaDeMlpoZ1ilZfkwmTXmRbw2M4Qo/9DQ3jgYw9FmZw7CpzXG3NFuP
NoINFQvb7x8s9CaDEtmE9hwQlGdvGwuEOSkGN+iE37/zWsizaoxEonFei/lCJYbM9XptxpM2MGhr
kUT2vpIyJU7C/TGw0OKPRdQljOQ2M4aOTxAhOXzqSMaksMIi16dc6MParuRrNoNQbkSwLySwJVQ0
LstRgR7luDdtVEHQpzy2BECXpYct80s6sRnJJQXtYHy1PgvkjreG2VxNT7xYeBjpuvabTufvp+Yo
2J6wMWND+88lro+h2f+kKOzk8XpA0bNLyxJMdZ4VzUlIniGfKzDYwMzPcgaf61RpODoLjFkjSDeo
OJm0QYpGJgnibIP0+Mo2962Z/yQatP5sdoku9jKNie5A1IKbyjIzH5FrUnMYqxJOYLcj7Rmbs513
jCzDxM4+l/Y5/IbYXqPKEaMV3r3moIHlJHJc5lg9jkw/GHYw4+JruQTsFf1th9zQcGXM1ckpuc8A
pWQ5fkzJUX4GoXqWQTqe9nsbvZ+YbrlVMXqZe+N9b2yBZGxc4b6n/4VYOVM74MYt7l/zp6Rcsq86
R/Yjjp1CjqvDZhB3q+0XnO/1zTjGgCEqrSA+hIXGCu3nhFuvTCs3rR33TCHEJsd8Nij64lqgeIp3
4kfjFfXquXt8WkF6KCb8HNdSORYZ4ZJ+cYfkFt4GPpn1yv4uTIRXGm7Ol4Mpw/yZrqJw8hPtHDex
pjWq8C0gTaumFY+73q0oveT7dNMueljAI/9GAKg93cVumP4VkGk9ST3ik4L2kNhIPInxuV5sJ68G
68UvdBw0atjc4qeRTf3Ui82FsM5khX7tVrABHN90CZfLL21S7Xmnw5ppYq7HTo3wr4Pd2G82hgPx
0t+tld9qf2VefaSOXcO73z7s6pnzk8dRZzNd/FgnY6pfu2nnyxiFdRHbVsEdetmvgngaomS4ah7y
Q/aQKlBSgq2E9VaLgAnBqp1meF0QdFy2VcGPtAXlykDLecdv82NJGWFuHx2V0bhe9BSqa9D+UDtQ
jOl998CoUMlIS2DPoe9VHRE32m4uSaSGh4c9lI5bPHLSejlj9KcYy/b8BZtxXFSXplcxFf4jvfQ2
4Cmip/hfj96edofVPj0v837bsh/57j+y73Ty/wDgHqJXa4oiORMdkaW/NnxZiLX5DmzklIUBuUhs
QQB06svCn9uoHoe6reBGCP1jG+vnsUwwSTwHOfozzZrAhNMdJh9y6a/hVH9ZKR6ZZ/ajjgw5KAHm
LlPYFiJh0SAXL/yRFVTNpmn/Y8Tj2UXuGOzTRPKBJo8VrQbOcEnOJ1lDkzpw1PUwB3P9TBcYbZoJ
lEQ2XfGKCwfxDBhs4uKY5YTOsdBARVA1cdv6qA4EiuJfTg0AM5rfhDr+d743jFQM+un4Hkn/pvYy
DB8rtAYm2cuFeLXNbkD6gM68EOqRfffyYj5x9w2FEQbjzCZ4A09iyzadUNd87D4/3LDIwi0SUlST
iOoKk9HeIOiCEA33M3zi0sD/g/uZSb/ZdSlBX9F8JmyXSwAvodQQ3nFs1zmD7fc2xLmTFgj3aAws
4SmrfukyrHyboM8LbfNDkp0zsAPh7Nh+axL7tnAZogNVRDXzPjWY2qIECR2eC5km6fTB7s8/9YrQ
QuPvWob5fJ7w42RiFLyj/+KjPwC5DO4Ag1k53F2JNhAZrEC63QbKiHnVR/t6F9N7yC+qjNvLwxB/
1KHXUW547u29vDou1Ac1MEqDWdC/yAYlf6SL1fNTuo0fY7v9iuMV9d4xPEWHP0q1hLHOhiaY6zZl
RN/JPZEVGuE6tU4ZqA+GNzG1+z/y/Ruvtz8QE7YptYZq3JoSJfaK23QwYkSXF/D6VyID/I2eZkrM
n+4FMgbXtlTRCukIXrIstF4biV5tGmEiFFcR+D393+K5oZxQRyt1nsRdb1av9s4MeHzIIpp23uKZ
gHiG0Xsy9dUIPxvybv530FTDKkzMJOE+hAOM+u1bzbRdBM9Tb2KLmBsb0ZRtSN+80wA/+qYJqG3S
mZVJdyl0fkbDAbtvlmk7U4wGntNafgvyNTSrovo2EaA3Pd3iGitx/PS115jFXOdhfJPiHbbm7plb
6xLDp3owybZtIVNfYnZNVZb8xmXsqnUmMe4v4LLLH/ZE1Zee4zZtnK6f7HKif3LjPb8bfeEw5Jwj
aOHjwj6/U7tvCelf8KcGv5GoieijVaP8ELU27ZiFPeyVNtxWWvxOnD1krrXOEMjDV3jx9cLLgEXq
Z+J6G5PXkAR16UTcUoMXX84bJRhpSmY5G16uItNYavYsctSSbTqaxYsS7WiGNzLoX38lJaGKlTCh
kxkMSt6o/5Mdd95F64mvcGtRMPUiNVW3aYwh13LibTI1oKYWCCSe0eL506+VWnRq+dvbOe3i3Tpi
Hcb3P8u5YG166RIJmWz6z34b1AeoqjULKbpwaXcr71vmy1vOTITdLFYlFjPKAUu3OrT4RszG2Ba3
HTBUdX2H50cEXt7U+c+yvYI74QH7X02OthSt9baypqTgV2aU5x7t5tOnZwRiuutyOIpLZoBo1Ryh
U/KHsJfMsh7jclVYpdQlwrYmEA3AaI6GpredjNJo/UK2+eTXaUz6p+V0kl5F7GnV3EXIXXNTfzqv
OgigjYgXfNVmcauJZxIW2Ikc0CD3Dewo2svpbunfqdSRjPk/8jWMh8PCkg2GqyrPghn9mURtIBV5
YKAUBxso/qbsDFT/jsK3t16w3saGrSyJru+E+SL+NFdzumzp1JEpyf9eFQhqlUMYEYK789jBFqJD
PLpTHThZclRuE51drJEQ56FhYboTs8td0ErsJlFlWJQ/tIEMeslDUjB+kNmJZNKZ78/Ci0dPgGpa
aZWcbQdgiKLEiTw2G7wKp6+ugu6t7mrrOTGbkGGoqs7jlKQF4brCgNK2lvIEjT5VW6DxmQLaBB51
iUt7CcyUKY9NUmQROMkAEXWz7ZN9ZcpdlHzi+NUAf1vgbK3no/JHRmCHx6NiYORGc1QWT1udGTt7
Y/Lh7mGFHgA6RkdH034uQJdBmoEBJ6vd/5VXJwA7RmaOiEIg0a3UPoF+EdkK7a0ZkG50f2XDgPA5
3HECUWjh+2ByXmdCChirhzHqIplqlOoPgWjo6xvnT2reMCZQ4MPRtYhYzuqu4TTabpemmYzINTSN
cNcHr7YW1gVJnpaVAb7ZdEX/cxCcfopl1AHNbVi5n69Y1xCnfUEeHjvACn3frfZ6p3LTyheFH05X
nOZJx1NQEziDVZOtMz0v+q2sGMLAWoUtxM5PS0ei9BxnPdgbF5unaHCCT/gREuTbpq/dMQyO3bgf
gWiDTWvf928fHNW/1gLzbKmMjWg1Qtti/K8tChGrzkKzZxiXMpGm1LzVmXe84o5cK/Da9TtJnzIj
5DANYZcd84Dyysd7PWghZrBKtKTHHuNZYxfLvwuHHiMG49ZxKQl0aLcqd2nrDK5QKApMbV7gMbgX
7GqRazVudgkjOYdjp+gZdVFIEMoo+TTMLNmNgAIp0PHVUyAvoaw9EP4mIzoAmJJrYH8iUtyDXOHe
JzezDbZKpPxwrnSAV9+Dx7Qr8YnYCUsm0hccDk43smWz74DCAK6nwiPl+ehGeW5E56TqvXYXIKBQ
U5giLRNr9WtQPrpMlXYBFLQVZVgpI0MOGPhHYRQ+f693NiY9rG7LMaSxavF0Li4DMgYgOvHuVT/6
ODgZbyMAWju6NBfZgfeuMVgEWB4n963lpsGwUp1cE+zHY96fxdYWgfLRMa0rO4IRjYzt12j2tKK8
gMAkZpwYKqo7Bn9Tyu3KI84UH4Ozt/Go6FXQTzchvTt2vk+2b44Vk9XabCUOsHzokDyVsRjqn7gI
4cyLJQYtFGlHoa5KOBuGSef/NoVUYVWTMcAR5IkXaF0tLtyL7ixOv3sudnCToTNuz/UO0sYNSsCL
76N4bHnOqpPsO7nQeDcmWgd8tWbsf88JQFmy7QTtgxfPBPOlUs86EyQ4AEANfJyhnJ+row0XbcZ+
d0VPK7corZKgGQxVHnhEE+gp4kj4SzdkDrAm0rO0gVkpU22Yw/NEHC4VQRdep/Tkg8XJyM41ZZ5m
t8MAQa+nW5tp4UaZpbsWz3OopWwgUij6C/5bj8u5v9UyNq8t6HwyZR3B5tyVB3GOBB8GlWwqjAMd
gsjGNbmuWo6Tse2UW7UMIKFXzCA5m5wcZ8cpqSjjaEEb285hqMLxkmDc1PzYvz1AXDeDyN11rgzv
JuV4twbcB8opiG/yZGBD1b0ZUUOm9FO/c22bGKZuPW+gQWaRMS49WGSp6HNa3SZk7so0YExgyamZ
FDvPHlQfJoLveFHNN1EhNxmuBo2YEvJw3G+NQLMrp59SLqgewTFCmP5qtsl63Vfyh7Sil12zkfn0
dJzjQnKqoYpit/StPj2xM64NtrUITY7aqcS7x7iZxjBeEVM1bxADXPeCR/5EZXlMjZcb+xnjZe14
vEot02gij3pQY36e35S3e8TVKw6B+wpx5g4KOjYixUyJTyGxLp2m0pF6gHpd3qrvKc133crNQN/X
9ITSINydTEjIo6V8Olabrlne4/4eRK6fNUTwCul13rkZdx2vzZQIy7mdpUIsvBR5ifj6+aFMKgM0
kCqLjQtJBXm5ZOHAv48rjGDXAEX8zz7xK9roe/Xg4HZJa46UwnjFxY4PGYLGPLQaCv3NfdbdIs5L
aa5JePu2IwY2olwFBn8JW/39SCxoFsBMnkIKyIw+bJlp3qdk2SoAHSIuoupIP2UXZmt5qHQyMioD
CGQcPcg4tI3UpJ10ON5OqQqBwIeXKxh7XD40BUoh6xBpW2ZzFZg/zFEEGt2G2Ml6mn2qhL1MyVXu
zwKUrYzVbyvdgbCdjOk/L0iCSWU0F3MV30tpCDWBsVhpaqDb9N30vWCIYvn7D8kjZ7SdCwr90wQA
ak7JT+oNnTggvbpjuczicmmxO9RQ4bb7/BkISSWlz7VIHt1WQiSHMTnJfbnLaxtQYkvrsIIk8NmW
Gw4tpINwKQY/sTAVP+/P7AbIqkSBDhiL72dGLfnoCO1pHB9QIaukf2Y4v3xRH1Jyt4PkxVIzqn/4
X6oHtYliJJLtTe1OeBQQIw0DNtwsT5M4Mu+9z3vger3RnXRXtZc5V3g5S0R9TFIw7BIgLijz60e6
mHU1s3gPzbvbIIGxkiN4xNlZg9/Cgd5RwcRwKE65w9DrXIk4ATyEz72JF5TnYctg4qhbVuolJxZ+
8ZZrqsl/8kxo3Y1eCxo1N15kZzKtFExAQlr3xH2NxU8vNVZD/X9tUrU7ugXfXgH4BDu/o6fxZmAA
b3vf+Ie8FYsPscjiRN3DYEJcyfEJ/dVyLvKBFrZ0bZNNGrutpyo0hK48bdX4WOaFNq7PeETCDnFX
B9CbXdw/ZrE6W0lGKkni2OiuX87/ftsw4/46zkJuwAwyG4rYKM5ucrM6pfs2pH0tSXYlmTDvncyt
LTzKIvWQeGz0RMWJnKto+kHSMH2tK1YV+dKvjBKUUFyDaDmNEhV6dG5Ib9slac0ocvZ2U1S0xHRw
5lROKdslRFbONAmrQzBgZkoUdar6NEmgcmKvysMqgj7Acwayn2zmswZJmp6NZuZlAZOXXFuXwqP8
TCzy2jUWYUOwDKtFoO0HtCBPR03ChnlmLu88ltc9cf8ioHSs+K8OJ8MttkwyLbY4GLgZvAg0C2Iv
8j70YKiY7gTfr9xJ/kumMXh9f5739yF0JeSKWSBJo6Fjgpnw0wXQqLlSiQlsjqLEEbtmGvGHMk2F
fnHOsGFt8Ek0TY8+TIadIA==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRdqbYtYD+emJKWvdk5CjII05peDuBgi437PzUiA9Dfanoo97pQ/49On6720vtzB/5nOi+7NAv2R
irBUJoVU7A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh5FhMQ2FmtdG7dozNBaHOQRNATVfMplGP8gI07mJb8iHxdOGNZfRYqtDXWuze0fBGtjGRlC7GxA
s8oEeNc43Vz6HreBV7cTx3dfc2eHfIyR3GrrxbPPrnxLrWkmZKarXAyWnx6G/R1bRbesVmKii8Q9
5//fBqx/GHLoCsVxnP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wmO7bvGefMsMoSLtXgE7DX18XW18KKV82eC8a6a8qIYOKNkumB4Y0iKaYjVxIIuoc0SK8X/6UBge
wy3Y9nIlQvKE/s0BqfM/XQGe3hDGY0H0z24sy8n07g2L2jBNAIRqQGhQP+uzVfU4oJID6w4wRoVb
bB5KLjV/r5X7WKKek8c3gxHrTd+SA9xtU0G+KqDaZxVZksoVBlkyuSdQsnSrYn8pQMhGFcX6+eJY
R9KS45DbzW8tDupqyigYKzsvSr4WVzNJIVJF7vDjE/cDD9cv++iVVzZ1NDeszgjBjWHpiG3sTK29
nG6bx1JFnRjywZLti6puEInRpv7ls+G3oFmOkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aCcru7jE6BS5dTc+KEO9f8gQlScRDhLF86pCIcASRMjqzz7GHJZhjS6XGG1Dphc2y6jMHvhsUeZP
zUffYqci6+8TPwGC82dswoHz+n4bwXaWqSjmsw6oOe574EVFl547wKVjx+jlAeBFEP+pdq8M45J9
LUzZEynfeTkNPrkhP8A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fr8GJXUBEYtEBjF8cn/9l+0FoZZT/djLR9QiIiAnL5SsQ2BUDjkBceTKUCLrASa09Uyv0GoGYf9M
2jmCzvRke1/uwOo3dVPjFcfCJmrW+7Qe52XHPS2any2QxI/kArYrRb6G3HkROSSW01xgXI9WsIRM
9EUc8CbUix1N2n/Xf/pPXJS1w8TW+58+6gvzrcBqQnBs/IcNXXiYJQRBTdNrFlk4o3CWZGdlvH8K
ZBSSfqf3QFxod+g/mPD7P6DOoHeDIY4PJ+DtQS4rJGkgkWpiL2DA65PSUe5VSjEdMpY2W1AlWhhH
xqTHrX5FvwenScavtWwySZtiZPq56XOm4iP4JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22816)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_159 is
port (
output : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end add_159;
architecture augh of add_159 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
output <= carry_res(32 downto 1);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_159 is
port (
output : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end add_159;
architecture augh of add_159 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
output <= carry_res(32 downto 1);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity add_159 is
port (
output : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end add_159;
architecture augh of add_159 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '1' + CI
-- If CI is not present, the operation is '1' + '0'
carry_inA <= '0' & in_a & '1';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) + unsigned(carry_inB));
-- Set the outputs
output <= carry_res(32 downto 1);
end architecture;
|
---------------------------------------------------------------------
-- TITLE: Plamsa Interface (clock divider and interface to FPGA board)
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 9/15/07
-- FILENAME: plasma_3e.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- This entity divides the clock by two and interfaces to the
-- Xilinx Spartan-3E XC3S200FT256-4 FPGA with DDR.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
--use work.mlite_pack.all;
entity plasma_3e is
port(CLK_50MHZ : in std_logic;
RS232_DCE_RXD : in std_logic;
RS232_DCE_TXD : out std_logic;
SD_CK_P : out std_logic; --DDR SDRAM clock_positive
SD_CK_N : out std_logic; --clock_negative
SD_CKE : out std_logic; --clock_enable
SD_BA : out std_logic_vector(1 downto 0); -- bank_address
SD_A : out std_logic_vector(12 downto 0); -- address(row or col)
SD_CS : out std_logic; -- chip_select
SD_RAS : out std_logic; -- row_address_strobe
SD_CAS : out std_logic; -- column_address_strobe
SD_WE : out std_logic; -- write_enable
SD_DQ : inout std_logic_vector(15 downto 0); -- data
SD_UDM : out std_logic; -- upper_byte_enable
SD_UDQS : inout std_logic; -- upper_data_strobe
SD_LDM : out std_logic; -- low_byte_enable
SD_LDQS : inout std_logic; -- low_data_strobe
E_MDC : out std_logic; --Ethernet PHY
E_MDIO : inout std_logic; --management data in/out
E_RX_CLK : in std_logic; --receive clock
E_RX_DV : in std_logic; --data valid
E_RXD : in std_logic_vector(3 downto 0);
E_TX_CLK : in std_logic; --transmit clock
E_TX_EN : out std_logic; --data valid
E_TXD : out std_logic_vector(3 downto 0);
SF_CE0 : out std_logic; --NOR flash
SF_OE : out std_logic;
SF_WE : out std_logic;
SF_BYTE : out std_logic;
SF_STS : in std_logic; --status
SF_A : out std_logic_vector(24 downto 0);
SF_D : inout std_logic_vector(15 downto 1);
SPI_MISO : inout std_logic;
VGA_VSYNC : out std_logic; --VGA port
VGA_HSYNC : out std_logic;
VGA_RED : out std_logic;
VGA_GREEN : out std_logic;
VGA_BLUE : out std_logic;
PS2_CLK : in std_logic; --Keyboard
PS2_DATA : in std_logic;
LED : out std_logic_vector(7 downto 0);
ROT_CENTER : in std_logic;
ROT_A : in std_logic;
ROT_B : in std_logic;
BTN_EAST : in std_logic;
BTN_NORTH : in std_logic;
BTN_SOUTH : in std_logic;
BTN_WEST : in std_logic;
SW : in std_logic_vector(3 downto 0));
end; --entity plasma_if
architecture logic of plasma_3e is
component plasma
generic(memory_type : string := "XILINX_16X"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED";
ethernet : std_logic := '0';
eUart : std_logic := '1';
use_cache : std_logic := '0');
port(clk : in std_logic;
reset : in std_logic;
uart_write : out std_logic;
uart_read : in std_logic;
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
mem_pause_in : in std_logic;
no_ddr_start : out std_logic;
no_ddr_stop : out std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0));
end component; --plasma
component ddr_ctrl
port(clk : in std_logic;
clk_2x : in std_logic;
reset_in : in std_logic;
address : in std_logic_vector(25 downto 2);
byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
data_r : out std_logic_vector(31 downto 0);
active : in std_logic;
no_start : in std_logic;
no_stop : in std_logic;
pause : out std_logic;
SD_CK_P : out std_logic; --clock_positive
SD_CK_N : out std_logic; --clock_negative
SD_CKE : out std_logic; --clock_enable
SD_BA : out std_logic_vector(1 downto 0); --bank_address
SD_A : out std_logic_vector(12 downto 0); --address(row or col)
SD_CS : out std_logic; --chip_select
SD_RAS : out std_logic; --row_address_strobe
SD_CAS : out std_logic; --column_address_strobe
SD_WE : out std_logic; --write_enable
SD_DQ : inout std_logic_vector(15 downto 0); --data
SD_UDM : out std_logic; --upper_byte_enable
SD_UDQS : inout std_logic; --upper_data_strobe
SD_LDM : out std_logic; --low_byte_enable
SD_LDQS : inout std_logic); --low_data_strobe
end component; --ddr
signal clk_reg : std_logic;
signal address : std_logic_vector(31 downto 2);
signal data_write : std_logic_vector(31 downto 0);
signal data_read : std_logic_vector(31 downto 0);
signal data_r_ddr : std_logic_vector(31 downto 0);
signal byte_we : std_logic_vector(3 downto 0);
signal write_enable : std_logic;
signal pause_ddr : std_logic;
signal pause : std_logic;
signal no_ddr_start : std_logic;
signal no_ddr_stop : std_logic;
signal ddr_active : std_logic;
signal flash_active : std_logic;
signal flash_cnt : std_logic_vector(1 downto 0);
signal flash_we : std_logic;
signal reset : std_logic;
signal gpio0_out : std_logic_vector(31 downto 0);
signal gpio0_in : std_logic_vector(31 downto 0);
begin --architecture
--Divide 50 MHz clock by two
clk_div: process(reset, CLK_50MHZ, clk_reg)
begin
if reset = '1' then
clk_reg <= '0';
elsif rising_edge(CLK_50MHZ) then
clk_reg <= not clk_reg;
end if;
end process; --clk_div
reset <= ROT_CENTER;
E_TX_EN <= gpio0_out(28); --Ethernet
E_TXD <= gpio0_out(27 downto 24);
E_MDC <= gpio0_out(23);
E_MDIO <= gpio0_out(21) when gpio0_out(22) = '1' else 'Z';
VGA_VSYNC <= gpio0_out(20);
VGA_HSYNC <= gpio0_out(19);
VGA_RED <= gpio0_out(18);
VGA_GREEN <= gpio0_out(17);
VGA_BLUE <= gpio0_out(16);
LED <= gpio0_out(7 downto 0);
gpio0_in(31 downto 21) <= (others => '0');
gpio0_in(20 downto 13) <= E_RX_CLK & E_RX_DV & E_RXD & E_TX_CLK & E_MDIO;
gpio0_in(12 downto 10) <= SF_STS & PS2_CLK & PS2_DATA;
gpio0_in(9 downto 0) <= ROT_A & ROT_B & BTN_EAST & BTN_NORTH &
BTN_SOUTH & BTN_WEST & SW;
ddr_active <= '1' when address(31 downto 28) = "0001" else '0';
flash_active <= '1' when address(31 downto 28) = "0011" else '0';
write_enable <= '1' when byte_we /= "0000" else '0';
u1_plama: plasma
generic map (memory_type => "XILINX_16X",
log_file => "UNUSED",
eUart => '1',
ethernet => '1',
use_cache => '1')
--generic map (memory_type => "DUAL_PORT_",
-- log_file => "output2.txt",
-- ethernet => '1')
PORT MAP (
clk => clk_reg,
reset => reset,
uart_write => RS232_DCE_TXD,
uart_read => RS232_DCE_RXD,
address => address,
byte_we => byte_we,
data_write => data_write,
data_read => data_read,
mem_pause_in => pause,
no_ddr_start => no_ddr_start,
no_ddr_stop => no_ddr_stop,
gpio0_out => gpio0_out,
gpioA_in => gpio0_in);
u2_ddr: ddr_ctrl
port map (
clk => clk_reg,
clk_2x => CLK_50MHZ,
reset_in => reset,
address => address(25 downto 2),
byte_we => byte_we,
data_w => data_write,
data_r => data_r_ddr,
active => ddr_active,
no_start => no_ddr_start,
no_stop => no_ddr_stop,
pause => pause_ddr,
SD_CK_P => SD_CK_P, --clock_positive
SD_CK_N => SD_CK_N, --clock_negative
SD_CKE => SD_CKE, --clock_enable
SD_BA => SD_BA, --bank_address
SD_A => SD_A, --address(row or col)
SD_CS => SD_CS, --chip_select
SD_RAS => SD_RAS, --row_address_strobe
SD_CAS => SD_CAS, --column_address_strobe
SD_WE => SD_WE, --write_enable
SD_DQ => SD_DQ, --data
SD_UDM => SD_UDM, --upper_byte_enable
SD_UDQS => SD_UDQS, --upper_data_strobe
SD_LDM => SD_LDM, --low_byte_enable
SD_LDQS => SD_LDQS); --low_data_strobe
--Flash control (only lower 16-bit data lines connected)
flash_ctrl: process(reset, clk_reg, flash_active, write_enable,
flash_cnt, pause_ddr)
begin
if reset = '1' then
flash_cnt <= "00";
flash_we <= '1';
elsif rising_edge(clk_reg) then
if flash_active = '0' then
flash_cnt <= "00";
flash_we <= '1';
else
if write_enable = '1' and flash_cnt(1) = '0' then
flash_we <= '0';
else
flash_we <= '1';
end if;
if flash_cnt /= "11" then
flash_cnt <= flash_cnt + 1;
end if;
end if;
end if; --rising_edge(clk_reg)
if pause_ddr = '1' or (flash_active = '1' and flash_cnt /= "11") then
pause <= '1';
else
pause <= '0';
end if;
end process; --flash_ctrl
SF_CE0 <= not flash_active;
SF_OE <= write_enable or not flash_active;
SF_WE <= flash_we;
SF_BYTE <= '1'; --16-bit access
SF_A <= address(25 downto 2) & '0' when flash_active = '1' else
"0000000000000000000000000";
SF_D <= data_write(15 downto 1) when
flash_active = '1' and write_enable = '1'
else "ZZZZZZZZZZZZZZZ";
SPI_MISO <= data_write(0) when
flash_active = '1' and write_enable = '1'
else 'Z';
data_read(31 downto 16) <= data_r_ddr(31 downto 16);
data_read(15 downto 0) <= data_r_ddr(15 downto 0) when flash_active = '0'
else SF_D & SPI_MISO;
end; --architecture logic
|
------------------------------------------------------------------------------
-- Title : Swapping Channel Pairs under Counter, Top entity
------------------------------------------------------------------------------
-- Author : José Alvim Berkenbrock
-- Company : CNPEM LNLS-DIG
-- Platform : FPGA-generic
-------------------------------------------------------------------------------
-- Description: This design uses a counter to divide clock input frequency and
-- apply it as enable signal to swap the switches of rf_ch_swap
-- block. The counting constant is a generic parameter.
-- Is possible to select the blocks independently. This option
-- allow us to compare with x without swiching mode of channels to
-- see how useful is switching mode to mitigate board drifts.
-------------------------------------------------------------------------------
-- Copyright (c) 2013 CNPEM
-- Licensed under GNU Lesser General Public License (LGPL) v3.0
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2013-01-24 1.0 jose.berkenbrock Created
-- 2013-01-25 1.1 jose.berkenbrock Independently mode selection
-- 2013-01-30 1.1 jose.berkenbrock Core description
-- 2013-02-14 1.2 jose.berkenbrock Set enable divider as generic
-- 2013-02-18 2.0 jose.berkenbrock New outputs swap and en_inv[2:1]
-- 2013-02-21 3.0 jose.berkenbrock New flag output, en_inv supressed
-- 2013-02-22 4.0 jose.berkenbrock New status out;flag/swap supressed
-- 2013-03-09 5.0 jose.berkenbrock swap_div_f_i added
-- 2013-07-01 5.1 lucas.russo Changed to synchronous resets
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--library unisim;
--use unisim.vcomponents.all;
entity swap_cnt_top is
generic(
--g_en_swap_div : natural := 1023
g_swap_div_freq_vec_width : natural range 0 to 16 := 10
);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
mode1_i : in std_logic_vector(1 downto 0);
mode2_i : in std_logic_vector(1 downto 0);
swap_div_f_i : in std_logic_vector(g_swap_div_freq_vec_width-1 downto 0);
ext_clk_i : in std_logic;
ext_clk_en_i : in std_logic;
clk_swap_o : out std_logic;
clk_swap_en_i : in std_logic;
--blink_fmc : out std_logic;
status1_o : out std_logic;
status2_o : out std_logic;
ctrl1_o : out std_logic_vector(7 downto 0);
ctrl2_o : out std_logic_vector(7 downto 0)
);
end swap_cnt_top;
architecture rtl of swap_cnt_top is
component rf_ch_swap
generic(
g_direct : std_logic_vector(7 downto 0) := "10100101";
g_inverted : std_logic_vector(7 downto 0) := "01011010");
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
en_swap_i : in std_logic;
mode_i : in std_logic_vector(1 downto 0);
status_o : out std_logic;
ctrl_o : out std_logic_vector(7 downto 0)
);
end component;
signal count : natural range 0 to 2**g_swap_div_freq_vec_width-1;
signal count_half : natural range 0 to 1;
signal cnst_swap_div_f : natural range 0 to 2**g_swap_div_freq_vec_width-1;
signal count2 : natural range 0 to 20000000;
signal blink : std_logic;
signal swap : std_logic;
signal swap_mux : std_logic;
signal swap_posedge : std_logic;
signal swap_old : std_logic;
signal swap_half : std_logic;
signal status1, status1_old : std_logic;
signal status2, status2_old : std_logic;
begin
cnst_swap_div_f <= (to_integer(unsigned(swap_div_f_i))+1);
------------------------------------------------------------------
---- Mode Register
----------------------------------
-- p_reg_mode : process(clk_i)
-- begin
-- if rising_edge(clk_i) then
-- if rst_n_i = '0' then
-- s_mode <= (others => '0');
-- else
-- s_mode <= mode_i;
-- end if;
-- end if;
-- end process p_reg_mode;
----------------------------------------------------------------
-- Swapp_ch_rf Components Instantiation
----------------------------------------------------------------
swapp_inst_1: rf_ch_swap
port map (
clk_i => clk_i,
rst_n_i => rst_n_i,
--en_swap_i => swap,
en_swap_i => swap_half,
mode_i => mode1_i,
status_o => status1,
ctrl_o => ctrl1_o
);
swapp_inst_2: rf_ch_swap
port map (
clk_i => clk_i,
rst_n_i => rst_n_i,
--en_swap_i => swap,
en_swap_i => swap_half,
mode_i => mode2_i,
status_o => status2,
ctrl_o => ctrl2_o
);
----------------------------------------------------------------
p_freq_swap : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_n_i = '0' then
count <= 0;
swap <= '0';
else
if clk_swap_en_i = '0' then
count <= 0;
swap <= '0';
elsif count = cnst_swap_div_f then
count <= 0;
swap <= not swap;
else
count <= count + 1;
end if;
end if;
end if;
end process p_freq_swap;
----------------------------------------------------------------
-- Use external provided clock or the internal generated one
swap_mux <= ext_clk_i when ext_clk_en_i = '1' else swap;
p_swap_reg : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_n_i = '0' then
swap_old <= '0';
else
swap_old <= swap_mux;
end if;
end if;
end process p_swap_reg;
swap_posedge <= '1' when swap_mux = '1' and swap_old = '0' else '0';
p_freq_swap_half : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_n_i = '0' then
--count_half <= 0;
swap_half <= '0';
else
if clk_swap_en_i = '0' then
swap_half <= '0';
elsif swap_posedge = '1' then
swap_half <= not swap_half;
end if;
end if;
end if;
end process p_freq_swap_half;
----------------------------------------------------------------
p_status : process(clk_i)
begin
if rising_edge(clk_i) then
if rst_n_i = '0' then
status1_old <= '0';
status2_old <= '0';
else
status1_old <= status1;
status2_old <= status2;
end if;
end if;
end process p_status;
----------------------------------------------------------------
clk_swap_o <= swap_mux;
status1_o <= status1 xor status1_old;
status2_o <= status2 xor status2_old;
end;
|
-------------------------------------------------------------------------------
-- Title : Clock
-- Project :
-------------------------------------------------------------------------------
-- File : clock_tb.vhd
-- Author : Daniel Sun <[email protected]>
-- Company :
-- Created : 2016-03-22
-- Last update: 2017-06-17
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description: Top level test bench
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-03-22 1.0 dcsun88osh Created
-------------------------------------------------------------------------------
--configuration testbench of clock is
-- for STRUCTURE
-- for all : cpu
-- use entity work.cpu(TEST);
-- end for;
-- end for;
--end configuration;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
entity clock_tb is
end clock_tb;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
library work;
use work.util_pkg.all;
use work.tb_pkg.all;
architecture STRUCTURE of clock_tb is
component clock
port (
DDR_addr : INOUT std_logic_vector (14 DOWNTO 0);
DDR_ba : INOUT std_logic_vector (2 DOWNTO 0);
DDR_cas_n : INOUT std_logic;
DDR_ck_n : INOUT std_logic;
DDR_ck_p : INOUT std_logic;
DDR_cke : INOUT std_logic;
DDR_cs_n : INOUT std_logic;
DDR_dm : INOUT std_logic_vector (3 DOWNTO 0);
DDR_dq : INOUT std_logic_vector (31 DOWNTO 0);
DDR_dqs_n : INOUT std_logic_vector (3 DOWNTO 0);
DDR_dqs_p : INOUT std_logic_vector (3 DOWNTO 0);
DDR_odt : INOUT std_logic;
DDR_ras_n : INOUT std_logic;
DDR_reset_n : INOUT std_logic;
DDR_we_n : INOUT std_logic;
FIXED_IO_ddr_vrn : INOUT std_logic;
FIXED_IO_ddr_vrp : INOUT std_logic;
FIXED_IO_mio : INOUT std_logic_vector (53 DOWNTO 0);
FIXED_IO_ps_clk : INOUT std_logic;
FIXED_IO_ps_porb : INOUT std_logic;
FIXED_IO_ps_srstb : INOUT std_logic;
Vp_Vn_v_n : in std_logic;
Vp_Vn_v_p : in std_logic;
rtc_scl : INOUT std_logic;
rtc_sda : INOUT std_logic;
rtc_32khz : IN std_logic;
rtc_int_n : IN std_logic;
ocxo_ena : INOUT std_logic;
ocxo_clk : IN std_logic;
ocxo_scl : INOUT std_logic;
ocxo_sda : INOUT std_logic;
dac_sclk : OUT std_logic;
dac_cs_n : OUT std_logic;
dac_sin : OUT std_logic;
gps_ena : INOUT std_logic;
gps_rxd : IN std_logic;
gps_txd : OUT std_logic;
gps_3dfix : IN std_logic;
gps_1pps : IN std_logic;
temp_scl : INOUT std_logic;
temp_sda : INOUT std_logic;
temp_int1_n : IN std_logic;
temp_int2_n : IN std_logic;
disp_sclk : OUT std_logic;
disp_blank : OUT std_logic;
disp_lat : OUT std_logic;
disp_sin : OUT std_logic;
fan_tach : IN std_logic;
fan_pwm : OUT std_logic;
gpio : INOUT std_logic_vector (7 DOWNTO 0)
);
end component;
SIGNAL DDR_addr : std_logic_vector (14 DOWNTO 0);
SIGNAL DDR_ba : std_logic_vector (2 DOWNTO 0);
SIGNAL DDR_cas_n : std_logic;
SIGNAL DDR_ck_n : std_logic;
SIGNAL DDR_ck_p : std_logic;
SIGNAL DDR_cke : std_logic;
SIGNAL DDR_cs_n : std_logic;
SIGNAL DDR_dm : std_logic_vector (3 DOWNTO 0);
SIGNAL DDR_dq : std_logic_vector (31 DOWNTO 0);
SIGNAL DDR_dqs_n : std_logic_vector (3 DOWNTO 0);
SIGNAL DDR_dqs_p : std_logic_vector (3 DOWNTO 0);
SIGNAL DDR_odt : std_logic;
SIGNAL DDR_ras_n : std_logic;
SIGNAL DDR_reset_n : std_logic;
SIGNAL DDR_we_n : std_logic;
signal FIXED_IO_ddr_vrn : std_logic;
signal FIXED_IO_ddr_vrp : std_logic;
signal FIXED_IO_mio : std_logic_vector (53 downto 0);
signal FIXED_IO_ps_clk : std_logic;
signal FIXED_IO_ps_porb : std_logic;
signal FIXED_IO_ps_srstb : std_logic;
SIGNAL Vp_Vn_v_n : std_logic;
SIGNAL Vp_Vn_v_p : std_logic;
SIGNAL rtc_scl : std_logic;
SIGNAL rtc_sda : std_logic;
SIGNAL rtc_32khz : std_logic;
SIGNAL rtc_int_n : std_logic;
SIGNAL ocxo_ena : std_logic;
SIGNAL ocxo_clk : std_logic;
SIGNAL ocxo_scl : std_logic;
SIGNAL ocxo_sda : std_logic;
SIGNAL dac_sclk : std_logic;
SIGNAL dac_cs_n : std_logic;
SIGNAL dac_sin : std_logic;
SIGNAL gps_ena : std_logic;
SIGNAL gps_rxd : std_logic;
SIGNAL gps_txd : std_logic;
SIGNAL gps_3dfix : std_logic;
SIGNAL gps_1pps : std_logic;
SIGNAL temp_scl : std_logic;
SIGNAL temp_sda : std_logic;
SIGNAL temp_int1_n : std_logic;
SIGNAL temp_int2_n : std_logic;
SIGNAL disp_sclk : std_logic;
SIGNAL disp_blank : std_logic;
SIGNAL disp_lat : std_logic;
SIGNAL disp_sin : std_logic;
SIGNAL fan_tach : std_logic;
SIGNAL fan_pwm : std_logic;
SIGNAL gpio : std_logic_vector (7 DOWNTO 0);
begin
fpga: clock
port map (
DDR_addr => DDR_addr,
DDR_ba => DDR_ba,
DDR_cas_n => DDR_cas_n,
DDR_ck_n => DDR_ck_n,
DDR_ck_p => DDR_ck_p,
DDR_cke => DDR_cke,
DDR_cs_n => DDR_cs_n,
DDR_dm => DDR_dm,
DDR_dq => DDR_dq,
DDR_dqs_n => DDR_dqs_n,
DDR_dqs_p => DDR_dqs_p,
DDR_odt => DDR_odt,
DDR_ras_n => DDR_ras_n,
DDR_reset_n => DDR_reset_n,
DDR_we_n => DDR_we_n,
FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn,
FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp,
FIXED_IO_mio => FIXED_IO_mio,
FIXED_IO_ps_clk => FIXED_IO_ps_clk,
FIXED_IO_ps_porb => FIXED_IO_ps_porb,
FIXED_IO_ps_srstb => FIXED_IO_ps_srstb,
Vp_Vn_v_n => Vp_Vn_v_n,
Vp_Vn_v_p => Vp_Vn_v_p,
rtc_scl => rtc_scl,
rtc_sda => rtc_sda,
rtc_32khz => rtc_32khz,
rtc_int_n => rtc_int_n,
ocxo_ena => ocxo_ena,
ocxo_clk => ocxo_clk,
ocxo_scl => ocxo_scl,
ocxo_sda => ocxo_sda,
dac_sclk => dac_sclk,
dac_cs_n => dac_cs_n,
dac_sin => dac_sin,
gps_ena => gps_ena,
gps_rxd => gps_rxd,
gps_txd => gps_txd,
gps_3dfix => gps_3dfix,
gps_1pps => gps_1pps,
temp_scl => temp_scl,
temp_sda => temp_sda,
temp_int1_n => temp_int1_n,
temp_int2_n => temp_int2_n,
disp_sclk => disp_sclk,
disp_blank => disp_blank,
disp_lat => disp_lat,
disp_sin => disp_sin,
fan_tach => fan_tach,
fan_pwm => fan_pwm,
gpio => gpio
);
ocxo_10MHZ: clk_gen(100 ns, 50, ocxo_clk);
process
begin
loop
fan_tach <= '1';
run_clk(ocxo_clk, 10000);
fan_tach <= '0';
run_clk(ocxo_clk, 20000);
fan_tach <= '1';
run_clk(ocxo_clk, 30000);
fan_tach <= '0';
run_clk(ocxo_clk, 40000);
end loop;
end process;
process
begin
gps_1pps <= '0';
run_clk(ocxo_clk, 10000);
loop
gps_1pps <= '1';
run_clk(ocxo_clk, 1);
gps_1pps <= '0';
run_clk(ocxo_clk, 9999999);
gps_1pps <= '1';
run_clk(ocxo_clk, 1);
gps_1pps <= '0';
run_clk(ocxo_clk, 9999989);
gps_1pps <= '1';
run_clk(ocxo_clk, 1);
gps_1pps <= '0';
run_clk(ocxo_clk, 10000019);
end loop;
end process;
gps_3dfix <= '0';
gps_rxd <= '0';
Vp_Vn_v_n <= '0';
Vp_Vn_v_p <= '0';
rtc_int_n <= '1';
temp_int1_n <= '1';
temp_int2_n <= '1';
end STRUCTURE;
|
------------------------------------------------------------------------------
-- Title : CDC FIFO for Position data
------------------------------------------------------------------------------
-- Author : Lucas Maziero Russo
-- Company : CNPEM LNLS-DIG
-- Created : 2013-09-23
-- Platform : FPGA-generic
-------------------------------------------------------------------------------
-- Description: CDC FIFO for generic data. Suitable for CDC position data
-------------------------------------------------------------------------------
-- Copyright (c) 2012 CNPEM
-- Licensed under GNU Lesser General Public License (LGPL) v3.0
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2013-09-23 1.0 lucas.russo Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
-- Genrams
use work.genram_pkg.all;
entity orbit_intlk_cdc_fifo is
generic
(
g_data_width : natural;
g_size : natural
);
port
(
clk_wr_i : in std_logic;
data_i : in std_logic_vector(g_data_width-1 downto 0);
valid_i : in std_logic;
clk_rd_i : in std_logic;
rd_i : in std_logic;
data_o : out std_logic_vector(g_data_width-1 downto 0);
valid_o : out std_logic;
empty_o : out std_logic
);
end orbit_intlk_cdc_fifo;
architecture rtl of orbit_intlk_cdc_fifo is
constant c_guard_size : integer := 2;
constant c_almost_empty_thres : integer := c_guard_size;
constant c_almost_full_thres : integer := g_size - c_guard_size;
signal fifo_cdc_empty : std_logic;
signal fifo_cdc_valid : std_logic;
begin
cmp_orbit_intlk_cdc_fifo : inferred_async_fifo
generic map(
g_data_width => g_data_width,
g_size => g_size,
g_almost_empty_threshold => c_almost_empty_thres,
g_almost_full_threshold => c_almost_full_thres
)
port map(
rst_n_i => '1',
-- write port
clk_wr_i => clk_wr_i,
d_i => data_i,
we_i => valid_i, -- and valid
wr_full_o => open,
-- read port
clk_rd_i => clk_rd_i,
q_o => data_o,
rd_i => rd_i,
rd_empty_o => fifo_cdc_empty
);
empty_o <= fifo_cdc_empty;
p_gen_cdc_valid: process (clk_rd_i)
begin
if rising_edge (clk_rd_i) then
fifo_cdc_valid <= rd_i;
if fifo_cdc_empty = '1' then
fifo_cdc_valid <= '0';
end if;
end if;
end process;
valid_o <= fifo_cdc_valid;
end rtl;
|
----------------------------------------------------------------------------------
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ctrl_in is
generic(NUM_PERIF: integer:=3);
Port ( dir : in STD_LOGIC_VECTOR (31 downto 0);
data_read : in STD_LOGIC;
perif_en : out STD_LOGIC_VECTOR (NUM_PERIF-1 downto 0));
end ctrl_in;
architecture Behavioral of ctrl_in is
begin
process (data_read,dir)
begin
if (data_read='1') then
if dir <= x"0000FFFF" and dir >= x"00000000" then
perif_en<="001";
elsif dir <= x"600000FF" and dir >= x"60000000"then
perif_en<="010";
elsif dir <= x"600001FF" and dir >= x"60000100"then
perif_en<="100";
else
perif_en<="000";
end if;
else
perif_en<="000";
end if;
end process;
end Behavioral;
|
--========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package types_pkg is
file ALERT_FILE : text;
file LOG_FILE : text;
constant C_LOG_HDR_FOR_WAVEVIEW_WIDTH : natural := 100; -- For string in waveview indicating last log header
constant C_NUM_SYNC_FLAGS : positive := 10;
constant C_FLAG_NAME_LENGTH : positive := 20;
type t_void is (VOID);
type t_natural_array is array (natural range <>) of natural;
type t_integer_array is array (natural range <>) of integer;
type t_byte_array is array (natural range <>) of std_logic_vector(7 downto 0);
-- Note: Most types below have a matching to_string() in 'string_methods_pkg.vhd'
type t_info_target is (LOG_INFO, ALERT_INFO, USER_INFO);
type t_alert_level is (NO_ALERT, NOTE, TB_NOTE, WARNING, TB_WARNING, MANUAL_CHECK, ERROR, TB_ERROR, FAILURE, TB_FAILURE);
type t_enabled is (ENABLED, DISABLED);
type t_attention is (REGARD, EXPECT, IGNORE);
type t_radix is (BIN, HEX, DEC, HEX_BIN_IF_INVALID);
type t_radix_prefix is (EXCL_RADIX, INCL_RADIX);
type t_order is (INTERMEDIATE, FINAL);
type t_ascii_allow is (ALLOW_ALL, ALLOW_PRINTABLE_ONLY);
type t_blocking_mode is (BLOCKING, NON_BLOCKING);
type t_from_point_in_time is (FROM_NOW, FROM_LAST_EVENT);
type t_format_zeros is (AS_IS, KEEP_LEADING_0, SKIP_LEADING_0); -- AS_IS is deprecated and will be removed. Use KEEP_LEADING_0.
type t_format_string is (AS_IS, TRUNCATE, SKIP_LEADING_SPACE); -- Deprecated, will be removed.
type t_format_spaces is (KEEP_LEADING_SPACE, SKIP_LEADING_SPACE);
type t_truncate_string is (ALLOW_TRUNCATE, DISALLOW_TRUNCATE);
type t_log_format is (FORMATTED, UNFORMATTED);
type t_log_if_block_empty is (WRITE_HDR_IF_BLOCK_EMPTY, SKIP_LOG_IF_BLOCK_EMPTY, NOTIFY_IF_BLOCK_EMPTY);
type t_log_destination is (CONSOLE_AND_LOG, CONSOLE_ONLY, LOG_ONLY);
type t_match_strictness is (MATCH_STD, MATCH_EXACT);
type t_alert_counters is array (NOTE to t_alert_level'right) of natural;
type t_alert_attention is array (NOTE to t_alert_level'right) of t_attention;
type t_attention_counters is array (t_attention'left to t_attention'right) of natural; -- Only used to build below type
type t_alert_attention_counters is array (NOTE to t_alert_level'right) of t_attention_counters;
type t_quietness is (NON_QUIET, QUIET);
type t_deprecate_setting is (NO_DEPRECATE, DEPRECATE_ONCE, ALWAYS_DEPRECATE);
type t_deprecate_list is array(0 to 9) of string(1 to 100);
type t_action_when_transfer_is_done is (RELEASE_LINE_AFTER_TRANSFER, HOLD_LINE_AFTER_TRANSFER);
type t_active_level is (ACTIVE_HIGH, ACTIVE_LOW);
type t_global_ctrl is record
attention : t_alert_attention;
stop_limit : t_alert_counters;
end record;
type t_current_log_hdr is record
normal : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH);
large : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH);
xl : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH);
end record;
-- type for await_unblock_flag whether the method should set the flag back to blocked or not
type t_flag_returning is (KEEP_UNBLOCKED, RETURN_TO_BLOCK); -- value after unblock
type t_sync_flag_record is record
flag_name : string(1 to C_FLAG_NAME_LENGTH);
is_active : boolean;
end record;
constant C_SYNC_FLAG_DEFAULT : t_sync_flag_record := (
flag_name => (others => ' '),
is_active => true
);
type t_sync_flag_record_array is array (1 to C_NUM_SYNC_FLAGS) of t_sync_flag_record;
type t_uvvm_status is record
no_unexpected_simulation_warnings_or_worse : natural range 0 to 1;
no_unexpected_simulation_errors_or_worse : natural range 0 to 1;
end record t_uvvm_status;
-------------------------------------
-- BFMs and above
-------------------------------------
type t_transaction_result is (ACK, NAK, ERROR); -- add more when needed
type t_hierarchy_alert_level_print is array (NOTE to t_alert_level'right) of boolean;
constant C_HIERARCHY_NODE_NAME_LENGTH : natural := 20;
type t_hierarchy_node is
record
name : string(1 to C_HIERARCHY_NODE_NAME_LENGTH);
alert_attention_counters : t_alert_attention_counters;
alert_stop_limit : t_alert_counters;
alert_level_print : t_hierarchy_alert_level_print;
end record;
type t_bfm_delay_type is (NO_DELAY, TIME_FINISH2START, TIME_START2START);
type t_inter_bfm_delay is
record
delay_type : t_bfm_delay_type;
delay_in_time : time;
inter_bfm_delay_violation_severity : t_alert_level;
end record;
end package types_pkg;
package body types_pkg is
end package body types_pkg;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
package driveseg_comp is
component driveseg
Port(
data : in STD_LOGIC_VECTOR (15 downto 0);
seg_c : out STD_LOGIC_VECTOR (7 downto 0);
seg_a : out std_logic_vector (3 downto 0);
en : in std_logic_vector(3 downto 0);
clk : in std_logic;
rst : in std_logic);
end component;
end package;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.driveseg_comp.all;
entity driveseg is
Port ( data : in STD_LOGIC_VECTOR (15 downto 0);
seg_c : out STD_LOGIC_VECTOR (7 downto 0);
seg_a : out std_logic_vector (3 downto 0);
en : in std_logic_vector(3 downto 0);
clk : in std_logic;
rst : in std_logic);
end driveseg;
architecture Behavioral of driveseg is
signal latch : std_logic_vector(15 downto 0);
signal active : std_logic_vector(3 downto 0);
signal active_new : std_logic_vector(3 downto 0);
signal cathode, cathode_new : std_logic_vector(7 downto 0);
signal divider : unsigned(27 downto 0);
begin
seg_a <= active;
seg_c <= cathode;
process(clk,rst)
variable div,old : std_logic;
begin
if rst = '1' then
latch <= (others => '0');
active <= "1110";
cathode <= (others => '0');
divider <= (others => '0');
old := '0';
elsif rising_edge(clk) then
div := divider(16);
if old = '0' and div = '1' then
active <= active_new;
cathode <= cathode_new;
end if;
latch <= data;
divider <= divider + "1";
old := div;
end if;
end process;
process(en,active,latch,cathode)
variable digit : std_logic_vector(3 downto 0);
variable segen : std_logic;
variable active_next : std_logic_vector(3 downto 0);
variable cathode_next : std_logic_vector(7 downto 0);
begin
active_next := active(2 downto 0) & active(3);
cathode_next := cathode;
-- Turn off dots
cathode_next(7) := '1';
-- Extract the current digit
case active_next is
when "1110" => digit := latch( 3 downto 0);
when "1101" => digit := latch( 7 downto 4);
when "1011" => digit := latch(11 downto 8);
when "0111" => digit := latch(15 downto 12);
when others => digit := "0000";
end case;
-- Check if the current digit is active
segen := (not active_next(3) and en(3)) or (not active_next(2) and en(2)) or (not active_next(1) and en(1)) or (not active_next(0) and en(0));
-- Drive the segment cathode based on the given digit
if segen = '1' then
case digit is
when "0000" => cathode_next(6 downto 0) := "1000000";
when "0001" => cathode_next(6 downto 0) := "1111001";
when "0010" => cathode_next(6 downto 0) := "0100100";
when "0011" => cathode_next(6 downto 0) := "0110000";
when "0100" => cathode_next(6 downto 0) := "0011001";
when "0101" => cathode_next(6 downto 0) := "0010010";
when "0110" => cathode_next(6 downto 0) := "0000010";
when "0111" => cathode_next(6 downto 0) := "1111000";
when "1000" => cathode_next(6 downto 0) := "0000000";
when "1001" => cathode_next(6 downto 0) := "0010000";
when "1010" => cathode_next(6 downto 0) := "0001000";
when "1011" => cathode_next(6 downto 0) := "0000011";
when "1100" => cathode_next(6 downto 0) := "1000110";
when "1101" => cathode_next(6 downto 0) := "0100001";
when "1110" => cathode_next(6 downto 0) := "0000110";
when "1111" => cathode_next(6 downto 0) := "0001110";
when others => cathode_next(6 downto 0) := "0111111";
end case;
else
cathode_next(6 downto 0) := "1111111";
end if;
active_new <= active_next;
cathode_new <= cathode_next;
end process;
end Behavioral;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for ent_ae
--
-- Generated
-- by: wig
-- on: Mon Apr 10 13:27:22 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../bitsplice.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_ae-e.vhd,v 1.1 2006/04/10 15:42:08 wig Exp $
-- $Date: 2006/04/10 15:42:08 $
-- $Log: ent_ae-e.vhd,v $
-- Revision 1.1 2006/04/10 15:42:08 wig
-- Updated testcase (__TOP__)
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.44 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ent_ae
--
entity ent_ae is
-- Generics:
-- No Generated Generics for Entity ent_ae
-- Generated Port Declaration:
-- No Generated Port for Entity ent_ae
end ent_ae;
--
-- End of Generated Entity ent_ae
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.27.10:05:29
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE is
port (
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
counter : in std_logic_vector(23 downto 0) := (others => '0'); -- counter.wire
col : in std_logic_vector(31 downto 0) := (others => '0'); -- col.wire
colorbar : out std_logic_vector(23 downto 0); -- colorbar.wire
data_en : in std_logic := '0'; -- data_en.wire
ctrl_en : in std_logic := '0' -- ctrl_en.wire
);
end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE;
architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_pipelined_adder_GNWEIMU3MK is
generic (
width : natural := 0;
pipeline : integer := 0
);
port (
aclr : in std_logic := 'X'; -- clk
add_sub : in std_logic := 'X'; -- wire
cin : in std_logic := 'X'; -- wire
clock : in std_logic := 'X'; -- clk
cout : out std_logic; -- wire
dataa : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
datab : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
result : out std_logic_vector(width-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_pipelined_adder_GNWEIMU3MK;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_port_GNEPKLLZKY is
port (
input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(31 downto 0) -- wire
);
end component alt_dspbuilder_port_GNEPKLLZKY;
component alt_dspbuilder_bus_build_GNI6E4JZ66 is
generic (
width : natural := 8
);
port (
output : out std_logic_vector(2 downto 0); -- wire
in0 : in std_logic := 'X'; -- wire
in1 : in std_logic := 'X'; -- wire
in2 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_bus_build_GNI6E4JZ66;
component alt_dspbuilder_divider_GNKAPZN5MO is
generic (
Signed : natural := 0;
width : natural := 8;
pipeline : natural := 0
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
denom : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
numer : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
quotient : out std_logic_vector(width-1 downto 0); -- wire
remain : out std_logic_vector(width-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_divider_GNKAPZN5MO;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_if_statement_GNJ7D74ANQ is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNJ7D74ANQ;
component alt_dspbuilder_constant_GNKT7L5CDY is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNKT7L5CDY;
component alt_dspbuilder_if_statement_GNIV4UP6ZO is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNIV4UP6ZO;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_if_statement_GNMQPB5LUF is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNMQPB5LUF;
component alt_dspbuilder_if_statement_GNZR777PB6 is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNZR777PB6;
component alt_dspbuilder_constant_GNUWBUDS4L is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNUWBUDS4L;
component alt_dspbuilder_constant_GNJ2DIDH6N is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNJ2DIDH6N;
component alt_dspbuilder_logical_bit_op_GNUQ2R64DV is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNUQ2R64DV;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_constant_GNNCFWNIJI is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(15 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNNCFWNIJI;
component alt_dspbuilder_if_statement_GNWHMBR6GA is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNWHMBR6GA;
component alt_dspbuilder_single_pulse_GN2XGKTRR3 is
generic (
delay : positive := 1;
signal_type : string := "Impulse";
impulse_width : positive := 1
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
result : out std_logic; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_single_pulse_GN2XGKTRR3;
component StateMachineEditor1 is
port (
clock : in std_logic := 'X'; -- clk
col_select : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
data : out std_logic_vector(23 downto 0); -- wire
data_en : in std_logic := 'X'; -- wire
reset : in std_logic := 'X' -- wire
);
end component StateMachineEditor1;
component alt_dspbuilder_counter_GNZKRIGTBB is
generic (
use_usr_aclr : string := "false";
use_ena : string := "false";
use_cin : string := "false";
use_sset : string := "false";
ndirection : natural := 1;
svalue : string := "0";
use_sload : string := "false";
use_sclr : string := "false";
use_cout : string := "false";
modulus : integer := 256;
use_cnt_ena : string := "false";
width : natural := 8;
use_aset : string := "false";
use_aload : string := "false";
avalue : string := "0"
);
port (
aclr : in std_logic := 'X'; -- clk
aload : in std_logic := 'X'; -- wire
aset : in std_logic := 'X'; -- wire
cin : in std_logic := 'X'; -- wire
clock : in std_logic := 'X'; -- clk
cnt_ena : in std_logic := 'X'; -- wire
cout : out std_logic; -- wire
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
direction : in std_logic := 'X'; -- wire
ena : in std_logic := 'X'; -- wire
q : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X'; -- wire
sload : in std_logic := 'X'; -- wire
sset : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_counter_GNZKRIGTBB;
component alt_dspbuilder_multiplier_GNEIWYOKUR is
generic (
DEDICATED_MULTIPLIER_CIRCUITRY : string := "AUTO";
Signed : natural := 0;
OutputMsb : integer := 8;
aWidth : natural := 8;
bWidth : natural := 8;
OutputLsb : integer := 0;
pipeline : integer := 0
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
dataa : in std_logic_vector(aWidth-1 downto 0) := (others => 'X'); -- wire
datab : in std_logic_vector(bWidth-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
result : out std_logic_vector(OutputMsb-OutputLsb+1-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_multiplier_GNEIWYOKUR;
component alt_dspbuilder_cast_GN7PRGDOVA is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN7PRGDOVA;
component alt_dspbuilder_cast_GNCPEUNC4M is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNCPEUNC4M;
component alt_dspbuilder_cast_GNKIWLRTQI is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNKIWLRTQI;
component alt_dspbuilder_cast_GNLHWQIRQK is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(2 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNLHWQIRQK;
signal pipelined_adder3user_aclrgnd_output_wire : std_logic; -- Pipelined_Adder3user_aclrGND:output -> Pipelined_Adder3:user_aclr
signal pipelined_adder3enavcc_output_wire : std_logic; -- Pipelined_Adder3enaVCC:output -> Pipelined_Adder3:ena
signal divideruser_aclrgnd_output_wire : std_logic; -- Divideruser_aclrGND:output -> Divider:user_aclr
signal dividerenavcc_output_wire : std_logic; -- DividerenaVCC:output -> Divider:ena
signal single_pulse1sclrgnd_output_wire : std_logic; -- Single_Pulse1sclrGND:output -> Single_Pulse1:sclr
signal single_pulse1enavcc_output_wire : std_logic; -- Single_Pulse1enaVCC:output -> Single_Pulse1:ena
signal multiplieruser_aclrgnd_output_wire : std_logic; -- Multiplieruser_aclrGND:output -> Multiplier:user_aclr
signal multiplierenavcc_output_wire : std_logic; -- MultiplierenaVCC:output -> Multiplier:ena
signal constant9_output_wire : std_logic_vector(23 downto 0); -- Constant9:output -> Counter1:data
signal constant8_output_wire : std_logic_vector(23 downto 0); -- Constant8:output -> Divider:denom
signal counter1_q_wire : std_logic_vector(23 downto 0); -- Counter1:q -> [If_Statement1:a, If_Statement2:a, If_Statement3:a, If_Statement:a]
signal divider_quotient_wire : std_logic_vector(23 downto 0); -- Divider:quotient -> [If_Statement1:b, If_Statement:b, Multiplier:dataa]
signal if_statement_true_wire : std_logic; -- If_Statement:true -> Bus_Builder:in0
signal if_statement1_true_wire : std_logic; -- If_Statement1:true -> Bus_Builder:in1
signal if_statement2_true_wire : std_logic; -- If_Statement2:true -> Bus_Builder:in2
signal counter_0_output_wire : std_logic_vector(23 downto 0); -- counter_0:output -> If_Statement5:a
signal if_statement3_true_wire : std_logic; -- If_Statement3:true -> Logical_Bit_Operator12:data0
signal data_en_0_output_wire : std_logic; -- data_en_0:output -> [Logical_Bit_Operator12:data1, Logical_Bit_Operator8:data0, State_Machine_Editor1:data_en]
signal ctrl_en_0_output_wire : std_logic; -- ctrl_en_0:output -> Logical_Bit_Operator7:data0
signal logical_bit_operator12_result_wire : std_logic; -- Logical_Bit_Operator12:result -> Logical_Bit_Operator7:data1
signal logical_bit_operator7_result_wire : std_logic; -- Logical_Bit_Operator7:result -> Counter1:sload
signal if_statement5_true_wire : std_logic; -- If_Statement5:true -> Logical_Bit_Operator8:data1
signal logical_bit_operator8_result_wire : std_logic; -- Logical_Bit_Operator8:result -> Counter1:cnt_ena
signal constant6_output_wire : std_logic_vector(23 downto 0); -- Constant6:output -> Multiplier:datab
signal constant13_output_wire : std_logic_vector(23 downto 0); -- Constant13:output -> Pipelined_Adder3:datab
signal pipelined_adder3_result_wire : std_logic_vector(23 downto 0); -- Pipelined_Adder3:result -> If_Statement2:c
signal single_pulse1_result_wire : std_logic; -- Single_Pulse1:result -> State_Machine_Editor1:reset
signal state_machine_editor1_data_wire : std_logic_vector(23 downto 0); -- State_Machine_Editor1:data -> colorbar_0:input
signal col_0_output_wire : std_logic_vector(31 downto 0); -- col_0:output -> [cast0:input, cast1:input, cast2:input, cast6:input]
signal cast0_output_wire : std_logic_vector(23 downto 0); -- cast0:output -> Divider:numer
signal cast1_output_wire : std_logic_vector(23 downto 0); -- cast1:output -> If_Statement:c
signal cast2_output_wire : std_logic_vector(23 downto 0); -- cast2:output -> If_Statement3:b
signal constant11_output_wire : std_logic_vector(15 downto 0); -- Constant11:output -> cast3:input
signal cast3_output_wire : std_logic_vector(23 downto 0); -- cast3:output -> If_Statement5:b
signal multiplier_result_wire : std_logic_vector(47 downto 0); -- Multiplier:result -> [cast4:input, cast5:input]
signal cast4_output_wire : std_logic_vector(23 downto 0); -- cast4:output -> If_Statement1:c
signal cast5_output_wire : std_logic_vector(23 downto 0); -- cast5:output -> If_Statement2:b
signal cast6_output_wire : std_logic_vector(23 downto 0); -- cast6:output -> Pipelined_Adder3:dataa
signal bus_builder_output_wire : std_logic_vector(2 downto 0); -- Bus_Builder:output -> cast7:input
signal cast7_output_wire : std_logic_vector(2 downto 0); -- cast7:output -> State_Machine_Editor1:col_select
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Counter1:aclr, Divider:aclr, Multiplier:aclr, Pipelined_Adder3:aclr, Single_Pulse1:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Counter1:clock, Divider:clock, Multiplier:clock, Pipelined_Adder3:clock, Single_Pulse1:clock, State_Machine_Editor1:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
pipelined_adder3 : component alt_dspbuilder_pipelined_adder_GNWEIMU3MK
generic map (
width => 24,
pipeline => 2
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
dataa => cast6_output_wire, -- dataa.wire
datab => constant13_output_wire, -- datab.wire
result => pipelined_adder3_result_wire, -- result.wire
user_aclr => pipelined_adder3user_aclrgnd_output_wire, -- user_aclr.wire
ena => pipelined_adder3enavcc_output_wire -- ena.wire
);
pipelined_adder3user_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => pipelined_adder3user_aclrgnd_output_wire -- output.wire
);
pipelined_adder3enavcc : component alt_dspbuilder_vcc_GN
port map (
output => pipelined_adder3enavcc_output_wire -- output.wire
);
col_0 : component alt_dspbuilder_port_GNEPKLLZKY
port map (
input => col, -- input.wire
output => col_0_output_wire -- output.wire
);
bus_builder : component alt_dspbuilder_bus_build_GNI6E4JZ66
generic map (
width => 3
)
port map (
output => bus_builder_output_wire, -- output.wire
in0 => if_statement_true_wire, -- in0.wire
in1 => if_statement1_true_wire, -- in1.wire
in2 => if_statement2_true_wire -- in2.wire
);
divider : component alt_dspbuilder_divider_GNKAPZN5MO
generic map (
Signed => 0,
width => 24,
pipeline => 0
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
numer => cast0_output_wire, -- numer.wire
denom => constant8_output_wire, -- denom.wire
quotient => divider_quotient_wire, -- quotient.wire
remain => open, -- remain.wire
user_aclr => divideruser_aclrgnd_output_wire, -- user_aclr.wire
ena => dividerenavcc_output_wire -- ena.wire
);
divideruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => divideruser_aclrgnd_output_wire -- output.wire
);
dividerenavcc : component alt_dspbuilder_vcc_GN
port map (
output => dividerenavcc_output_wire -- output.wire
);
colorbar_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => state_machine_editor1_data_wire, -- input.wire
output => colorbar -- output.wire
);
if_statement5 : component alt_dspbuilder_if_statement_GNJ7D74ANQ
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "a>b",
number_inputs => 2,
width => 24
)
port map (
true => if_statement5_true_wire, -- true.wire
a => counter_0_output_wire, -- a.wire
b => cast3_output_wire -- b.wire
);
counter_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => counter, -- input.wire
output => counter_0_output_wire -- output.wire
);
constant6 : component alt_dspbuilder_constant_GNKT7L5CDY
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000010",
width => 24
)
port map (
output => constant6_output_wire -- output.wire
);
if_statement3 : component alt_dspbuilder_if_statement_GNIV4UP6ZO
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "a=b",
number_inputs => 2,
width => 24
)
port map (
true => if_statement3_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => cast2_output_wire -- b.wire
);
logical_bit_operator12 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator12_result_wire, -- result.wire
data0 => if_statement3_true_wire, -- data0.wire
data1 => data_en_0_output_wire -- data1.wire
);
if_statement2 : component alt_dspbuilder_if_statement_GNMQPB5LUF
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "((a>b) or (a=b)) and ((a<c) or (a=c))",
number_inputs => 3,
width => 24
)
port map (
true => if_statement2_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => cast5_output_wire, -- b.wire
c => pipelined_adder3_result_wire -- c.wire
);
if_statement1 : component alt_dspbuilder_if_statement_GNZR777PB6
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "((a>b) or (a=b)) and (a<c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement1_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => divider_quotient_wire, -- b.wire
c => cast4_output_wire -- c.wire
);
constant8 : component alt_dspbuilder_constant_GNUWBUDS4L
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000011",
width => 24
)
port map (
output => constant8_output_wire -- output.wire
);
constant9 : component alt_dspbuilder_constant_GNJ2DIDH6N
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000001",
width => 24
)
port map (
output => constant9_output_wire -- output.wire
);
logical_bit_operator7 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV
generic map (
LogicalOp => "AltOR",
number_inputs => 2
)
port map (
result => logical_bit_operator7_result_wire, -- result.wire
data0 => ctrl_en_0_output_wire, -- data0.wire
data1 => logical_bit_operator12_result_wire -- data1.wire
);
ctrl_en_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => ctrl_en, -- input.wire
output => ctrl_en_0_output_wire -- output.wire
);
constant11 : component alt_dspbuilder_constant_GNNCFWNIJI
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "0000000000000100",
width => 16
)
port map (
output => constant11_output_wire -- output.wire
);
if_statement : component alt_dspbuilder_if_statement_GNWHMBR6GA
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "((a>zero) and (a<b)) or (a=c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => divider_quotient_wire, -- b.wire
c => cast1_output_wire -- c.wire
);
data_en_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => data_en, -- input.wire
output => data_en_0_output_wire -- output.wire
);
constant13 : component alt_dspbuilder_constant_GNJ2DIDH6N
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000001",
width => 24
)
port map (
output => constant13_output_wire -- output.wire
);
logical_bit_operator8 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator8_result_wire, -- result.wire
data0 => data_en_0_output_wire, -- data0.wire
data1 => if_statement5_true_wire -- data1.wire
);
single_pulse1 : component alt_dspbuilder_single_pulse_GN2XGKTRR3
generic map (
delay => 1,
signal_type => "Step Down",
impulse_width => 1
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
result => single_pulse1_result_wire, -- result.wire
sclr => single_pulse1sclrgnd_output_wire, -- sclr.wire
ena => single_pulse1enavcc_output_wire -- ena.wire
);
single_pulse1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => single_pulse1sclrgnd_output_wire -- output.wire
);
single_pulse1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => single_pulse1enavcc_output_wire -- output.wire
);
state_machine_editor1 : component StateMachineEditor1
port map (
clock => clock_0_clock_output_clk, -- clock.clk
reset => single_pulse1_result_wire, -- reset.wire
col_select => cast7_output_wire, -- col_select.wire
data_en => data_en_0_output_wire, -- data_en.wire
data => state_machine_editor1_data_wire -- data.wire
);
counter1 : component alt_dspbuilder_counter_GNZKRIGTBB
generic map (
use_usr_aclr => "false",
use_ena => "false",
use_cin => "false",
use_sset => "false",
ndirection => 1,
svalue => "1",
use_sload => "true",
use_sclr => "false",
use_cout => "false",
modulus => 65536,
use_cnt_ena => "true",
width => 24,
use_aset => "false",
use_aload => "false",
avalue => "0"
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => constant9_output_wire, -- data.wire
cnt_ena => logical_bit_operator8_result_wire, -- cnt_ena.wire
sload => logical_bit_operator7_result_wire, -- sload.wire
q => counter1_q_wire, -- q.wire
cout => open -- cout.wire
);
multiplier : component alt_dspbuilder_multiplier_GNEIWYOKUR
generic map (
DEDICATED_MULTIPLIER_CIRCUITRY => "YES",
Signed => 0,
OutputMsb => 47,
aWidth => 24,
bWidth => 24,
OutputLsb => 0,
pipeline => 0
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
dataa => divider_quotient_wire, -- dataa.wire
datab => constant6_output_wire, -- datab.wire
result => multiplier_result_wire, -- result.wire
user_aclr => multiplieruser_aclrgnd_output_wire, -- user_aclr.wire
ena => multiplierenavcc_output_wire -- ena.wire
);
multiplieruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiplieruser_aclrgnd_output_wire -- output.wire
);
multiplierenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiplierenavcc_output_wire -- output.wire
);
cast0 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast0_output_wire -- output.wire
);
cast1 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast1_output_wire -- output.wire
);
cast2 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast2_output_wire -- output.wire
);
cast3 : component alt_dspbuilder_cast_GNCPEUNC4M
generic map (
round => 0,
saturate => 0
)
port map (
input => constant11_output_wire, -- input.wire
output => cast3_output_wire -- output.wire
);
cast4 : component alt_dspbuilder_cast_GNKIWLRTQI
generic map (
round => 0,
saturate => 0
)
port map (
input => multiplier_result_wire, -- input.wire
output => cast4_output_wire -- output.wire
);
cast5 : component alt_dspbuilder_cast_GNKIWLRTQI
generic map (
round => 0,
saturate => 0
)
port map (
input => multiplier_result_wire, -- input.wire
output => cast5_output_wire -- output.wire
);
cast6 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast6_output_wire -- output.wire
);
cast7 : component alt_dspbuilder_cast_GNLHWQIRQK
generic map (
round => 0,
saturate => 0
)
port map (
input => bus_builder_output_wire, -- input.wire
output => cast7_output_wire -- output.wire
);
end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE
|
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.27.10:05:29
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE is
port (
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
counter : in std_logic_vector(23 downto 0) := (others => '0'); -- counter.wire
col : in std_logic_vector(31 downto 0) := (others => '0'); -- col.wire
colorbar : out std_logic_vector(23 downto 0); -- colorbar.wire
data_en : in std_logic := '0'; -- data_en.wire
ctrl_en : in std_logic := '0' -- ctrl_en.wire
);
end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE;
architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_pipelined_adder_GNWEIMU3MK is
generic (
width : natural := 0;
pipeline : integer := 0
);
port (
aclr : in std_logic := 'X'; -- clk
add_sub : in std_logic := 'X'; -- wire
cin : in std_logic := 'X'; -- wire
clock : in std_logic := 'X'; -- clk
cout : out std_logic; -- wire
dataa : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
datab : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
result : out std_logic_vector(width-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_pipelined_adder_GNWEIMU3MK;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_port_GNEPKLLZKY is
port (
input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(31 downto 0) -- wire
);
end component alt_dspbuilder_port_GNEPKLLZKY;
component alt_dspbuilder_bus_build_GNI6E4JZ66 is
generic (
width : natural := 8
);
port (
output : out std_logic_vector(2 downto 0); -- wire
in0 : in std_logic := 'X'; -- wire
in1 : in std_logic := 'X'; -- wire
in2 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_bus_build_GNI6E4JZ66;
component alt_dspbuilder_divider_GNKAPZN5MO is
generic (
Signed : natural := 0;
width : natural := 8;
pipeline : natural := 0
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
denom : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
numer : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
quotient : out std_logic_vector(width-1 downto 0); -- wire
remain : out std_logic_vector(width-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_divider_GNKAPZN5MO;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_if_statement_GNJ7D74ANQ is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNJ7D74ANQ;
component alt_dspbuilder_constant_GNKT7L5CDY is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNKT7L5CDY;
component alt_dspbuilder_if_statement_GNIV4UP6ZO is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNIV4UP6ZO;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_if_statement_GNMQPB5LUF is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNMQPB5LUF;
component alt_dspbuilder_if_statement_GNZR777PB6 is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNZR777PB6;
component alt_dspbuilder_constant_GNUWBUDS4L is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNUWBUDS4L;
component alt_dspbuilder_constant_GNJ2DIDH6N is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNJ2DIDH6N;
component alt_dspbuilder_logical_bit_op_GNUQ2R64DV is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNUQ2R64DV;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_constant_GNNCFWNIJI is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(15 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNNCFWNIJI;
component alt_dspbuilder_if_statement_GNWHMBR6GA is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GNWHMBR6GA;
component alt_dspbuilder_single_pulse_GN2XGKTRR3 is
generic (
delay : positive := 1;
signal_type : string := "Impulse";
impulse_width : positive := 1
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
result : out std_logic; -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_single_pulse_GN2XGKTRR3;
component StateMachineEditor1 is
port (
clock : in std_logic := 'X'; -- clk
col_select : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
data : out std_logic_vector(23 downto 0); -- wire
data_en : in std_logic := 'X'; -- wire
reset : in std_logic := 'X' -- wire
);
end component StateMachineEditor1;
component alt_dspbuilder_counter_GNZKRIGTBB is
generic (
use_usr_aclr : string := "false";
use_ena : string := "false";
use_cin : string := "false";
use_sset : string := "false";
ndirection : natural := 1;
svalue : string := "0";
use_sload : string := "false";
use_sclr : string := "false";
use_cout : string := "false";
modulus : integer := 256;
use_cnt_ena : string := "false";
width : natural := 8;
use_aset : string := "false";
use_aload : string := "false";
avalue : string := "0"
);
port (
aclr : in std_logic := 'X'; -- clk
aload : in std_logic := 'X'; -- wire
aset : in std_logic := 'X'; -- wire
cin : in std_logic := 'X'; -- wire
clock : in std_logic := 'X'; -- clk
cnt_ena : in std_logic := 'X'; -- wire
cout : out std_logic; -- wire
data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
direction : in std_logic := 'X'; -- wire
ena : in std_logic := 'X'; -- wire
q : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X'; -- wire
sload : in std_logic := 'X'; -- wire
sset : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_counter_GNZKRIGTBB;
component alt_dspbuilder_multiplier_GNEIWYOKUR is
generic (
DEDICATED_MULTIPLIER_CIRCUITRY : string := "AUTO";
Signed : natural := 0;
OutputMsb : integer := 8;
aWidth : natural := 8;
bWidth : natural := 8;
OutputLsb : integer := 0;
pipeline : integer := 0
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
dataa : in std_logic_vector(aWidth-1 downto 0) := (others => 'X'); -- wire
datab : in std_logic_vector(bWidth-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
result : out std_logic_vector(OutputMsb-OutputLsb+1-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_multiplier_GNEIWYOKUR;
component alt_dspbuilder_cast_GN7PRGDOVA is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN7PRGDOVA;
component alt_dspbuilder_cast_GNCPEUNC4M is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNCPEUNC4M;
component alt_dspbuilder_cast_GNKIWLRTQI is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNKIWLRTQI;
component alt_dspbuilder_cast_GNLHWQIRQK is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(2 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNLHWQIRQK;
signal pipelined_adder3user_aclrgnd_output_wire : std_logic; -- Pipelined_Adder3user_aclrGND:output -> Pipelined_Adder3:user_aclr
signal pipelined_adder3enavcc_output_wire : std_logic; -- Pipelined_Adder3enaVCC:output -> Pipelined_Adder3:ena
signal divideruser_aclrgnd_output_wire : std_logic; -- Divideruser_aclrGND:output -> Divider:user_aclr
signal dividerenavcc_output_wire : std_logic; -- DividerenaVCC:output -> Divider:ena
signal single_pulse1sclrgnd_output_wire : std_logic; -- Single_Pulse1sclrGND:output -> Single_Pulse1:sclr
signal single_pulse1enavcc_output_wire : std_logic; -- Single_Pulse1enaVCC:output -> Single_Pulse1:ena
signal multiplieruser_aclrgnd_output_wire : std_logic; -- Multiplieruser_aclrGND:output -> Multiplier:user_aclr
signal multiplierenavcc_output_wire : std_logic; -- MultiplierenaVCC:output -> Multiplier:ena
signal constant9_output_wire : std_logic_vector(23 downto 0); -- Constant9:output -> Counter1:data
signal constant8_output_wire : std_logic_vector(23 downto 0); -- Constant8:output -> Divider:denom
signal counter1_q_wire : std_logic_vector(23 downto 0); -- Counter1:q -> [If_Statement1:a, If_Statement2:a, If_Statement3:a, If_Statement:a]
signal divider_quotient_wire : std_logic_vector(23 downto 0); -- Divider:quotient -> [If_Statement1:b, If_Statement:b, Multiplier:dataa]
signal if_statement_true_wire : std_logic; -- If_Statement:true -> Bus_Builder:in0
signal if_statement1_true_wire : std_logic; -- If_Statement1:true -> Bus_Builder:in1
signal if_statement2_true_wire : std_logic; -- If_Statement2:true -> Bus_Builder:in2
signal counter_0_output_wire : std_logic_vector(23 downto 0); -- counter_0:output -> If_Statement5:a
signal if_statement3_true_wire : std_logic; -- If_Statement3:true -> Logical_Bit_Operator12:data0
signal data_en_0_output_wire : std_logic; -- data_en_0:output -> [Logical_Bit_Operator12:data1, Logical_Bit_Operator8:data0, State_Machine_Editor1:data_en]
signal ctrl_en_0_output_wire : std_logic; -- ctrl_en_0:output -> Logical_Bit_Operator7:data0
signal logical_bit_operator12_result_wire : std_logic; -- Logical_Bit_Operator12:result -> Logical_Bit_Operator7:data1
signal logical_bit_operator7_result_wire : std_logic; -- Logical_Bit_Operator7:result -> Counter1:sload
signal if_statement5_true_wire : std_logic; -- If_Statement5:true -> Logical_Bit_Operator8:data1
signal logical_bit_operator8_result_wire : std_logic; -- Logical_Bit_Operator8:result -> Counter1:cnt_ena
signal constant6_output_wire : std_logic_vector(23 downto 0); -- Constant6:output -> Multiplier:datab
signal constant13_output_wire : std_logic_vector(23 downto 0); -- Constant13:output -> Pipelined_Adder3:datab
signal pipelined_adder3_result_wire : std_logic_vector(23 downto 0); -- Pipelined_Adder3:result -> If_Statement2:c
signal single_pulse1_result_wire : std_logic; -- Single_Pulse1:result -> State_Machine_Editor1:reset
signal state_machine_editor1_data_wire : std_logic_vector(23 downto 0); -- State_Machine_Editor1:data -> colorbar_0:input
signal col_0_output_wire : std_logic_vector(31 downto 0); -- col_0:output -> [cast0:input, cast1:input, cast2:input, cast6:input]
signal cast0_output_wire : std_logic_vector(23 downto 0); -- cast0:output -> Divider:numer
signal cast1_output_wire : std_logic_vector(23 downto 0); -- cast1:output -> If_Statement:c
signal cast2_output_wire : std_logic_vector(23 downto 0); -- cast2:output -> If_Statement3:b
signal constant11_output_wire : std_logic_vector(15 downto 0); -- Constant11:output -> cast3:input
signal cast3_output_wire : std_logic_vector(23 downto 0); -- cast3:output -> If_Statement5:b
signal multiplier_result_wire : std_logic_vector(47 downto 0); -- Multiplier:result -> [cast4:input, cast5:input]
signal cast4_output_wire : std_logic_vector(23 downto 0); -- cast4:output -> If_Statement1:c
signal cast5_output_wire : std_logic_vector(23 downto 0); -- cast5:output -> If_Statement2:b
signal cast6_output_wire : std_logic_vector(23 downto 0); -- cast6:output -> Pipelined_Adder3:dataa
signal bus_builder_output_wire : std_logic_vector(2 downto 0); -- Bus_Builder:output -> cast7:input
signal cast7_output_wire : std_logic_vector(2 downto 0); -- cast7:output -> State_Machine_Editor1:col_select
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Counter1:aclr, Divider:aclr, Multiplier:aclr, Pipelined_Adder3:aclr, Single_Pulse1:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Counter1:clock, Divider:clock, Multiplier:clock, Pipelined_Adder3:clock, Single_Pulse1:clock, State_Machine_Editor1:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
pipelined_adder3 : component alt_dspbuilder_pipelined_adder_GNWEIMU3MK
generic map (
width => 24,
pipeline => 2
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
dataa => cast6_output_wire, -- dataa.wire
datab => constant13_output_wire, -- datab.wire
result => pipelined_adder3_result_wire, -- result.wire
user_aclr => pipelined_adder3user_aclrgnd_output_wire, -- user_aclr.wire
ena => pipelined_adder3enavcc_output_wire -- ena.wire
);
pipelined_adder3user_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => pipelined_adder3user_aclrgnd_output_wire -- output.wire
);
pipelined_adder3enavcc : component alt_dspbuilder_vcc_GN
port map (
output => pipelined_adder3enavcc_output_wire -- output.wire
);
col_0 : component alt_dspbuilder_port_GNEPKLLZKY
port map (
input => col, -- input.wire
output => col_0_output_wire -- output.wire
);
bus_builder : component alt_dspbuilder_bus_build_GNI6E4JZ66
generic map (
width => 3
)
port map (
output => bus_builder_output_wire, -- output.wire
in0 => if_statement_true_wire, -- in0.wire
in1 => if_statement1_true_wire, -- in1.wire
in2 => if_statement2_true_wire -- in2.wire
);
divider : component alt_dspbuilder_divider_GNKAPZN5MO
generic map (
Signed => 0,
width => 24,
pipeline => 0
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
numer => cast0_output_wire, -- numer.wire
denom => constant8_output_wire, -- denom.wire
quotient => divider_quotient_wire, -- quotient.wire
remain => open, -- remain.wire
user_aclr => divideruser_aclrgnd_output_wire, -- user_aclr.wire
ena => dividerenavcc_output_wire -- ena.wire
);
divideruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => divideruser_aclrgnd_output_wire -- output.wire
);
dividerenavcc : component alt_dspbuilder_vcc_GN
port map (
output => dividerenavcc_output_wire -- output.wire
);
colorbar_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => state_machine_editor1_data_wire, -- input.wire
output => colorbar -- output.wire
);
if_statement5 : component alt_dspbuilder_if_statement_GNJ7D74ANQ
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "a>b",
number_inputs => 2,
width => 24
)
port map (
true => if_statement5_true_wire, -- true.wire
a => counter_0_output_wire, -- a.wire
b => cast3_output_wire -- b.wire
);
counter_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => counter, -- input.wire
output => counter_0_output_wire -- output.wire
);
constant6 : component alt_dspbuilder_constant_GNKT7L5CDY
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000010",
width => 24
)
port map (
output => constant6_output_wire -- output.wire
);
if_statement3 : component alt_dspbuilder_if_statement_GNIV4UP6ZO
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "a=b",
number_inputs => 2,
width => 24
)
port map (
true => if_statement3_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => cast2_output_wire -- b.wire
);
logical_bit_operator12 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator12_result_wire, -- result.wire
data0 => if_statement3_true_wire, -- data0.wire
data1 => data_en_0_output_wire -- data1.wire
);
if_statement2 : component alt_dspbuilder_if_statement_GNMQPB5LUF
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "((a>b) or (a=b)) and ((a<c) or (a=c))",
number_inputs => 3,
width => 24
)
port map (
true => if_statement2_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => cast5_output_wire, -- b.wire
c => pipelined_adder3_result_wire -- c.wire
);
if_statement1 : component alt_dspbuilder_if_statement_GNZR777PB6
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "((a>b) or (a=b)) and (a<c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement1_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => divider_quotient_wire, -- b.wire
c => cast4_output_wire -- c.wire
);
constant8 : component alt_dspbuilder_constant_GNUWBUDS4L
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000011",
width => 24
)
port map (
output => constant8_output_wire -- output.wire
);
constant9 : component alt_dspbuilder_constant_GNJ2DIDH6N
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000001",
width => 24
)
port map (
output => constant9_output_wire -- output.wire
);
logical_bit_operator7 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV
generic map (
LogicalOp => "AltOR",
number_inputs => 2
)
port map (
result => logical_bit_operator7_result_wire, -- result.wire
data0 => ctrl_en_0_output_wire, -- data0.wire
data1 => logical_bit_operator12_result_wire -- data1.wire
);
ctrl_en_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => ctrl_en, -- input.wire
output => ctrl_en_0_output_wire -- output.wire
);
constant11 : component alt_dspbuilder_constant_GNNCFWNIJI
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "0000000000000100",
width => 16
)
port map (
output => constant11_output_wire -- output.wire
);
if_statement : component alt_dspbuilder_if_statement_GNWHMBR6GA
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "((a>zero) and (a<b)) or (a=c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement_true_wire, -- true.wire
a => counter1_q_wire, -- a.wire
b => divider_quotient_wire, -- b.wire
c => cast1_output_wire -- c.wire
);
data_en_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => data_en, -- input.wire
output => data_en_0_output_wire -- output.wire
);
constant13 : component alt_dspbuilder_constant_GNJ2DIDH6N
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000001",
width => 24
)
port map (
output => constant13_output_wire -- output.wire
);
logical_bit_operator8 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator8_result_wire, -- result.wire
data0 => data_en_0_output_wire, -- data0.wire
data1 => if_statement5_true_wire -- data1.wire
);
single_pulse1 : component alt_dspbuilder_single_pulse_GN2XGKTRR3
generic map (
delay => 1,
signal_type => "Step Down",
impulse_width => 1
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
result => single_pulse1_result_wire, -- result.wire
sclr => single_pulse1sclrgnd_output_wire, -- sclr.wire
ena => single_pulse1enavcc_output_wire -- ena.wire
);
single_pulse1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => single_pulse1sclrgnd_output_wire -- output.wire
);
single_pulse1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => single_pulse1enavcc_output_wire -- output.wire
);
state_machine_editor1 : component StateMachineEditor1
port map (
clock => clock_0_clock_output_clk, -- clock.clk
reset => single_pulse1_result_wire, -- reset.wire
col_select => cast7_output_wire, -- col_select.wire
data_en => data_en_0_output_wire, -- data_en.wire
data => state_machine_editor1_data_wire -- data.wire
);
counter1 : component alt_dspbuilder_counter_GNZKRIGTBB
generic map (
use_usr_aclr => "false",
use_ena => "false",
use_cin => "false",
use_sset => "false",
ndirection => 1,
svalue => "1",
use_sload => "true",
use_sclr => "false",
use_cout => "false",
modulus => 65536,
use_cnt_ena => "true",
width => 24,
use_aset => "false",
use_aload => "false",
avalue => "0"
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data => constant9_output_wire, -- data.wire
cnt_ena => logical_bit_operator8_result_wire, -- cnt_ena.wire
sload => logical_bit_operator7_result_wire, -- sload.wire
q => counter1_q_wire, -- q.wire
cout => open -- cout.wire
);
multiplier : component alt_dspbuilder_multiplier_GNEIWYOKUR
generic map (
DEDICATED_MULTIPLIER_CIRCUITRY => "YES",
Signed => 0,
OutputMsb => 47,
aWidth => 24,
bWidth => 24,
OutputLsb => 0,
pipeline => 0
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
dataa => divider_quotient_wire, -- dataa.wire
datab => constant6_output_wire, -- datab.wire
result => multiplier_result_wire, -- result.wire
user_aclr => multiplieruser_aclrgnd_output_wire, -- user_aclr.wire
ena => multiplierenavcc_output_wire -- ena.wire
);
multiplieruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiplieruser_aclrgnd_output_wire -- output.wire
);
multiplierenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiplierenavcc_output_wire -- output.wire
);
cast0 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast0_output_wire -- output.wire
);
cast1 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast1_output_wire -- output.wire
);
cast2 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast2_output_wire -- output.wire
);
cast3 : component alt_dspbuilder_cast_GNCPEUNC4M
generic map (
round => 0,
saturate => 0
)
port map (
input => constant11_output_wire, -- input.wire
output => cast3_output_wire -- output.wire
);
cast4 : component alt_dspbuilder_cast_GNKIWLRTQI
generic map (
round => 0,
saturate => 0
)
port map (
input => multiplier_result_wire, -- input.wire
output => cast4_output_wire -- output.wire
);
cast5 : component alt_dspbuilder_cast_GNKIWLRTQI
generic map (
round => 0,
saturate => 0
)
port map (
input => multiplier_result_wire, -- input.wire
output => cast5_output_wire -- output.wire
);
cast6 : component alt_dspbuilder_cast_GN7PRGDOVA
generic map (
round => 0,
saturate => 0
)
port map (
input => col_0_output_wire, -- input.wire
output => cast6_output_wire -- output.wire
);
cast7 : component alt_dspbuilder_cast_GNLHWQIRQK
generic map (
round => 0,
saturate => 0
)
port map (
input => bus_builder_output_wire, -- input.wire
output => cast7_output_wire -- output.wire
);
end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: libleon3
-- File: libleon3.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: LEON3 internal components
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.leon3.all;
use gaisler.libiu.all;
use gaisler.libcache.all;
use gaisler.libfpu.all;
use gaisler.mmuiface.all;
package libleon3 is
component proc3
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := 0;
memtech : integer range 0 to NTECH := 0;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 15 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 0; -- power-down
svt : integer range 0 to 1 := 0; -- single-vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
cached : integer := 0;
clk2x : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
holdn : out std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
rfi : out iregfile_in_type;
rfo : in iregfile_out_type;
crami : out cram_in_type;
cramo : in cram_out_type;
tbi : out tracebuf_in_type;
tbo : in tracebuf_out_type;
tbi_2p : out tracebuf_2p_in_type;
tbo_2p : in tracebuf_2p_out_type;
fpi : out fpc_in_type;
fpo : in fpc_out_type;
cpi : out fpc_in_type;
cpo : in fpc_out_type;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
hclk, sclk : in std_ulogic;
hclken : in std_ulogic
);
end component;
component grfpwx
generic (
fabtech : integer := 0;
memtech : integer := 0;
mul : integer range 0 to 3 := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 1 := 0;
disas : integer range 0 to 2 := 0;
netlist : integer := 0;
index : integer := 0;
scantest: integer := 0);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi : in fpc_in_type;
cpo : out fpc_out_type;
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0)
);
end component;
component grlfpwx
generic (
tech : integer := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 1 := 0;
disas : integer range 0 to 2 := 0;
pipe : integer := 0;
netlist : integer := 0;
index : integer := 0;
scantest: integer := 0
);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi : in fpc_in_type;
cpo : out fpc_out_type;
testin: in std_logic_vector(TESTIN_WIDTH-1 downto 0)
);
end component;
component regfile_3p_l3
generic (
tech : integer := 0;
abits : integer := 6;
dbits : integer := 8;
wrfst : integer := 0;
numregs : integer := 64;
testen : integer := 0);
port (
wclk : in std_ulogic;
waddr : in std_logic_vector((abits -1) downto 0);
wdata : in std_logic_vector((dbits -1) downto 0);
we : in std_ulogic;
rclk : in std_ulogic;
raddr1 : in std_logic_vector((abits -1) downto 0);
re1 : in std_ulogic;
rdata1 : out std_logic_vector((dbits -1) downto 0);
raddr2 : in std_logic_vector((abits -1) downto 0);
re2 : in std_ulogic;
rdata2 : out std_logic_vector((dbits -1) downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0)
);
end component;
end;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For SDP Configuration
-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the
-- simulation ends
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
PORT (
CLKA : IN STD_LOGIC;
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC;
TB_RST : IN STD_LOGIC;
ADDRA: OUT STD_LOGIC_VECTOR(14 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
ADDRB: OUT STD_LOGIC_VECTOR(14 DOWNTO 0) := (OTHERS => '0');
CHECK_DATA: OUT STD_LOGIC:='0'
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL DO_WRITE : STD_LOGIC := '0';
SIGNAL DO_READ : STD_LOGIC := '0';
SIGNAL DO_READ_R : STD_LOGIC := '0';
SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0');
SIGNAL PORTA_WR : STD_LOGIC:='0';
SIGNAL COUNT : INTEGER :=0;
SIGNAL INCR_WR_CNT : STD_LOGIC:='0';
SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD : STD_LOGIC:='0';
SIGNAL COUNT_RD : INTEGER :=0;
SIGNAL INCR_RD_CNT : STD_LOGIC:='0';
SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTA_WR_L1 :STD_LOGIC := '0';
SIGNAL PORTA_WR_L2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R1 :STD_LOGIC := '0';
SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTB_RD_L1 : STD_LOGIC := '0';
SIGNAL PORTB_RD_L2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R1 : STD_LOGIC := '0';
CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8;
CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((15 <= 15),WR_RD_DEEP_COUNT,
((8/8)*WR_RD_DEEP_COUNT));
CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((15 <= 15),WR_RD_DEEP_COUNT,
((8/8)*WR_RD_DEEP_COUNT));
BEGIN
ADDRA <= WRITE_ADDR(14 DOWNTO 0) ;
DINA <= DINA_INT ;
ADDRB <= READ_ADDR(14 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0');
CHECK_DATA <= DO_READ_REG(2-1);
RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 32768 ,
RST_INC => 1 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_READ,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR
);
WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 32768,
RST_INC => 1 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR
);
WR_DATA_GEN_INST:ENTITY work.DATA_GEN
GENERIC MAP (
DATA_GEN_WIDTH => 8,
DOUT_WIDTH => 8 ,
DATA_PART_CNT => 1,
SEED => 2)
PORT MAP (
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
DATA_OUT => DINA_INT
);
PORTA_WR_PROCESS: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTA_WR<='1';
ELSE
PORTA_WR<=PORTB_RD_COMPLETE;
END IF;
END IF;
END PROCESS;
PORTB_RD_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTB_RD<='0';
ELSE
PORTB_RD<=PORTA_WR_L2;
END IF;
END IF;
END PROCESS;
PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
ELSIF(PORTB_RD_COMPLETE='1') THEN
LATCH_PORTB_RD_COMPLETE <='1';
ELSIF(PORTA_WR_HAPPENED='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_L1 <='0';
PORTB_RD_L2 <='0';
ELSE
PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE;
PORTB_RD_L2 <= PORTB_RD_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_R1 <='0';
PORTA_WR_R2 <='0';
ELSE
PORTA_WR_R1 <= PORTA_WR;
PORTA_WR_R2 <= PORTA_WR_R1;
END IF;
END IF;
END PROCESS;
PORTA_WR_HAPPENED <= PORTA_WR_R2;
PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
LATCH_PORTA_WR_COMPLETE<='0';
ELSIF(PORTA_WR_COMPLETE='1') THEN
LATCH_PORTA_WR_COMPLETE <='1';
--ELSIF(PORTB_RD_HAPPENED='1') THEN
ELSE
LATCH_PORTA_WR_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_L1 <='0';
PORTA_WR_L2 <='0';
ELSE
PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE;
PORTA_WR_L2 <= PORTA_WR_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_R1 <='0';
PORTB_RD_R2 <='0';
ELSE
PORTB_RD_R1 <= PORTB_RD;
PORTB_RD_R2 <= PORTB_RD_R1;
END IF;
END IF;
END PROCESS;
PORTB_RD_HAPPENED <= PORTB_RD_R2;
PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0';
start_rd_counter: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
incr_rd_cnt <= '0';
elsif(portb_rd ='1') then
incr_rd_cnt <='1';
elsif(portb_rd_complete='1') then
incr_rd_cnt <='0';
end if;
end if;
end process;
RD_COUNTER: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
count_rd <= 0;
elsif(incr_rd_cnt='1') then
count_rd<=count_rd+1;
end if;
--if(count_rd=(wr_rd_deep_count)) then
if(count_rd=(RD_DEEP_COUNT)) then
count_rd<=0;
end if;
end if;
end process;
DO_READ<='1' when (count_rd <RD_DEEP_COUNT and incr_rd_cnt='1') else '0';
PORTA_WR_COMPLETE <= '1' when (count=WR_DEEP_COUNT) else '0';
start_counter: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
incr_wr_cnt <= '0';
elsif(porta_wr ='1') then
incr_wr_cnt <='1';
elsif(porta_wr_complete='1') then
incr_wr_cnt <='0';
end if;
end if;
end process;
COUNTER: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
count <= 0;
elsif(incr_wr_cnt='1') then
count<=count+1;
end if;
if(count=(WR_DEEP_COUNT)) then
count<=0;
end if;
end if;
end process;
DO_WRITE<='1' when (count <WR_DEEP_COUNT and incr_wr_cnt='1') else '0';
BEGIN_SHIFT_REG: FOR I IN 0 TO 5 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(0),
CLK => CLKB,
RST => TB_RST,
D => DO_READ
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(I),
CLK =>CLKB,
RST =>TB_RST,
D =>DO_READ_REG(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG;
REGCE_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
DO_READ_R <= '0';
ELSE
DO_READ_R <= DO_READ;
END IF;
END IF;
END PROCESS;
WEA(0) <= DO_WRITE ;
END ARCHITECTURE;
|
----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
--
-- Module Name: udp_test_sink - Behavioral
--
-- Description: Receive UDP packets for testing.
--
------------------------------------------------------------------------------------
-- FPGA_Webserver from https://github.com/hamsternz/FPGA_Webserver
------------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2015 Michael Alan Field <[email protected]>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity udp_test_sink is
Port (
clk : in STD_LOGIC;
-- data received over UDP
udp_rx_valid : in std_logic := '0';
udp_rx_data : in std_logic_vector(7 downto 0) := (others => '0');
udp_rx_src_ip : in std_logic_vector(31 downto 0) := (others => '0');
udp_rx_src_port : in std_logic_vector(15 downto 0) := (others => '0');
udp_rx_dst_broadcast : in std_logic := '0';
udp_rx_dst_port : in std_logic_vector(15 downto 0) := (others => '0');
leds : out std_logic_vector(7 downto 0) := (others => '0'));
end udp_test_sink;
architecture Behavioral of udp_test_sink is
signal udp_rx_valid_last : std_logic := '0';
begin
udp_test_sink: process(clk)
begin
if rising_edge(clk) then
-- assign any data on UDP port 5140 (0x1414) to the LEDs
if udp_rx_valid = '1' and udp_rx_dst_port = std_logic_vector(to_unsigned(4660, 16)) then
leds <= udp_rx_data;
end if;
udp_rx_valid_last <= udp_rx_valid;
end if;
end process;
end Behavioral; |
-- A2601 Top Level Entity (Rev B Board with Flash Memory)
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
--
-- This top level entity supports many bankswitching schemes and multiple
-- game ROMs stored in on-board Flash memory. ROM properties are stored in
-- FPGA built-in SRAM (see CartTable entity). To generate the CartTable, use
-- multirom.py in util directory.,
--
-- This top level entity accepts user input from a MegaDrive/Genesis Joypad.
-- Pin names starting with p_ designate joypad input/outputs.
--
-- For more information, see the A2601 Rev B Board Schematics and project
-- website at <http://retromaster.wordpress.org/a2601>.
library std;
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity A2601Flash is
port (clk: in std_logic;
d: in std_logic_vector(7 downto 0);
a: out std_logic_vector(18 downto 0);
oe: out std_logic;
we: out std_logic;
cv: out std_logic_vector(7 downto 0);
au: out std_logic_vector(4 downto 0);
p_l: in std_logic;
p_r: in std_logic;
p_a: in std_logic;
p_u: in std_logic;
p_d: in std_logic;
p_s: in std_logic;
p_bs: out std_logic);
end A2601Flash;
architecture arch of A2601Flash is
component a2601_dcm is
port(clkin_in: in std_logic;
rst_in: in std_logic;
clkfx_out: out std_logic;
clkin_ibufg_out: out std_logic);
end component;
component A2601 is
port(vid_clk: in std_logic;
rst: in std_logic;
d: inout std_logic_vector(7 downto 0);
a: out std_logic_vector(12 downto 0);
r: out std_logic;
pa: inout std_logic_vector(7 downto 0);
pb: inout std_logic_vector(7 downto 0);
inpt4: in std_logic;
inpt5: in std_logic;
colu: out std_logic_vector(6 downto 0);
csyn: out std_logic;
vsyn: out std_logic;
hsyn: out std_logic;
cv: out std_logic_vector(7 downto 0);
au0: out std_logic;
au1: out std_logic;
av0: out std_logic_vector(3 downto 0);
av1: out std_logic_vector(3 downto 0);
ph0_out: out std_logic;
ph1_out: out std_logic);
end component;
component ram128x8 is
port(clk: in std_logic;
r: in std_logic;
d_in: in std_logic_vector(7 downto 0);
d_out: out std_logic_vector(7 downto 0);
a: in std_logic_vector(6 downto 0));
end component;
component CartTable is
port(clk: in std_logic;
d: out std_logic_vector(10 downto 0);
c: out std_logic_vector(6 downto 0);
a: in std_logic_vector(6 downto 0));
end component;
signal vid_clk: std_logic;
signal pa: std_logic_vector(7 downto 0) := "11111111";
signal pb: std_logic_vector(7 downto 0) := "11111111";
signal inpt4: std_logic := '1';
signal inpt5: std_logic := '1';
signal colu: std_logic_vector(6 downto 0);
signal csyn: std_logic;
signal vsyn: std_logic;
signal hsyn: std_logic;
signal au0: std_logic;
signal au1: std_logic;
signal av0: std_logic_vector(3 downto 0);
signal av1: std_logic_vector(3 downto 0);
signal auv0: unsigned(4 downto 0);
signal auv1: unsigned(4 downto 0);
signal rst: std_logic := '1';
signal rst_cntr: unsigned(7 downto 0) := "00000000";
signal ph0: std_logic;
signal ph1: std_logic;
signal sc_clk: std_logic;
signal sc_r: std_logic;
signal sc_d_in: std_logic_vector(7 downto 0);
signal sc_d_out: std_logic_vector(7 downto 0);
signal sc_a: std_logic_vector(6 downto 0);
subtype bss_type is std_logic_vector(2 downto 0);
constant BANK00: bss_type := "000";
constant BANKF8: bss_type := "001";
constant BANKF6: bss_type := "010";
constant BANKFE: bss_type := "011";
constant BANKE0: bss_type := "100";
constant BANK3F: bss_type := "101";
signal bank: std_logic_vector(3 downto 0) := "0000";
signal tf_bank: std_logic_vector(1 downto 0);
signal e0_bank: std_logic_vector(2 downto 0);
signal e0_bank0: std_logic_vector(2 downto 0) := "000";
signal e0_bank1: std_logic_vector(2 downto 0) := "000";
signal e0_bank2: std_logic_vector(2 downto 0) := "000";
signal bss: bss_type;
signal sc: std_logic;
signal cpu_a: std_logic_vector(12 downto 0);
signal cpu_d: std_logic_vector(7 downto 0);
signal cpu_r: std_logic;
signal cart_info: std_logic_vector(10 downto 0) := "00000000000";
signal cart_cntr: unsigned(6 downto 0) := "0000000";
signal cart_max: std_logic_vector(6 downto 0);
signal cart_vect: std_logic_vector(6 downto 0) := "0000000";
signal cart_next: std_logic;
signal cart_prev: std_logic;
signal cart_swch: std_logic := '0';
signal cart_next_l: std_logic;
signal cart_prev_l: std_logic;
signal gsel: std_logic;
signal p_fn: std_logic;
signal res: std_logic;
signal sel: std_logic;
signal ctrl_cntr: unsigned(3 downto 0);
begin
brd_a2601_dcm: a2601_dcm
port map(clk, '0', vid_clk, open);
brd_A2601: A2601
port map(vid_clk, rst, cpu_d, cpu_a, cpu_r, pa, pb, inpt4, inpt5, colu, csyn, vsyn, hsyn, cv, au0, au1, av0, av1, ph0, ph1);
brd_CartTable: CartTable
port map(ph0, cart_info, cart_max, std_logic_vector(cart_cntr));
auv0 <= ("0" & unsigned(av0)) when (au0 = '1') else "00000";
auv1 <= ("0" & unsigned(av1)) when (au1 = '1') else "00000";
au <= std_logic_vector(auv0 + auv1);
process(ph0)
begin
if (ph0'event and ph0 = '1') then
rst_cntr <= rst_cntr + 1;
if (rst_cntr = "11111111") then
if (cart_next_l = '0') and (cart_next = '1') then
if (cart_cntr = unsigned(cart_max)) then
cart_cntr <= "0000000";
else
cart_cntr <= cart_cntr + 1;
end if;
rst <= '1';
cart_next_l <= '1';
cart_prev_l <= '1';
elsif (cart_prev_l = '0') and (cart_prev = '1') then
if (cart_cntr = "0000000") then
cart_cntr <= unsigned(cart_max);
else
cart_cntr <= cart_cntr - 1;
end if;
rst <= '1';
cart_next_l <= '1';
cart_prev_l <= '1';
else
cart_next_l <= cart_next;
cart_prev_l <= cart_prev;
end if;
elsif (rst_cntr = "10000000") then
rst <= '0';
end if;
end if;
end process;
oe <= '0';
we <= '1';
-- Controller inputs sampling
p_bs <= ctrl_cntr(3);
-- Only one controller port supported.
pa(3 downto 0) <= "1111";
inpt5 <= '1';
process(ph0)
begin
if (ph0'event and ph0 = '1') then
ctrl_cntr <= ctrl_cntr + 1;
if (ctrl_cntr = "1111") then -- p_bs
p_fn <= p_a;
pb(0) <= p_s;
elsif (ctrl_cntr = "0111") then
pa(7 downto 4) <= p_r & p_l & p_d & p_u;
inpt4 <= p_a;
gsel <= p_s;
end if;
pb(7) <= pa(7) or p_fn;
pb(6) <= pa(6) or p_fn;
pb(1) <= pa(4) or p_fn;
pb(3) <= pa(5) or p_fn;
end if;
end process;
pb(5) <= '1';
pb(4) <= '1';
pb(2) <= '1';
sc_ram128x8: ram128x8
port map(sc_clk, sc_r, sc_d_in, sc_d_out, sc_a);
-- This clock is phase shifted so that we can use Xilinx synchronous block RAM.
sc_clk <= not ph1;
sc_r <= '0' when cpu_a(12 downto 7) = "100000" else '1';
sc_d_in <= cpu_d;
sc_a <= cpu_a(6 downto 0);
-- ROM and SC output
process(cpu_a, d, sc_d_out, sc)
begin
if (cpu_a(12 downto 7) = "100001" and sc = '1') then
cpu_d <= sc_d_out;
elsif (cpu_a(12 downto 7) = "100000" and sc = '1') then
cpu_d <= "ZZZZZZZZ";
elsif (cpu_a(12) = '1') then
cpu_d <= d;
else
cpu_d <= "ZZZZZZZZ";
end if;
end process;
with cpu_a(11 downto 10) select e0_bank <=
e0_bank0 when "00",
e0_bank1 when "01",
e0_bank2 when "10",
"111" when "11",
"---" when others;
tf_bank <= bank(1 downto 0) when (cpu_a(11) = '0') else "11";
with bss select a <=
cart_vect & cpu_a(11 downto 0) when BANK00,
cart_vect(6 downto 1) & bank(0) & cpu_a(11 downto 0) when BANKF8,
cart_vect(6 downto 2) & bank(1 downto 0) & cpu_a(11 downto 0) when BANKF6,
cart_vect(6 downto 1) & bank(0) & cpu_a(11 downto 0) when BANKFE,
cart_vect(6 downto 1) & e0_bank & cpu_a(9 downto 0) when BANKE0,
cart_vect(6 downto 1) & tf_bank & cpu_a(10 downto 0) when BANK3F,
"-------------------" when others;
bankswch: process(ph0)
begin
if (ph0'event and ph0 = '1') then
if (rst = '1') then
bank <= "0000";
e0_bank0 <= "000";
e0_bank1 <= "000";
e0_bank2 <= "000";
else
case bss is
when BANKF8 =>
if (cpu_a = "1" & X"FF8") then
bank <= "0000";
elsif (cpu_a = "1" & X"FF9") then
bank <= "0001";
end if;
when BANKF6 =>
if (cpu_a = "1" & X"FF6") then
bank <= "0000";
elsif (cpu_a = "1" & X"FF7") then
bank <= "0001";
elsif (cpu_a = "1" & X"FF8") then
bank <= "0010";
elsif (cpu_a = "1" & X"FF9") then
bank <= "0011";
end if;
when BANKFE =>
if (cpu_a = "0" & X"1FE") then
bank <= "0000";
elsif (cpu_a = "1" & X"1FE") then
bank <= "0001";
end if;
when BANKE0 =>
if (cpu_a(12 downto 4) = "1" & X"FE" and cpu_a(3) = '0') then
e0_bank0 <= cpu_a(2 downto 0);
elsif (cpu_a(12 downto 4) = "1" & X"FE" and cpu_a(3) = '1') then
e0_bank1 <= cpu_a(2 downto 0);
elsif (cpu_a(12 downto 4) = "1" & X"FF" and cpu_a(3) = '0') then
e0_bank2 <= cpu_a(2 downto 0);
end if;
when BANK3F =>
--if (cpu_a(12 downto 6) = "0000000") then
if (cpu_a = "0" & X"03F") then
bank(1 downto 0) <= cpu_d(1 downto 0);
end if;
when others =>
null;
end case;
end if;
end if;
end process;
bss <= cart_info(3 downto 1);
sc <= cart_info(0);
cart_vect <= cart_info(10 downto 4);
cart_next <= (not pa(7)) and (not gsel);
cart_prev <= (not pa(6)) and (not gsel);
end arch;
|
-- file: clk_193MHz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___193.158______0.000______50.0______236.796____297.965
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_____________100____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_193MHz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_193MHz;
architecture xilinx of clk_193MHz is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_193MHz,clk_wiz_v5_1,{component_name=clk_193MHz,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
component clk_193MHz_clk_wiz
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end component;
begin
U0: clk_193MHz_clk_wiz
port map (
-- Clock in ports
clk_100MHz => clk_100MHz,
-- Clock out ports
clk_193MHz => clk_193MHz,
-- Status and control signals
locked => locked
);
end xilinx;
|
-- file: clk_193MHz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___193.158______0.000______50.0______236.796____297.965
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_____________100____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_193MHz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_193MHz;
architecture xilinx of clk_193MHz is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_193MHz,clk_wiz_v5_1,{component_name=clk_193MHz,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
component clk_193MHz_clk_wiz
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end component;
begin
U0: clk_193MHz_clk_wiz
port map (
-- Clock in ports
clk_100MHz => clk_100MHz,
-- Clock out ports
clk_193MHz => clk_193MHz,
-- Status and control signals
locked => locked
);
end xilinx;
|
-- file: clk_193MHz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___193.158______0.000______50.0______236.796____297.965
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_____________100____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_193MHz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_193MHz;
architecture xilinx of clk_193MHz is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_193MHz,clk_wiz_v5_1,{component_name=clk_193MHz,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
component clk_193MHz_clk_wiz
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end component;
begin
U0: clk_193MHz_clk_wiz
port map (
-- Clock in ports
clk_100MHz => clk_100MHz,
-- Clock out ports
clk_193MHz => clk_193MHz,
-- Status and control signals
locked => locked
);
end xilinx;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNLUT9.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_lnlut9 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (12 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
END dp_lnlut9;
ARCHITECTURE rtl OF dp_lnlut9 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" =>
inv <= conv_std_logic_vector(2048,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
WHEN "000000001" =>
inv <= conv_std_logic_vector(4089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12608028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(166435551,28);
logexp <= conv_std_logic_vector(1013,11);
WHEN "000000010" =>
inv <= conv_std_logic_vector(4081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3321276,28);
logexp <= conv_std_logic_vector(1014,11);
WHEN "000000011" =>
inv <= conv_std_logic_vector(4073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7407998,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148040387,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000100" =>
inv <= conv_std_logic_vector(4065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15852272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51070306,28);
logexp <= conv_std_logic_vector(1015,11);
WHEN "000000101" =>
inv <= conv_std_logic_vector(4057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3767982,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94668708,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000110" =>
inv <= conv_std_logic_vector(4049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8006786,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237055061,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000000111" =>
inv <= conv_std_logic_vector(4041,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12253974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192188802,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001000" =>
inv <= conv_std_logic_vector(4033,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16509579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20710393,28);
logexp <= conv_std_logic_vector(1016,11);
WHEN "000001001" =>
inv <= conv_std_logic_vector(4026,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1731473,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180827014,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001010" =>
inv <= conv_std_logic_vector(4018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3867211,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(126637664,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001011" =>
inv <= conv_std_logic_vector(4010,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6007205,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228245542,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001100" =>
inv <= conv_std_logic_vector(4003,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7883206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5368567,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001101" =>
inv <= conv_std_logic_vector(3995,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10031227,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104563152,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001110" =>
inv <= conv_std_logic_vector(3987,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12183554,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132223343,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000001111" =>
inv <= conv_std_logic_vector(3980,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14070386,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93820959,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010000" =>
inv <= conv_std_logic_vector(3972,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16230833,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108537941,28);
logexp <= conv_std_logic_vector(1017,11);
WHEN "000010001" =>
inv <= conv_std_logic_vector(3965,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(673790,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140554826,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010010" =>
inv <= conv_std_logic_vector(3957,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1758104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208459132,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010011" =>
inv <= conv_std_logic_vector(3950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2708679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147574307,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010100" =>
inv <= conv_std_logic_vector(3943,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3660940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102190772,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010101" =>
inv <= conv_std_logic_vector(3935,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4751310,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(193668840,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010110" =>
inv <= conv_std_logic_vector(3928,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5707204,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201576161,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000010111" =>
inv <= conv_std_logic_vector(3920,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6801743,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(47496037,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011000" =>
inv <= conv_std_logic_vector(3913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7761298,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63049717,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011001" =>
inv <= conv_std_logic_vector(3906,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8722571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103870568,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011010" =>
inv <= conv_std_logic_vector(3899,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9685568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213866899,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011011" =>
inv <= conv_std_logic_vector(3891,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10788256,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(148111271,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011100" =>
inv <= conv_std_logic_vector(3884,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11754969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190364328,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011101" =>
inv <= conv_std_logic_vector(3877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12723426,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191372810,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011110" =>
inv <= conv_std_logic_vector(3870,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13693633,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232417040,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000011111" =>
inv <= conv_std_logic_vector(3863,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14665597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135531172,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100000" =>
inv <= conv_std_logic_vector(3856,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15639324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(440444,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100001" =>
inv <= conv_std_logic_vector(3848,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16754321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28021513,28);
logexp <= conv_std_logic_vector(1018,11);
WHEN "000100010" =>
inv <= conv_std_logic_vector(3841,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(477315,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(103375890,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100011" =>
inv <= conv_std_logic_vector(3834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(966969,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207463933,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100100" =>
inv <= conv_std_logic_vector(3827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1457518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261454332,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100101" =>
inv <= conv_std_logic_vector(3820,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1948966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71112978,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100110" =>
inv <= conv_std_logic_vector(3814,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2370924,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27626077,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000100111" =>
inv <= conv_std_logic_vector(3807,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2864048,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7790664,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101000" =>
inv <= conv_std_logic_vector(3800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3358079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(135806794,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101001" =>
inv <= conv_std_logic_vector(3793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3853021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236303861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101010" =>
inv <= conv_std_logic_vector(3786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4348878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138889654,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101011" =>
inv <= conv_std_logic_vector(3779,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4845652,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215058204,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101100" =>
inv <= conv_std_logic_vector(3772,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5343348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36049681,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101101" =>
inv <= conv_std_logic_vector(3766,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5770679,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216749821,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101110" =>
inv <= conv_std_logic_vector(3759,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6270094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202231188,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000101111" =>
inv <= conv_std_logic_vector(3752,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6770440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(154557048,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110000" =>
inv <= conv_std_logic_vector(3745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7271720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201681452,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110001" =>
inv <= conv_std_logic_vector(3739,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7702135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212518450,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110010" =>
inv <= conv_std_logic_vector(3732,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8205160,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130192328,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110011" =>
inv <= conv_std_logic_vector(3725,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8709129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153765892,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110100" =>
inv <= conv_std_logic_vector(3719,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9141857,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(115454106,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110101" =>
inv <= conv_std_logic_vector(3712,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9647589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223955336,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110110" =>
inv <= conv_std_logic_vector(3706,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(106871151,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000110111" =>
inv <= conv_std_logic_vector(3699,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10589342,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(134545541,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111000" =>
inv <= conv_std_logic_vector(3693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11025114,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118400992,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111001" =>
inv <= conv_std_logic_vector(3686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11534410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203065005,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111010" =>
inv <= conv_std_logic_vector(3680,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11971720,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(229464861,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111011" =>
inv <= conv_std_logic_vector(3673,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12482818,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(7696520,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111100" =>
inv <= conv_std_logic_vector(3667,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12921677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49003431,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111101" =>
inv <= conv_std_logic_vector(3660,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13434587,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267260840,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111110" =>
inv <= conv_std_logic_vector(3654,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13875007,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(58597277,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "000111111" =>
inv <= conv_std_logic_vector(3648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14316150,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59000478,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000000" =>
inv <= conv_std_logic_vector(3641,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14831735,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2814011,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000001" =>
inv <= conv_std_logic_vector(3635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15274454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104571506,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000010" =>
inv <= conv_std_logic_vector(3629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15717905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35857837,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000011" =>
inv <= conv_std_logic_vector(3623,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16162089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(177959810,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000100" =>
inv <= conv_std_logic_vector(3616,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16681235,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165401956,28);
logexp <= conv_std_logic_vector(1019,11);
WHEN "001000101" =>
inv <= conv_std_logic_vector(3610,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(174901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50275830,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000110" =>
inv <= conv_std_logic_vector(3604,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(398163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(88951577,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001000111" =>
inv <= conv_std_logic_vector(3598,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(621797,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127737931,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001000" =>
inv <= conv_std_logic_vector(3592,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(845804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231522955,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001001" =>
inv <= conv_std_logic_vector(3585,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1107620,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(57821111,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001010" =>
inv <= conv_std_logic_vector(3579,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1332440,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156464157,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001011" =>
inv <= conv_std_logic_vector(3573,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1557638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44535294,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001100" =>
inv <= conv_std_logic_vector(3567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1783214,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62397887,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001101" =>
inv <= conv_std_logic_vector(3561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2009170,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15263403,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001110" =>
inv <= conv_std_logic_vector(3555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2235506,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246944822,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001001111" =>
inv <= conv_std_logic_vector(3549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2462226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29255593,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010000" =>
inv <= conv_std_logic_vector(3543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2689328,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246376003,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010001" =>
inv <= conv_std_logic_vector(3537,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2916816,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173639564,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010010" =>
inv <= conv_std_logic_vector(3531,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3144690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(161899610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010011" =>
inv <= conv_std_logic_vector(3525,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3372952,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26928617,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010100" =>
inv <= conv_std_logic_vector(3519,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3601602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123172243,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010101" =>
inv <= conv_std_logic_vector(3513,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3830643,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1584354,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010110" =>
inv <= conv_std_logic_vector(3507,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4060075,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(20252153,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001010111" =>
inv <= conv_std_logic_vector(3502,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4251568,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137854980,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011000" =>
inv <= conv_std_logic_vector(3496,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4481721,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231322588,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011001" =>
inv <= conv_std_logic_vector(3490,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4712270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147480442,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011010" =>
inv <= conv_std_logic_vector(3484,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4943215,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251536037,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011011" =>
inv <= conv_std_logic_vector(3478,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5174559,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105278949,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011100" =>
inv <= conv_std_logic_vector(3473,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5367650,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(181375864,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011101" =>
inv <= conv_std_logic_vector(3467,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5599727,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(133308340,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011110" =>
inv <= conv_std_logic_vector(3461,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5832206,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80096944,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001011111" =>
inv <= conv_std_logic_vector(3455,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6065088,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127763081,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100000" =>
inv <= conv_std_logic_vector(3450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6259466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27642512,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100001" =>
inv <= conv_std_logic_vector(3444,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6493091,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806774,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100010" =>
inv <= conv_std_logic_vector(3438,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6727124,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44281139,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100011" =>
inv <= conv_std_logic_vector(3433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6922463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171170237,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100100" =>
inv <= conv_std_logic_vector(3427,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7157246,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(240302137,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100101" =>
inv <= conv_std_logic_vector(3422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7353213,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222094360,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100110" =>
inv <= conv_std_logic_vector(3416,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7588752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122671437,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001100111" =>
inv <= conv_std_logic_vector(3411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7785350,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239607246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101000" =>
inv <= conv_std_logic_vector(3405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8021649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206841234,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101001" =>
inv <= conv_std_logic_vector(3399,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8258365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(107795018,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101010" =>
inv <= conv_std_logic_vector(3394,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8455947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226201607,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101011" =>
inv <= conv_std_logic_vector(3388,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8693431,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94327085,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101100" =>
inv <= conv_std_logic_vector(3383,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8891655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(206124156,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101101" =>
inv <= conv_std_logic_vector(3378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9090173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(99984141,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101110" =>
inv <= conv_std_logic_vector(3372,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9328782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195833281,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001101111" =>
inv <= conv_std_logic_vector(3367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9527948,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110283065,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110000" =>
inv <= conv_std_logic_vector(3361,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9767338,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(282511,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110001" =>
inv <= conv_std_logic_vector(3356,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9967156,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1157748,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110010" =>
inv <= conv_std_logic_vector(3351,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10167271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250247631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110011" =>
inv <= conv_std_logic_vector(3345,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10407805,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150148144,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110100" =>
inv <= conv_std_logic_vector(3340,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10608580,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15841264,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110101" =>
inv <= conv_std_logic_vector(3335,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10809655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(92492368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110110" =>
inv <= conv_std_logic_vector(3329,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11051343,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267282110,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001110111" =>
inv <= conv_std_logic_vector(3324,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11253084,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(51811246,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111000" =>
inv <= conv_std_logic_vector(3319,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11455128,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21159361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111001" =>
inv <= conv_std_logic_vector(3314,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11657476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152694737,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111010" =>
inv <= conv_std_logic_vector(3308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11900698,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34843865,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111011" =>
inv <= conv_std_logic_vector(3303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12103719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266488285,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111100" =>
inv <= conv_std_logic_vector(3298,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12307049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112230017,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111101" =>
inv <= conv_std_logic_vector(3293,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12510687,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91030082,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111110" =>
inv <= conv_std_logic_vector(3288,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12714634,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186120630,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "001111111" =>
inv <= conv_std_logic_vector(3282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12959781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79635368,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000000" =>
inv <= conv_std_logic_vector(3277,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13164412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194678646,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000001" =>
inv <= conv_std_logic_vector(3272,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13369356,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165362770,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000010" =>
inv <= conv_std_logic_vector(3267,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13574613,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248228452,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000011" =>
inv <= conv_std_logic_vector(3262,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13780185,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164124274,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000100" =>
inv <= conv_std_logic_vector(3257,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13986072,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171955743,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000101" =>
inv <= conv_std_logic_vector(3252,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14192275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(263386193,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000110" =>
inv <= conv_std_logic_vector(3247,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398796,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162844158,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010000111" =>
inv <= conv_std_logic_vector(3242,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14605635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132837122,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001000" =>
inv <= conv_std_logic_vector(3237,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14812793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168652610,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001001" =>
inv <= conv_std_logic_vector(3232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15020271,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266801170,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001010" =>
inv <= conv_std_logic_vector(3227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15228071,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156588484,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001011" =>
inv <= conv_std_logic_vector(3222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15436193,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(105429361,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001100" =>
inv <= conv_std_logic_vector(3217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15644638,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(113549080,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001101" =>
inv <= conv_std_logic_vector(3212,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15853407,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182426590,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001110" =>
inv <= conv_std_logic_vector(3207,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16062502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46366848,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010001111" =>
inv <= conv_std_logic_vector(3202,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16271922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246250548,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010000" =>
inv <= conv_std_logic_vector(3197,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16481670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(250493842,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010001" =>
inv <= conv_std_logic_vector(3193,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16649705,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179677631,28);
logexp <= conv_std_logic_vector(1020,11);
WHEN "010010010" =>
inv <= conv_std_logic_vector(3188,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(41414,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182297714,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010011" =>
inv <= conv_std_logic_vector(3183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(146749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160926493,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010100" =>
inv <= conv_std_logic_vector(3178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(252250,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30832263,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010101" =>
inv <= conv_std_logic_vector(3173,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(357916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(200433438,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010110" =>
inv <= conv_std_logic_vector(3168,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(463750,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5068900,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010010111" =>
inv <= conv_std_logic_vector(3164,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(548536,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260761497,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011000" =>
inv <= conv_std_logic_vector(3159,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(654671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140824337,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011001" =>
inv <= conv_std_logic_vector(3154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(760974,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53287185,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011010" =>
inv <= conv_std_logic_vector(3149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(867445,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141350373,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011011" =>
inv <= conv_std_logic_vector(3145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(952744,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102039527,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011100" =>
inv <= conv_std_logic_vector(3140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1059520,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171297819,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011101" =>
inv <= conv_std_logic_vector(3135,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1166467,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15456691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011110" =>
inv <= conv_std_logic_vector(3131,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1252147,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19951762,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010011111" =>
inv <= conv_std_logic_vector(3126,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1359401,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41610452,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100000" =>
inv <= conv_std_logic_vector(3121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1466826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248224675,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100001" =>
inv <= conv_std_logic_vector(3117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1552891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(141314514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100010" =>
inv <= conv_std_logic_vector(3112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1660627,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194757369,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100011" =>
inv <= conv_std_logic_vector(3107,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1768537,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43450957,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100100" =>
inv <= conv_std_logic_vector(3103,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1854989,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219219906,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100101" =>
inv <= conv_std_logic_vector(3098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1963212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131015724,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100110" =>
inv <= conv_std_logic_vector(3094,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2049916,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(123155162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010100111" =>
inv <= conv_std_logic_vector(3089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2158454,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(50751187,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101000" =>
inv <= conv_std_logic_vector(3085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2245410,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252626322,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101001" =>
inv <= conv_std_logic_vector(3080,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2354265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(153008713,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101010" =>
inv <= conv_std_logic_vector(3076,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441476,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156128968,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101011" =>
inv <= conv_std_logic_vector(3071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2550649,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(259057771,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101100" =>
inv <= conv_std_logic_vector(3067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2638116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(195294519,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101101" =>
inv <= conv_std_logic_vector(3062,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2747610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198048260,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101110" =>
inv <= conv_std_logic_vector(3058,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2835334,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202805005,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010101111" =>
inv <= conv_std_logic_vector(3053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2945151,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75538772,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110000" =>
inv <= conv_std_logic_vector(3049,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3033134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19357354,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110001" =>
inv <= conv_std_logic_vector(3044,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3143275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5155285,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110010" =>
inv <= conv_std_logic_vector(3040,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3231518,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(30629091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110011" =>
inv <= conv_std_logic_vector(3035,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3341985,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108686704,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110100" =>
inv <= conv_std_logic_vector(3031,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3430490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93632684,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110101" =>
inv <= conv_std_logic_vector(3027,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3519112,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45511961,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110110" =>
inv <= conv_std_logic_vector(3022,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3630054,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(73684067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010110111" =>
inv <= conv_std_logic_vector(3018,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3718940,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53706357,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111000" =>
inv <= conv_std_logic_vector(3014,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3807944,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3094116,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111001" =>
inv <= conv_std_logic_vector(3009,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3919365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8048359,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111010" =>
inv <= conv_std_logic_vector(3005,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4008635,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62108895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111011" =>
inv <= conv_std_logic_vector(3001,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4098024,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(91490091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111100" =>
inv <= conv_std_logic_vector(2996,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4209928,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114206619,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111101" =>
inv <= conv_std_logic_vector(2992,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4299586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(64350406,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111110" =>
inv <= conv_std_logic_vector(2988,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4389363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267789889,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "010111111" =>
inv <= conv_std_logic_vector(2984,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4479262,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5480265,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000000" =>
inv <= conv_std_logic_vector(2979,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4591804,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43802136,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000001" =>
inv <= conv_std_logic_vector(2975,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4681973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258711462,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000010" =>
inv <= conv_std_logic_vector(2971,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4772265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(22193356,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000011" =>
inv <= conv_std_logic_vector(2967,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4862677,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227303994,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000100" =>
inv <= conv_std_logic_vector(2963,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4953212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(156841963,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000101" =>
inv <= conv_std_logic_vector(2958,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5066553,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8978848,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000110" =>
inv <= conv_std_logic_vector(2954,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5157363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112226691,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011000111" =>
inv <= conv_std_logic_vector(2950,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5248296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228718953,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001000" =>
inv <= conv_std_logic_vector(2946,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5339353,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179656048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001001" =>
inv <= conv_std_logic_vector(2942,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5430534,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55039221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001010" =>
inv <= conv_std_logic_vector(2938,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5521838,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213672522,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001011" =>
inv <= conv_std_logic_vector(2934,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5613267,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(209422987,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001100" =>
inv <= conv_std_logic_vector(2929,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5727729,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(122280556,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001101" =>
inv <= conv_std_logic_vector(2925,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5819439,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152340981,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001110" =>
inv <= conv_std_logic_vector(2921,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5911275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(48556746,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011001111" =>
inv <= conv_std_logic_vector(2917,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6003236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(171693667,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010000" =>
inv <= conv_std_logic_vector(2913,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6095324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77591273,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010001" =>
inv <= conv_std_logic_vector(2909,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6187538,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127777649,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010010" =>
inv <= conv_std_logic_vector(2905,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6279879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147294249,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010011" =>
inv <= conv_std_logic_vector(2901,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6372347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230004385,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010100" =>
inv <= conv_std_logic_vector(2897,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6464943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201724446,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010101" =>
inv <= conv_std_logic_vector(2893,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6557667,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(157096962,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010110" =>
inv <= conv_std_logic_vector(2889,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6650519,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191157304,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011010111" =>
inv <= conv_std_logic_vector(2885,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6743500,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130900404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011000" =>
inv <= conv_std_logic_vector(2881,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6836610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72153870,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011001" =>
inv <= conv_std_logic_vector(2877,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6929849,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(111144728,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011010" =>
inv <= conv_std_logic_vector(2873,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7023218,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(76066192,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011011" =>
inv <= conv_std_logic_vector(2869,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7116717,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63950809,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011100" =>
inv <= conv_std_logic_vector(2865,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7210346,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172237270,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011101" =>
inv <= conv_std_logic_vector(2862,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7280654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139653305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011110" =>
inv <= conv_std_logic_vector(2858,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7374513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23245886,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011011111" =>
inv <= conv_std_logic_vector(2854,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7468503,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(28873967,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100000" =>
inv <= conv_std_logic_vector(2850,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7562624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255519588,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100001" =>
inv <= conv_std_logic_vector(2846,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7656878,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265710940,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100010" =>
inv <= conv_std_logic_vector(2842,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7751265,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159266526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100011" =>
inv <= conv_std_logic_vector(2838,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7845785,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36426622,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100100" =>
inv <= conv_std_logic_vector(2834,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(7940437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266291107,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100101" =>
inv <= conv_std_logic_vector(2831,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8011515,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93413946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100110" =>
inv <= conv_std_logic_vector(2827,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8106402,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110277380,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011100111" =>
inv <= conv_std_logic_vector(2823,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8201423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222008092,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101000" =>
inv <= conv_std_logic_vector(2819,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8296579,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(262447083,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101001" =>
inv <= conv_std_logic_vector(2815,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8391871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(65871042,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101010" =>
inv <= conv_std_logic_vector(2812,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8463428,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(160477028,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101011" =>
inv <= conv_std_logic_vector(2808,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8558957,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66030540,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101100" =>
inv <= conv_std_logic_vector(2804,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8654622,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19294193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101101" =>
inv <= conv_std_logic_vector(2800,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8750423,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124636155,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101110" =>
inv <= conv_std_logic_vector(2797,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8822364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98044902,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011101111" =>
inv <= conv_std_logic_vector(2793,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(8918405,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185136678,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110000" =>
inv <= conv_std_logic_vector(2789,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9014584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176764031,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110001" =>
inv <= conv_std_logic_vector(2786,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9086809,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121288912,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110010" =>
inv <= conv_std_logic_vector(2782,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9183230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(67117648,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110011" =>
inv <= conv_std_logic_vector(2778,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9279789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210248932,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110100" =>
inv <= conv_std_logic_vector(2775,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9352300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(192854718,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110101" =>
inv <= conv_std_logic_vector(2771,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9449104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(269037,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110110" =>
inv <= conv_std_logic_vector(2767,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9546047,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32810921,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011110111" =>
inv <= conv_std_logic_vector(2764,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9618846,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(127667797,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111000" =>
inv <= conv_std_logic_vector(2760,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9716035,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(77607514,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111001" =>
inv <= conv_std_logic_vector(2756,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9813365,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(15613650,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111010" =>
inv <= conv_std_logic_vector(2753,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9886455,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(35776871,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111011" =>
inv <= conv_std_logic_vector(2749,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(9984032,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150556503,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111100" =>
inv <= conv_std_logic_vector(2745,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10081752,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19947644,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111101" =>
inv <= conv_std_logic_vector(2742,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10155135,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(54345836,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111110" =>
inv <= conv_std_logic_vector(2738,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10253104,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97812156,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "011111111" =>
inv <= conv_std_logic_vector(2735,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10326675,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55696655,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000000" =>
inv <= conv_std_logic_vector(2731,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10424895,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(79654305,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000001" =>
inv <= conv_std_logic_vector(2728,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10498654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(219479460,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000010" =>
inv <= conv_std_logic_vector(2724,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10597127,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(32989146,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000011" =>
inv <= conv_std_logic_vector(2721,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10671076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(78331980,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000100" =>
inv <= conv_std_logic_vector(2717,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10769802,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29997091,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000101" =>
inv <= conv_std_logic_vector(2714,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10843941,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(243319683,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000110" =>
inv <= conv_std_logic_vector(2710,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(10942922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(147572067,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100000111" =>
inv <= conv_std_logic_vector(2707,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11017253,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256500550,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001000" =>
inv <= conv_std_logic_vector(2703,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11116490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(198934815,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001001" =>
inv <= conv_std_logic_vector(2700,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11191014,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(201586837,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001010" =>
inv <= conv_std_logic_vector(2696,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11290509,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2117744,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001011" =>
inv <= conv_std_logic_vector(2693,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11365226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(167123833,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001100" =>
inv <= conv_std_logic_vector(2689,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11464979,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(185321336,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001101" =>
inv <= conv_std_logic_vector(2686,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11539891,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246540176,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001110" =>
inv <= conv_std_logic_vector(2682,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11639905,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(39481193,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100001111" =>
inv <= conv_std_logic_vector(2679,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11715013,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1327916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010000" =>
inv <= conv_std_logic_vector(2675,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11815287,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202673946,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010001" =>
inv <= conv_std_logic_vector(2672,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11890592,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(71706890,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010010" =>
inv <= conv_std_logic_vector(2669,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(11965981,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(100723928,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010011" =>
inv <= conv_std_logic_vector(2665,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12066632,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(29193386,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010100" =>
inv <= conv_std_logic_vector(2662,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12142219,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(93571958,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010101" =>
inv <= conv_std_logic_vector(2658,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12243134,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(255701348,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010110" =>
inv <= conv_std_logic_vector(2655,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12318921,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98863551,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100010111" =>
inv <= conv_std_logic_vector(2652,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12394793,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(125312048,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011000" =>
inv <= conv_std_logic_vector(2648,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12496089,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237443793,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011001" =>
inv <= conv_std_logic_vector(2645,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12572162,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(178518688,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011010" =>
inv <= conv_std_logic_vector(2642,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12648321,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208688605,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011011" =>
inv <= conv_std_logic_vector(2638,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12750001,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239940349,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011100" =>
inv <= conv_std_logic_vector(2635,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12826363,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56746753,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011101" =>
inv <= conv_std_logic_vector(2632,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12902811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(138879938,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011110" =>
inv <= conv_std_logic_vector(2629,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(12979347,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(2730614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100011111" =>
inv <= conv_std_logic_vector(2625,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13081530,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(81091197,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100000" =>
inv <= conv_std_logic_vector(2622,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13158270,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(1684866,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100001" =>
inv <= conv_std_logic_vector(2619,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13235097,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151292526,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100010" =>
inv <= conv_std_logic_vector(2615,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13337671,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(84646293,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100011" =>
inv <= conv_std_logic_vector(2612,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13414704,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(173861808,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100100" =>
inv <= conv_std_logic_vector(2609,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13491826,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(136139682,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100101" =>
inv <= conv_std_logic_vector(2606,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13569037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26161775,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100110" =>
inv <= conv_std_logic_vector(2602,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13672122,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(249732568,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100100111" =>
inv <= conv_std_logic_vector(2599,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13749541,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(95394098,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101000" =>
inv <= conv_std_logic_vector(2596,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13827049,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(52442312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101001" =>
inv <= conv_std_logic_vector(2593,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13904646,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(176384205,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101010" =>
inv <= conv_std_logic_vector(2590,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(13982333,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(254484090,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101011" =>
inv <= conv_std_logic_vector(2586,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14086057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26027470,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101100" =>
inv <= conv_std_logic_vector(2583,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14163954,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214862414,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101101" =>
inv <= conv_std_logic_vector(2580,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14241943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(8054063,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101110" =>
inv <= conv_std_logic_vector(2577,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14320021,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267454282,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100101111" =>
inv <= conv_std_logic_vector(2574,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14398191,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(244499796,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110000" =>
inv <= conv_std_logic_vector(2571,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14476452,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264567670,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110001" =>
inv <= conv_std_logic_vector(2567,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14580943,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(69299566,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110010" =>
inv <= conv_std_logic_vector(2564,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14659417,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233357662,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110011" =>
inv <= conv_std_logic_vector(2561,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14737984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(94818262,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110100" =>
inv <= conv_std_logic_vector(2558,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14816642,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248364916,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110101" =>
inv <= conv_std_logic_vector(2555,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14895393,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215142880,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110110" =>
inv <= conv_std_logic_vector(2552,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(14974237,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(53372798,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100110111" =>
inv <= conv_std_logic_vector(2549,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15053173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(89916221,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111000" =>
inv <= conv_std_logic_vector(2546,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15132202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114970198,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111001" =>
inv <= conv_std_logic_vector(2543,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15211324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187374614,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111010" =>
inv <= conv_std_logic_vector(2539,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15316966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(101744986,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111011" =>
inv <= conv_std_logic_vector(2536,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15396306,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246192396,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111100" =>
inv <= conv_std_logic_vector(2533,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15475741,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(98762703,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111101" =>
inv <= conv_std_logic_vector(2530,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15555269,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256076770,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111110" =>
inv <= conv_std_logic_vector(2527,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15634892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241226312,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "100111111" =>
inv <= conv_std_logic_vector(2524,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15714610,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(114387642,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000000" =>
inv <= conv_std_logic_vector(2521,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15794422,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204387226,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000001" =>
inv <= conv_std_logic_vector(2518,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15874330,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34960895,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000010" =>
inv <= conv_std_logic_vector(2515,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(15954332,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(203803056,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000011" =>
inv <= conv_std_logic_vector(2512,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16034430,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235084078,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000100" =>
inv <= conv_std_logic_vector(2509,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16114624,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190064071,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000101" =>
inv <= conv_std_logic_vector(2506,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16194914,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(130223025,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000110" =>
inv <= conv_std_logic_vector(2503,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16275300,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(117261858,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101000111" =>
inv <= conv_std_logic_vector(2500,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16355782,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213103482,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001000" =>
inv <= conv_std_logic_vector(2497,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16436361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211458404,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001001" =>
inv <= conv_std_logic_vector(2494,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16517037,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(174696720,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001010" =>
inv <= conv_std_logic_vector(2491,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16597810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165413733,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001011" =>
inv <= conv_std_logic_vector(2488,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16678680,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(246431038,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001100" =>
inv <= conv_std_logic_vector(2485,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(16759648,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212362162,28);
logexp <= conv_std_logic_vector(1021,11);
WHEN "101001101" =>
inv <= conv_std_logic_vector(2482,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(31749,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63242286,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001110" =>
inv <= conv_std_logic_vector(2479,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(72331,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26152662,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101001111" =>
inv <= conv_std_logic_vector(2476,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(112962,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26781090,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010000" =>
inv <= conv_std_logic_vector(2474,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(140076,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213075491,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010001" =>
inv <= conv_std_logic_vector(2471,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(180789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(258223654,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010010" =>
inv <= conv_std_logic_vector(2468,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(221552,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(158206290,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010011" =>
inv <= conv_std_logic_vector(2465,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(262364,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(213755501,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010100" =>
inv <= conv_std_logic_vector(2462,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(303226,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188850466,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010101" =>
inv <= conv_std_logic_vector(2459,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(344138,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116024386,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010110" =>
inv <= conv_std_logic_vector(2456,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(385100,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27929606,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101010111" =>
inv <= conv_std_logic_vector(2453,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(426111,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225773656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011000" =>
inv <= conv_std_logic_vector(2450,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(467173,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205578013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011001" =>
inv <= conv_std_logic_vector(2448,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(494576,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(87278952,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011010" =>
inv <= conv_std_logic_vector(2445,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(535722,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45542114,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011011" =>
inv <= conv_std_logic_vector(2442,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(576918,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(142506767,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011100" =>
inv <= conv_std_logic_vector(2439,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(618165,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(143076061,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011101" =>
inv <= conv_std_logic_vector(2436,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(659463,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(80711705,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011110" =>
inv <= conv_std_logic_vector(2433,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(700811,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257434563,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101011111" =>
inv <= conv_std_logic_vector(2431,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(728406,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17672925,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100000" =>
inv <= conv_std_logic_vector(2428,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(769839,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(220454209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100001" =>
inv <= conv_std_logic_vector(2425,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(811324,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(215622313,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100010" =>
inv <= conv_std_logic_vector(2422,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(852861,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(37221475,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100011" =>
inv <= conv_std_logic_vector(2419,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(894448,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256293434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100100" =>
inv <= conv_std_logic_vector(2417,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(922202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(222525409,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100101" =>
inv <= conv_std_logic_vector(2414,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(963876,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(196069656,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100110" =>
inv <= conv_std_logic_vector(2411,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1005602,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(121961634,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101100111" =>
inv <= conv_std_logic_vector(2408,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1047380,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34841718,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101000" =>
inv <= conv_std_logic_vector(2405,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1089209,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(237915287,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101001" =>
inv <= conv_std_logic_vector(2403,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1117125,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(104353094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101010" =>
inv <= conv_std_logic_vector(2400,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1159042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63396520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101011" =>
inv <= conv_std_logic_vector(2397,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1201011,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137556064,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101100" =>
inv <= conv_std_logic_vector(2395,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1229020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(59568237,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101101" =>
inv <= conv_std_logic_vector(2392,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1271077,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46073956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101110" =>
inv <= conv_std_logic_vector(2389,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1313186,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241992154,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101101111" =>
inv <= conv_std_logic_vector(2386,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1355349,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146057517,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110000" =>
inv <= conv_std_logic_vector(2384,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1383487,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(116561426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110001" =>
inv <= conv_std_logic_vector(2381,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1425738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(150565181,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110010" =>
inv <= conv_std_logic_vector(2378,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1468042,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(256758677,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110011" =>
inv <= conv_std_logic_vector(2376,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1496275,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146872826,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110100" =>
inv <= conv_std_logic_vector(2373,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1538669,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(6283669,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110101" =>
inv <= conv_std_logic_vector(2370,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1581116,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(34459956,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110110" =>
inv <= conv_std_logic_vector(2367,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1623616,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267869958,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101110111" =>
inv <= conv_std_logic_vector(2365,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1651980,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(227479388,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111000" =>
inv <= conv_std_logic_vector(2362,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1694571,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168535478,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111001" =>
inv <= conv_std_logic_vector(2360,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1722995,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146252604,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111010" =>
inv <= conv_std_logic_vector(2357,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1765676,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(165723426,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111011" =>
inv <= conv_std_logic_vector(2354,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1808412,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13198653,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111100" =>
inv <= conv_std_logic_vector(2352,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1836932,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162422791,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111101" =>
inv <= conv_std_logic_vector(2349,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1879758,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253404775,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111110" =>
inv <= conv_std_logic_vector(2346,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1922640,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3516811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "101111111" =>
inv <= conv_std_logic_vector(2344,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1951257,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232810820,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000000" =>
inv <= conv_std_logic_vector(2341,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(1994230,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(124778707,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000001" =>
inv <= conv_std_logic_vector(2338,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2037258,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(44895651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000010" =>
inv <= conv_std_logic_vector(2336,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2065973,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(264640088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000011" =>
inv <= conv_std_logic_vector(2333,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2109093,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(226677719,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000100" =>
inv <= conv_std_logic_vector(2331,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2137871,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(62314345,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000101" =>
inv <= conv_std_logic_vector(2328,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2181083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(172467092,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000110" =>
inv <= conv_std_logic_vector(2326,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2209922,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231891008,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110000111" =>
inv <= conv_std_logic_vector(2323,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2253228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60167664,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001000" =>
inv <= conv_std_logic_vector(2320,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2296589,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(146717848,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001001" =>
inv <= conv_std_logic_vector(2318,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2325528,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(68833327,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001010" =>
inv <= conv_std_logic_vector(2315,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2368983,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(45955088,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001011" =>
inv <= conv_std_logic_vector(2313,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2397984,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(110242438,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001100" =>
inv <= conv_std_logic_vector(2310,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2441533,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(86625006,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001101" =>
inv <= conv_std_logic_vector(2308,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2470597,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(97343330,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001110" =>
inv <= conv_std_logic_vector(2305,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2514240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182382776,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110001111" =>
inv <= conv_std_logic_vector(2303,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2543367,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(212699904,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010000" =>
inv <= conv_std_logic_vector(2300,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2587105,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(248069835,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010001" =>
inv <= conv_std_logic_vector(2297,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2630901,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(38353874,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010010" =>
inv <= conv_std_logic_vector(2295,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2660129,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(199724201,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010011" =>
inv <= conv_std_logic_vector(2292,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2704020,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(118011763,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010100" =>
inv <= conv_std_logic_vector(2290,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2733312,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(223026379,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010101" =>
inv <= conv_std_logic_vector(2287,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2777299,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112874067,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010110" =>
inv <= conv_std_logic_vector(2285,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2806655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236438996,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110010111" =>
inv <= conv_std_logic_vector(2282,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2850738,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210574529,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011000" =>
inv <= conv_std_logic_vector(2280,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2880159,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(159652923,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011001" =>
inv <= conv_std_logic_vector(2278,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2909606,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60159477,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011010" =>
inv <= conv_std_logic_vector(2275,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2953824,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182033526,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011011" =>
inv <= conv_std_logic_vector(2273,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(2983336,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(14447396,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011100" =>
inv <= conv_std_logic_vector(2270,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3027651,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(225760651,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011101" =>
inv <= conv_std_logic_vector(2268,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3057228,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66680404,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011110" =>
inv <= conv_std_logic_vector(2265,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3101641,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(214275106,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110011111" =>
inv <= conv_std_logic_vector(2263,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3131283,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(140806814,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100000" =>
inv <= conv_std_logic_vector(2260,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3175795,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72289811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100001" =>
inv <= conv_std_logic_vector(2258,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3205502,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(162051533,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100010" =>
inv <= conv_std_logic_vector(2256,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3235236,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(70518411,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100011" =>
inv <= conv_std_logic_vector(2253,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3279886,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56927398,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100100" =>
inv <= conv_std_logic_vector(2251,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3309685,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(238158383,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100101" =>
inv <= conv_std_logic_vector(2248,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3354435,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21682069,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100110" =>
inv <= conv_std_logic_vector(2246,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3384301,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17671725,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110100111" =>
inv <= conv_std_logic_vector(2243,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3429149,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253874147,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101000" =>
inv <= conv_std_logic_vector(2241,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3459082,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(144015594,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101001" =>
inv <= conv_std_logic_vector(2239,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3489041,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(228915285,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101010" =>
inv <= conv_std_logic_vector(2236,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3534031,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11297232,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101011" =>
inv <= conv_std_logic_vector(2234,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3564057,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(102394521,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101100" =>
inv <= conv_std_logic_vector(2232,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3594110,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(164843479,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101101" =>
inv <= conv_std_logic_vector(2229,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3639240,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(266678657,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101110" =>
inv <= conv_std_logic_vector(2227,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3669361,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(179992124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110101111" =>
inv <= conv_std_logic_vector(2224,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3714593,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(119109352,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110000" =>
inv <= conv_std_logic_vector(2222,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3744781,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(233164434,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110001" =>
inv <= conv_std_logic_vector(2220,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3774997,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(128320487,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110010" =>
inv <= conv_std_logic_vector(2217,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3820371,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260492078,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110011" =>
inv <= conv_std_logic_vector(2215,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3850655,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(202899023,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110100" =>
inv <= conv_std_logic_vector(2213,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3880966,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241038144,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110101" =>
inv <= conv_std_logic_vector(2210,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3926485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3486646,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110110" =>
inv <= conv_std_logic_vector(2208,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3956864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(204914613,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110110111" =>
inv <= conv_std_logic_vector(2206,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(3987272,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(11839650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111000" =>
inv <= conv_std_logic_vector(2203,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4032934,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(186275213,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111001" =>
inv <= conv_std_logic_vector(2201,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4063411,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(5198727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111010" =>
inv <= conv_std_logic_vector(2199,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4093915,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(13571695,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111011" =>
inv <= conv_std_logic_vector(2196,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4139723,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(41866951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111100" =>
inv <= conv_std_logic_vector(2194,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4170296,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(180504741,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111101" =>
inv <= conv_std_logic_vector(2192,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4200898,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(19253907,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111110" =>
inv <= conv_std_logic_vector(2190,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4231527,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(108649881,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "110111111" =>
inv <= conv_std_logic_vector(2187,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4277523,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(239373474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000000" =>
inv <= conv_std_logic_vector(2185,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4308223,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(75890782,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000001" =>
inv <= conv_std_logic_vector(2183,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4338950,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(211176572,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000010" =>
inv <= conv_std_logic_vector(2180,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4385094,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(232816832,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000011" =>
inv <= conv_std_logic_vector(2178,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4415892,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(236107455,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000100" =>
inv <= conv_std_logic_vector(2176,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4446719,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(49882053,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000101" =>
inv <= conv_std_logic_vector(2174,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4477573,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(224979561,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000110" =>
inv <= conv_std_logic_vector(2171,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4523909,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(21351166,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111000111" =>
inv <= conv_std_logic_vector(2169,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4554834,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(221595418,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001000" =>
inv <= conv_std_logic_vector(2167,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4585789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(27048959,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001001" =>
inv <= conv_std_logic_vector(2165,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4616771,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(257160862,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001010" =>
inv <= conv_std_logic_vector(2163,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4647783,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(120806675,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001011" =>
inv <= conv_std_logic_vector(2160,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4694354,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132686548,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001100" =>
inv <= conv_std_logic_vector(2158,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4725437,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(216213494,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001101" =>
inv <= conv_std_logic_vector(2156,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4756549,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(251657420,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001110" =>
inv <= conv_std_logic_vector(2154,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4787690,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(253378504,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111001111" =>
inv <= conv_std_logic_vector(2151,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4834456,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(190686783,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010000" =>
inv <= conv_std_logic_vector(2149,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4865670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(36971813,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010001" =>
inv <= conv_std_logic_vector(2147,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4896912,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(168546215,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010010" =>
inv <= conv_std_logic_vector(2145,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4928184,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(63080520,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010011" =>
inv <= conv_std_logic_vector(2143,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(4959485,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3592320,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010100" =>
inv <= conv_std_logic_vector(2140,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5006490,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(267447830,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010101" =>
inv <= conv_std_logic_vector(2138,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5037864,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(252750919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010110" =>
inv <= conv_std_logic_vector(2136,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5069268,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(66956194,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111010111" =>
inv <= conv_std_logic_vector(2134,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5100700,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261701721,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011000" =>
inv <= conv_std_logic_vector(2132,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5132163,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(46489821,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011001" =>
inv <= conv_std_logic_vector(2130,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5163654,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241477251,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011010" =>
inv <= conv_std_logic_vector(2127,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5210947,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(261928568,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011011" =>
inv <= conv_std_logic_vector(2125,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5242513,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(205482523,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011100" =>
inv <= conv_std_logic_vector(2123,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5274109,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(74671864,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011101" =>
inv <= conv_std_logic_vector(2121,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5305734,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(152972013,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011110" =>
inv <= conv_std_logic_vector(2119,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5337389,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(187030043,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111011111" =>
inv <= conv_std_logic_vector(2117,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5369074,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(191971210,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100000" =>
inv <= conv_std_logic_vector(2115,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5400789,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(182963660,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100001" =>
inv <= conv_std_logic_vector(2112,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5448418,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(109475927,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100010" =>
inv <= conv_std_logic_vector(2110,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5480208,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(132240138,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100011" =>
inv <= conv_std_logic_vector(2108,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5512028,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(194484233,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100100" =>
inv <= conv_std_logic_vector(2106,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5543879,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(43135919,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100101" =>
inv <= conv_std_logic_vector(2104,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5575759,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(230473058,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100110" =>
inv <= conv_std_logic_vector(2102,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5607670,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(235075650,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111100111" =>
inv <= conv_std_logic_vector(2100,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5639612,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(72438727,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101000" =>
inv <= conv_std_logic_vector(2098,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5671584,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(26537070,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101001" =>
inv <= conv_std_logic_vector(2096,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5703586,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(112954470,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101010" =>
inv <= conv_std_logic_vector(2093,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5751647,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(55116163,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101011" =>
inv <= conv_std_logic_vector(2091,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5783726,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(3932676,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101100" =>
inv <= conv_std_logic_vector(2089,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5815835,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(139964094,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101101" =>
inv <= conv_std_logic_vector(2087,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5847975,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(210560941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101110" =>
inv <= conv_std_logic_vector(2085,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5880146,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(231554600,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111101111" =>
inv <= conv_std_logic_vector(2083,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5912348,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(218822034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110000" =>
inv <= conv_std_logic_vector(2081,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5944581,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(188285963,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110001" =>
inv <= conv_std_logic_vector(2079,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(5976845,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155915034,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110010" =>
inv <= conv_std_logic_vector(2077,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6009140,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(137724004,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110011" =>
inv <= conv_std_logic_vector(2075,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6041466,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(149773915,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110100" =>
inv <= conv_std_logic_vector(2073,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6073823,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(208172277,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110101" =>
inv <= conv_std_logic_vector(2071,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6106212,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(60637778,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110110" =>
inv <= conv_std_logic_vector(2069,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6138631,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(260242308,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111110111" =>
inv <= conv_std_logic_vector(2067,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6171083,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(17927474,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111000" =>
inv <= conv_std_logic_vector(2065,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6203565,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(155294811,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111001" =>
inv <= conv_std_logic_vector(2063,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6236079,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(151815941,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111010" =>
inv <= conv_std_logic_vector(2061,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6268625,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(23880951,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111011" =>
inv <= conv_std_logic_vector(2059,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6301202,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(56363124,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111100" =>
inv <= conv_std_logic_vector(2057,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6333810,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(265748209,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111101" =>
inv <= conv_std_logic_vector(2055,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6366451,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(131699156,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111110" =>
inv <= conv_std_logic_vector(2053,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6399123,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(207669033,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN "111111111" =>
inv <= conv_std_logic_vector(2051,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(6431827,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(241853027,28);
logexp <= conv_std_logic_vector(1022,11);
WHEN others =>
inv <= conv_std_logic_vector(0,12);
logman(52 DOWNTO 29) <= conv_std_logic_vector(0,24);
logman(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
logexp <= conv_std_logic_vector(0,11);
END CASE;
END PROCESS;
END rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library work;
use work.bus_pkg.all;
entity bus_demux is
generic (
ADDRESS_MAP : addr_range_and_mapping_array;
OOR_FAULT_CODE : bus_data_type := (others => '1')
);
port (
rst : in std_logic;
mst2demux : in bus_mst2slv_type;
demux2mst : out bus_slv2mst_type;
demux2slv : out bus_mst2slv_array(ADDRESS_MAP'range);
slv2demux : in bus_slv2mst_array(ADDRESS_MAP'range)
);
end bus_demux;
architecture behaviourial of bus_demux is
begin
combinatoral : process(mst2demux, slv2demux, rst)
variable none_selected : boolean := true;
variable selected_slv : natural range 0 to ADDRESS_MAP'high := 0;
begin
demux2mst <= BUS_SLV2MST_IDLE;
for i in 0 to ADDRESS_MAP'high loop
demux2slv(i) <= BUS_MST2SLV_IDLE;
end loop;
none_selected := true;
selected_slv := 0;
if rst /= '1' and bus_requesting(mst2demux) = '1' then
for i in 0 to ADDRESS_MAP'high loop
if bus_addr_in_range(mst2demux.address, ADDRESS_MAP(i).addr_range) then
none_selected := false;
selected_slv := i;
end if;
end loop;
if none_selected then
demux2mst.fault <= '1';
demux2mst.readData <= OOR_FAULT_CODE;
else
demux2slv(selected_slv) <= mst2demux;
demux2slv(selected_slv).address <= bus_apply_addr_map(mst2demux.address, ADDRESS_MAP(selected_slv).mapping);
demux2mst <= slv2demux(selected_slv);
end if;
end if;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity RS232top is
port (
Reset : in std_logic; -- Low_level-active asynchronous reset
Clk : in std_logic; -- System clock (20MHz), rising edge used
Data_in : in std_logic_vector(7 downto 0); -- Data to be sent
Valid_D : in std_logic; -- Handshake signal
-- from guest system, low when data is valid
Ack_in : out std_logic; -- ACK for data received, low once data
-- has been stored
TX_RDY : out std_logic; -- System ready to transmit
TD : out std_logic; -- RS232 Transmission line
RD : in std_logic; -- RS232 Reception line
Data_out : out std_logic_vector(7 downto 0); -- Received data
Data_read : in std_logic; -- Data read for guest system
Full : out std_logic; -- Full internal memory
Empty : out std_logic); -- Empty internal memory
end RS232top;
architecture RTL of RS232top is
------------------------------------------------------------------------
-- Components for Transmitter Block
------------------------------------------------------------------------
component RS232_TX
port (
Clk : in std_logic;
Reset : in std_logic;
Start : in std_logic;
Data : in std_logic_vector(7 downto 0);
EOT : out std_logic;
TX : out std_logic);
end component;
------------------------------------------------------------------------
-- Components for Receiver Block
------------------------------------------------------------------------
component ShiftRegister
port (
Reset : in std_logic;
Clk : in std_logic;
Enable : in std_logic;
D : in std_logic;
Q : out std_logic_vector(7 downto 0));
end component;
component RS232_RX
port (
Clk : in std_logic;
Reset : in std_logic;
LineRD_in : in std_logic;
Valid_out : out std_logic;
Code_out : out std_logic;
Store_out : out std_logic);
end component;
component fifo
port (
clk : IN std_logic;
rst : IN std_logic;
din : IN std_logic_VECTOR(7 downto 0);
wr_en : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_VECTOR(7 downto 0);
full : OUT std_logic;
empty : OUT std_logic);
end component;
------------------------------------------------------------------------
-- Internal Signals
------------------------------------------------------------------------
signal Data_FF : std_logic_vector(7 downto 0);
signal StartTX : std_logic; -- start signal for transmitter
signal LineRD_in : std_logic; -- internal RX line
signal Valid_out : std_logic; -- valid bit @ receiver
signal Code_out : std_logic; -- bit @ receiver output
signal sinit : std_logic; -- fifo reset
signal Fifo_in : std_logic_vector(7 downto 0);
signal Fifo_write : std_logic;
signal TX_RDY_i : std_logic;
begin -- RTL
Transmitter: RS232_TX
port map (
Clk => Clk,
Reset => Reset,
Start => StartTX,
Data => Data_FF,
EOT => TX_RDY_i,
TX => TD);
Receiver: RS232_RX
port map (
Clk => Clk,
Reset => Reset,
LineRD_in => LineRD_in,
Valid_out => Valid_out,
Code_out => Code_out,
Store_out => Fifo_write);
Shift: ShiftRegister
port map (
Reset => Reset,
Clk => Clk,
Enable => Valid_Out,
D => Code_Out,
Q => Fifo_in);
sinit <= not reset;
Internal_memory: fifo
port map (
clk => clk,
rst => sinit,
din => Fifo_in,
wr_en => Fifo_write,
rd_en => Data_read,
dout => Data_out,
full => Full,
empty => Empty);
-- purpose: Clocking process for input protocol
Clocking : process (Clk, Reset)
begin
if Reset = '0' then -- asynchronous reset (active low)
Data_FF <= (others => '0');
LineRD_in <= '1';
Ack_in <= '1';
elsif Clk'event and Clk = '1' then -- rising edge clock
LineRD_in <= RD;
if Valid_D = '0' and TX_RDY_i = '1' then
Data_FF <= Data_in;
Ack_in <= '0';
StartTX <= '1';
else
Ack_in <= '1';
StartTX <= '0';
end if;
end if;
end process Clocking;
TX_RDY <= TX_RDY_i;
end RTL;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_tb is
end entity;
architecture testbench of fifo_tb is
component fifo32x1K is
port (
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component;
signal CLOCK : std_logic := '0';
signal RESET : std_logic := '0';
signal DIN : std_logic_vector(31 downto 0) := (others => 'X');
signal VIN : std_logic := '0';
signal RIN : std_logic;
signal DOUT : std_logic_vector(31 downto 0);
signal VOUT : std_logic;
signal ROUT : std_logic := '0';
signal reset_n : std_logic;
begin
UUT: fifo32x1K
port map (
s_aclk => CLOCK,
s_aresetn => reset_n,
s_axis_tdata => DIN,
s_axis_tvalid => VIN,
s_axis_tready => RIN,
m_axis_tdata => DOUT,
m_axis_tvalid => VOUT,
m_axis_tready => ROUT
);
CLOCK <= not CLOCK after 5 ns;
reset_n <= not RESET;
process
begin
RESET <= '1';
wait until rising_edge(CLOCK);
RESET <= '0';
wait until RIN = '1';
wait until rising_edge(CLOCK);
for i in 1 to 8 loop
DIN <= std_logic_vector(to_unsigned(i, 32));
VIN <= '1';
wait until rising_edge(CLOCK);
end loop;
DIN <= (others => 'X');
VIN <= '0';
wait for 50 ns;
for i in 1 to 8 loop
ROUT <= '1';
wait until rising_edge(CLOCK);
end loop;
ROUT <= '0';
wait for 500 ns;
wait;
end process;
end architecture;
|
-- **********************************************************************************
-- Project : MiniBlaze
-- Author : Benjamin Lemoine
-- Module : tb_sequencer
-- Date : 08/05/2016
--
-- Description : Test bench for Sequencer unit
--
-- --------------------------------------------------------------------------------
-- Modifications
-- --------------------------------------------------------------------------------
-- Date : Ver. : Author : Modification comments
-- --------------------------------------------------------------------------------
-- : : :
-- 08/05/2016 : 1.0 : B.Lemoine : First draft
-- : : :
-- **********************************************************************************
-- MIT License
--
-- Copyright (c) 2016, Benjamin Lemoine
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in all
-- copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
-- SOFTWARE.
-- **********************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.tb_sequencer_pkg.all;
library vunit_lib;
context vunit_lib.vunit_context;
entity tb_sequencer is
generic (
runner_cfg : string
);
end entity;
architecture rtl of tb_sequencer is
-- Components declaration
Component sequencer is
generic(
D_WIDTH : natural := 32
);
port(
-- Clock and reset
clk : in std_logic;
reset_n : in std_logic;
-- Interface memory in
data_mem_in_i : in std_logic_vector(D_WIDTH-1 downto 0);
data_mem_in_en_i : in std_logic;
addr_mem_in_o : out std_logic_vector(D_WIDTH-1 downto 0);
rd_en_mem_in_o : out std_logic;
-- Interface memory out
addr_mem_out_o : out std_logic_vector(D_WIDTH-1 downto 0);
data_mem_out_o : out std_logic_vector(D_WIDTH-1 downto 0);
wr_en_mem_out_o : out std_logic_vector(3 downto 0)
);
end Component;
-- Signals declaration
signal data_o : std_logic_vector(D_WIDTH-1 downto 0);
signal data_i : std_logic_vector(D_WIDTH-1 downto 0);
signal s_data_i : std_logic_vector(D_WIDTH-1 downto 0);
signal addr_in : std_logic_vector(31 downto 0);
signal addr_out : std_logic_vector(31 downto 0);
signal addr : std_logic_vector(SIZE_MEM-1 downto 0);
signal s_addr : std_logic_vector(SIZE_MEM-1 downto 0);
signal wr_en : std_logic_vector(NB_COL-1 downto 0);
signal s_wr_en : std_logic_vector(NB_COL-1 downto 0);
signal clk : std_logic := '0';
signal reset_n : std_logic := '0';
signal r_init_done : std_logic := '0';
signal r_cnt : unsigned(31 downto 0) := (others => '0');
signal addr_init : std_logic_vector(31 downto 0);
signal data_init : std_logic_vector(31 downto 0);
signal wr_en_init : std_logic_vector(3 downto 0);
signal data_o_en : std_logic;
signal r_data_o_en : std_logic;
signal rd_en : std_logic;
signal RAM : ram_type := (others => (others => '0'));
constant c_size_init : integer := SIZE;
type fsm_load_app is (st_wait_top, st_load_app);
signal r_fsm_load_app : fsm_load_app := st_wait_top;
signal r_prog_end : std_logic := '0';
signal r_prog_to_run : ram_type;
signal r_prog_start : std_logic := '0';
begin
-- Clock generation (125 MHz)
clk <= not clk after C_PERIOD/2;
i_sequencer : sequencer
generic map (
D_WIDTH => 32
)
port map(
-- Clock and reset
clk => clk,
reset_n => reset_n,
-- Interface memory in
data_mem_in_i => data_o,
data_mem_in_en_i => r_data_o_en,
addr_mem_in_o => addr_in,
rd_en_mem_in_o => rd_en,
-- Interface memory out
addr_mem_out_o => addr_out,
data_mem_out_o => s_data_i,
wr_en_mem_out_o => s_wr_en
);
s_addr <= addr_in(SIZE_MEM+1 downto 2) when s_wr_en = x"0" else addr_out(SIZE_MEM+1 downto 2);
process (clk)
begin
if rising_edge(clk) then
if (wr_en = c_zero(NB_COL-1 downto 0)) then
data_o <= RAM(to_integer(unsigned(addr)));
end if;
for i in 0 to NB_COL-1 loop
if wr_en(i) = '1' then
RAM(to_integer(unsigned(addr)))(COL_WIDTH*(i+1)-1 downto i*COL_WIDTH) <= data_i(COL_WIDTH*(i+1)-1 downto i*COL_WIDTH);
end if;
end loop;
end if;
end process;
-- Init memory
process(clk)
begin
if rising_edge(clk) then
r_data_o_en <= rd_en;
case r_fsm_load_app is
when st_wait_top =>
r_init_done <= '1';
if r_prog_start = '1' then
r_fsm_load_app <= st_load_app;
r_init_done <= '0';
r_prog_end <= '0';
end if;
when st_load_app =>
if r_cnt < c_size_init then
r_cnt <= r_cnt + 1;
addr_init <= std_logic_vector(r_cnt);
data_init <= r_prog_to_run(to_integer(r_cnt));
wr_en_init <= (others => '1');
else
r_init_done <= '1';
r_prog_end <= '1';
wr_en_init <= (others => '0');
r_fsm_load_app <= st_wait_top;
end if;
when others =>
r_fsm_load_app <= st_wait_top;
end case;
end if;
end process;
addr <= addr_init(SIZE_MEM-1 downto 0) when r_init_done = '0' else s_addr;
data_i <= data_init when r_init_done = '0' else s_data_i;
wr_en <= wr_en_init when r_init_done = '0' else s_wr_en;
main : process
variable filter : log_filter_t;
variable nb_loop : integer := 0;
variable v_numero_test : integer;
begin
checker_init( display_format => verbose,
file_name => join(output_path(runner_cfg), "error.cvs"),
file_format => verbose_csv);
logger_init( display_format => verbose,
file_name => join(output_path(runner_cfg), "log.csv"),
file_format => verbose_csv);
stop_level((debug,verbose), display_handler, filter);
test_runner_setup(runner,runner_cfg);
enable_pass_msg;
enable_pass_msg(file_handler);
enable_pass_msg(display_handler);
while test_suite loop
reset_checker_stat;
reset_n <= '0';
wait for 10*C_PERIOD;
if run("test_add") then
v_numero_test := 0;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_rsub") then
v_numero_test := 1;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_addc") then
v_numero_test := 2;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_rsubc") then
v_numero_test := 3;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_addk") then
v_numero_test := 4;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_cmp") then
v_numero_test := 5;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(RAM(13)(31), c_test(v_numero_test).results(0)(31));
elsif run("test_addi") then
v_numero_test := 6;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_mul") then
v_numero_test := 7;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_bsra") then
v_numero_test := 8;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_bsll") then
v_numero_test := 9;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_muli") then
v_numero_test := 10;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_bsrli") then
v_numero_test := 11;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_bsrai") then
v_numero_test := 12;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_bslli") then
v_numero_test := 13;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_or") then
v_numero_test := 14;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_and") then
v_numero_test := 15;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_xor") then
v_numero_test := 16;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_andn") then
v_numero_test := 17;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_sra") then
v_numero_test := 18;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_src") then
v_numero_test := 19;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_srl") then
v_numero_test := 20;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
check_equal(unsigned(RAM(11)), unsigned(c_test(v_numero_test).results(2)));
elsif run("test_sext8") then
v_numero_test := 21;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_sext16") then
v_numero_test := 22;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_br") then
v_numero_test := 23;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_brd") then
v_numero_test := 24;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_brld") then
v_numero_test := 25;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bra") then
v_numero_test := 26;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_brad") then
v_numero_test := 27;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_brald") then
v_numero_test := 28;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_beq") then
v_numero_test := 29;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bne") then
v_numero_test := 30;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_blt") then
v_numero_test := 31;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_ble") then
v_numero_test := 32;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bgt") then
v_numero_test := 33;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bge") then
v_numero_test := 34;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_beqd") then
v_numero_test := 35;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bned") then
v_numero_test := 36;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bltd") then
v_numero_test := 37;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bled") then
v_numero_test := 38;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bgtd") then
v_numero_test := 39;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_bged") then
v_numero_test := 40;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
check_equal(unsigned(RAM(12)), unsigned(c_test(v_numero_test).results(1)));
elsif run("test_ori") then
v_numero_test := 41;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_andi") then
v_numero_test := 42;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_xori") then
v_numero_test := 43;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_andni") then
v_numero_test := 44;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
elsif run("test_imm") then
v_numero_test := 45;
-- Load test program
r_prog_to_run <= c_test(v_numero_test).program;
r_prog_start <= '1';
wait until rising_edge(clk);
r_prog_start <= '0';
wait until r_prog_end = '1' and rising_edge(clk);
reset_n <= '1';
wait for NB_WAIT_CLK*C_PERIOD;
-- Check output data
check_equal(unsigned(RAM(13)), unsigned(c_test(v_numero_test).results(0)));
end if;
nb_loop := nb_loop+1;
end loop;
test_runner_cleanup(runner);
end process;
end rtl;
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
use work.cam_pkg.all;
entity function_5 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_5 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable data1, data2, data3, data4 : UNSIGNED(7 downto 0);
variable mini : UNSIGNED(7 downto 0);
variable diff1, diff2, diff3, diff4 : UNSIGNED(7 downto 0);
variable mult1, mult2, mult3, mult4 : UNSIGNED(23 downto 0);
variable beta : UNSIGNED(15 downto 0);
begin
data1 := UNSIGNED( INPUT_1(7 downto 0) );
data2 := UNSIGNED( INPUT_1(15 downto 8) );
data3 := UNSIGNED( INPUT_1(23 downto 16) );
data4 := UNSIGNED( INPUT_1(31 downto 24) );
mini := UNSIGNED( INPUT_2(7 downto 0) );
beta := UNSIGNED( INPUT_2(31 downto 16) );
diff1 := data1 - mini; -- 8
diff2 := data2 - mini; -- 8
diff3 := data3 - mini; -- 8
diff4 := data4 - mini; -- 8
mult1 := diff1 * beta; -- 24
mult2 := diff2 * beta; -- 24
mult3 := diff3 * beta; -- 24
mult4 := diff4 * beta; -- 24
OUTPUT_1(7 downto 0) <= std_logic_vector(mult1(15 downto 8));
OUTPUT_1(15 downto 8) <= std_logic_vector(mult2(15 downto 8));
OUTPUT_1(23 downto 16) <= std_logic_vector(mult3(15 downto 8));
OUTPUT_1(31 downto 24) <= std_logic_vector(mult4(15 downto 8));
end process;
--OUTPUT_1 <= INPUT_1;
-------------------------------------------------------------------------
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
use work.cam_pkg.all;
entity function_5 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_5 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable data1, data2, data3, data4 : UNSIGNED(7 downto 0);
variable mini : UNSIGNED(7 downto 0);
variable diff1, diff2, diff3, diff4 : UNSIGNED(7 downto 0);
variable mult1, mult2, mult3, mult4 : UNSIGNED(23 downto 0);
variable beta : UNSIGNED(15 downto 0);
begin
data1 := UNSIGNED( INPUT_1(7 downto 0) );
data2 := UNSIGNED( INPUT_1(15 downto 8) );
data3 := UNSIGNED( INPUT_1(23 downto 16) );
data4 := UNSIGNED( INPUT_1(31 downto 24) );
mini := UNSIGNED( INPUT_2(7 downto 0) );
beta := UNSIGNED( INPUT_2(31 downto 16) );
diff1 := data1 - mini; -- 8
diff2 := data2 - mini; -- 8
diff3 := data3 - mini; -- 8
diff4 := data4 - mini; -- 8
mult1 := diff1 * beta; -- 24
mult2 := diff2 * beta; -- 24
mult3 := diff3 * beta; -- 24
mult4 := diff4 * beta; -- 24
OUTPUT_1(7 downto 0) <= std_logic_vector(mult1(15 downto 8));
OUTPUT_1(15 downto 8) <= std_logic_vector(mult2(15 downto 8));
OUTPUT_1(23 downto 16) <= std_logic_vector(mult3(15 downto 8));
OUTPUT_1(31 downto 24) <= std_logic_vector(mult4(15 downto 8));
end process;
--OUTPUT_1 <= INPUT_1;
-------------------------------------------------------------------------
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
use work.cam_pkg.all;
entity function_5 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_5 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable data1, data2, data3, data4 : UNSIGNED(7 downto 0);
variable mini : UNSIGNED(7 downto 0);
variable diff1, diff2, diff3, diff4 : UNSIGNED(7 downto 0);
variable mult1, mult2, mult3, mult4 : UNSIGNED(23 downto 0);
variable beta : UNSIGNED(15 downto 0);
begin
data1 := UNSIGNED( INPUT_1(7 downto 0) );
data2 := UNSIGNED( INPUT_1(15 downto 8) );
data3 := UNSIGNED( INPUT_1(23 downto 16) );
data4 := UNSIGNED( INPUT_1(31 downto 24) );
mini := UNSIGNED( INPUT_2(7 downto 0) );
beta := UNSIGNED( INPUT_2(31 downto 16) );
diff1 := data1 - mini; -- 8
diff2 := data2 - mini; -- 8
diff3 := data3 - mini; -- 8
diff4 := data4 - mini; -- 8
mult1 := diff1 * beta; -- 24
mult2 := diff2 * beta; -- 24
mult3 := diff3 * beta; -- 24
mult4 := diff4 * beta; -- 24
OUTPUT_1(7 downto 0) <= std_logic_vector(mult1(15 downto 8));
OUTPUT_1(15 downto 8) <= std_logic_vector(mult2(15 downto 8));
OUTPUT_1(23 downto 16) <= std_logic_vector(mult3(15 downto 8));
OUTPUT_1(31 downto 24) <= std_logic_vector(mult4(15 downto 8));
end process;
--OUTPUT_1 <= INPUT_1;
-------------------------------------------------------------------------
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Arithmetic Logic Unit
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/8/01
-- FILENAME: alu.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the ALU.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mlite_pack.all;
use work.cam_pkg.all;
entity function_5 is
port(
INPUT_1 : in std_logic_vector(31 downto 0);
INPUT_2 : in std_logic_vector(31 downto 0);
OUTPUT_1 : out std_logic_vector(31 downto 0)
);
end; --comb_alu_1
architecture logic of function_5 is
begin
-------------------------------------------------------------------------
computation : process (INPUT_1, INPUT_2)
variable data1, data2, data3, data4 : UNSIGNED(7 downto 0);
variable mini : UNSIGNED(7 downto 0);
variable diff1, diff2, diff3, diff4 : UNSIGNED(7 downto 0);
variable mult1, mult2, mult3, mult4 : UNSIGNED(23 downto 0);
variable beta : UNSIGNED(15 downto 0);
begin
data1 := UNSIGNED( INPUT_1(7 downto 0) );
data2 := UNSIGNED( INPUT_1(15 downto 8) );
data3 := UNSIGNED( INPUT_1(23 downto 16) );
data4 := UNSIGNED( INPUT_1(31 downto 24) );
mini := UNSIGNED( INPUT_2(7 downto 0) );
beta := UNSIGNED( INPUT_2(31 downto 16) );
diff1 := data1 - mini; -- 8
diff2 := data2 - mini; -- 8
diff3 := data3 - mini; -- 8
diff4 := data4 - mini; -- 8
mult1 := diff1 * beta; -- 24
mult2 := diff2 * beta; -- 24
mult3 := diff3 * beta; -- 24
mult4 := diff4 * beta; -- 24
OUTPUT_1(7 downto 0) <= std_logic_vector(mult1(15 downto 8));
OUTPUT_1(15 downto 8) <= std_logic_vector(mult2(15 downto 8));
OUTPUT_1(23 downto 16) <= std_logic_vector(mult3(15 downto 8));
OUTPUT_1(31 downto 24) <= std_logic_vector(mult4(15 downto 8));
end process;
--OUTPUT_1 <= INPUT_1;
-------------------------------------------------------------------------
end; --architecture logic
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity RS232top_TB is
end RS232top_TB;
architecture Testbench of RS232top_TB is
component RS232top
port (
Reset : in std_logic;
Clk : in std_logic;
Data_in : in std_logic_vector(7 downto 0);
Valid_D : in std_logic;
Ack_in : out std_logic;
TX_RDY : out std_logic;
TD : out std_logic;
RD : in std_logic;
Data_out : out std_logic_vector(7 downto 0);
Data_read : in std_logic;
Full : out std_logic;
Empty : out std_logic);
end component;
signal Reset, Clk, Valid_D, Ack_in, TX_RDY : std_logic;
signal TD, RD, Data_read, Full, Empty : std_logic;
signal Data_out, Data_in : std_logic_vector(7 downto 0);
begin
UUT: RS232top
port map (
Reset => Reset,
Clk => Clk,
Data_in => Data_in,
Valid_D => Valid_D,
Ack_in => Ack_in,
TX_RDY => TX_RDY,
TD => TD,
RD => RD,
Data_out => Data_out,
Data_read => Data_read,
Full => Full,
Empty => Empty);
Data_in <= "10101010";
-- Clock generator
p_clk : PROCESS
BEGIN
clk <= '1', '0' after 25 ns;
wait for 50 ns;
END PROCESS;
-- Reset & Start generator
p_reset : PROCESS
BEGIN
reset <= '0', '1' after 10 ns;
Valid_D <= '1', '0' after 110 ns,
'1' after 400 ns;
RD <= '1',
'0' after 500 ns, -- StartBit
'1' after 9150 ns, -- LSb
'0' after 17800 ns,
'1' after 26450 ns,
'0' after 35100 ns,
'1' after 43750 ns,
'0' after 52400 ns,
'1' after 61050 ns,
'1' after 69700 ns, -- MSb
'1' after 78350 ns, -- Stopbit
'1' after 87000 ns;
Data_read <= '0','1'after 88000 ns;
wait for 100000 ns;
END PROCESS;
end Testbench;
|
entity ENT00001_Test_Bench is
end entity ENT00001_Test_Bench;
architecture arch of ENT00001_Test_Bench is
constant CYCLES : integer := 1000;
signal clk : integer := 0;
signal n : integer := 0;
begin
main: process(clk)
begin
n <= 1 after 10 us;
end process;
terminator : process(clk)
begin
if clk >= CYCLES then
assert false report "end of simulation" severity failure;
-- else
-- report "tick";
end if;
end process;
clk <= (clk+1) after 1 us;
end;
|
----------------------------------------------------------------------------------------
-- Company: NTU Athens - BNL
-- Engineer: Christos Bakalis ([email protected])
--
-- Copyright Notice/Copying Permission:
-- Copyright 2017 Christos Bakalis
--
-- This file is part of NTUA-BNL_VMM_firmware.
--
-- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>.
--
-- Create Date: 30.01.2017
-- Design Name: VMM Configuration Block
-- Module Name: vmm_config_block - RTL
-- Project Name: MMFE8 - NTUA
-- Target Devices: Artix7 xc7a200t-2fbg484 and xc7a200t-3fbg484
-- Tool Versions: Vivado 2016.2
-- Description: Module that stores the data coming from the UDP/Ethernet for VMM
-- configuration using a FIFO serializer. It also drives the SCK and CS signals.
-- Dependencies: MMFE8 NTUA Project
--
-- Changelog:
-- 16.02.2017 Modified the serialization FSM for VMM3 configuration. (Christos Bakalis)
-- 28.03.2017 VMM_ID is now sampled one level above. (Christos Bakalis)
--
----------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity vmm_config_block is
port(
------------------------------------
------- General Interface ----------
clk_125 : in std_logic;
clk_40 : in std_logic;
rst : in std_logic;
rst_fifo : in std_logic;
cnt_bytes : in unsigned(7 downto 0);
------------------------------------
--------- FIFO/UDP Interface -------
user_din_udp : in std_logic_vector(7 downto 0); --prv
user_valid_udp : in std_logic; --prv
user_last_udp : in std_logic; --prv
------------------------------------
------ VMM Config Interface --------
vmmConf_rdy : out std_logic;
vmmConf_done : out std_logic;
vmm_sck : out std_logic;
vmm_cs : out std_logic;
vmm_cfg_bit : out std_logic;
vmm_conf : in std_logic;
top_rdy : in std_logic;
init_ser : in std_logic
);
end vmm_config_block;
architecture RTL of vmm_config_block is
COMPONENT vmm_conf_buffer
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END COMPONENT;
signal rd_ena : std_logic := '0';
signal fifo_full : std_logic := '0';
signal fifo_empty : std_logic := '0';
signal sel_vmm_data : std_logic := '0';
signal wait_cnt : unsigned(1 downto 0) := (others => '0');
signal bit_cnt : unsigned(6 downto 0) := (others => '0');
signal user_valid_fifo : std_logic := '0';
signal vmm_cs_i : std_logic := '1';
type confFSM is (ST_IDLE, ST_RD_HIGH, ST_RD_LOW, ST_CKTK_LOW, ST_DONE);
signal st_conf : confFSM := ST_IDLE;
begin
-- sub-process that drives the data into the FIFO used for VMM configuration.
-- it also detects the 'last' pulse sent from the UDP block to initialize the
-- VMM config data serialization
VMM_conf_proc: process(clk_125)
begin
if(rising_edge(clk_125))then
if(rst = '1')then
sel_vmm_data <= '0';
vmmConf_rdy <= '0';
else
if(vmm_conf = '1' and user_last_udp = '0')then
case cnt_bytes is
when "00001000" => --8
sel_vmm_data <= '1'; -- select the correct data at the MUX
when others => null;
end case;
elsif(vmm_conf = '1' and user_last_udp = '1')then -- 'last' pulse detected, signal master FSM
vmmConf_rdy <= '1';
else
vmmConf_rdy <= '0';
sel_vmm_data <= '0';
end if;
end if;
end if;
end process;
-- FSM that reads the data from the serializing FIFO and asserts the SCK pulse
-- after the bit has passed safely into the vmm configuration bus. serialization
-- starts only after the assertion of the 'last' signal from the UDP block (see VMM_conf_proc)
VMM_conf_SCK_FSM: process(clk_40)
begin
if(rising_edge(clk_40))then
if(rst = '1' or rst_fifo = '1')then
st_conf <= ST_IDLE;
vmmConf_done <= '0';
rd_ena <= '0';
wait_cnt <= (others => '0');
bit_cnt <= (others => '0');
vmm_sck <= '0';
vmm_cs_i <= '1';
else
case st_conf is
-- wait for flow_fsm and master_conf_FSM
when ST_IDLE =>
vmmConf_done <= '0';
vmm_cs_i <= '1';
if(top_rdy = '1' and init_ser = '1')then
st_conf <= ST_RD_HIGH;
else
st_conf <= ST_IDLE;
end if;
-- assert the rd_ena signal if there is still data in the buffer. also check for 96-bit counter
when ST_RD_HIGH =>
if(fifo_empty = '0' and bit_cnt /= "1100000")then
rd_ena <= '1';
bit_cnt <= bit_cnt + 1;
vmm_cs_i <= '0';
st_conf <= ST_RD_LOW;
elsif(fifo_empty = '0' and bit_cnt = "1100000")then -- 96 bits sent, pull cs high and return to this state
rd_ena <= '0';
bit_cnt <= (others => '0');
vmm_cs_i <= '1';
st_conf <= ST_RD_HIGH;
else
rd_ena <= '0';
bit_cnt <= (others => '0');
st_conf <= ST_DONE;
end if;
-- wait for the FIFO to pass the bit as there is
-- some latency (see 'embedded registers' at FIFO generator)
when ST_RD_LOW =>
rd_ena <= '0';
if(wait_cnt = "11")then
wait_cnt <= (others => '0');
vmm_sck <= '1';
st_conf <= ST_CKTK_LOW;
else
wait_cnt <= wait_cnt + 1;
vmm_sck <= '0';
st_conf <= ST_RD_LOW;
end if;
-- ground CKTK and then check if there is more data left
when ST_CKTK_LOW =>
vmm_sck <= '0';
st_conf <= ST_RD_HIGH;
-- stay here until reset by master config FSM
when ST_DONE =>
vmmConf_done <= '1';
vmm_cs_i <= '1';
st_conf <= ST_DONE;
when others =>
st_conf <= ST_IDLE;
end case;
end if;
end if;
end process;
-- MUX that drives the VMM configuration data into the FIFO
FIFO_valid_MUX: process(sel_vmm_data, user_valid_udp)
begin
case sel_vmm_data is
when '0' => user_valid_fifo <= '0';
when '1' => user_valid_fifo <= user_valid_udp;
when others => user_valid_fifo <= '0';
end case;
end process;
-- FIFO that serializes the VMM data
FIFO_serializer: vmm_conf_buffer
PORT MAP(
rst => rst_fifo,
wr_clk => clk_125,
rd_clk => clk_40,
din => user_din_udp,
wr_en => user_valid_fifo,
rd_en => rd_ena,
dout(0) => vmm_cfg_bit,
full => fifo_full,
empty => fifo_empty
);
vmm_cs <= vmm_cs_i;
end RTL; |
package fifo_pkg is
end package;
package fifo_pkg is
end package;
package fifo_pkg is
end package;
package fifo_pkg is
end package;
|
entity arith3 is
end entity;
architecture test of arith3 is
begin
process is
variable t : time;
variable i : integer;
begin
t := 120 ns;
i := sec / t;
report integer'image(i);
assert i = 8333333;
wait;
end process;
end architecture;
|
entity arith3 is
end entity;
architecture test of arith3 is
begin
process is
variable t : time;
variable i : integer;
begin
t := 120 ns;
i := sec / t;
report integer'image(i);
assert i = 8333333;
wait;
end process;
end architecture;
|
entity arith3 is
end entity;
architecture test of arith3 is
begin
process is
variable t : time;
variable i : integer;
begin
t := 120 ns;
i := sec / t;
report integer'image(i);
assert i = 8333333;
wait;
end process;
end architecture;
|
entity arith3 is
end entity;
architecture test of arith3 is
begin
process is
variable t : time;
variable i : integer;
begin
t := 120 ns;
i := sec / t;
report integer'image(i);
assert i = 8333333;
wait;
end process;
end architecture;
|
entity arith3 is
end entity;
architecture test of arith3 is
begin
process is
variable t : time;
variable i : integer;
begin
t := 120 ns;
i := sec / t;
report integer'image(i);
assert i = 8333333;
wait;
end process;
end architecture;
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 08-02-2016
-- Module Name: fulladdr.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity fulladdr is
port(a, b : in std_logic_vector(3 downto 0);
c_in : in std_logic;
c_out : out std_logic;
sum : out std_logic_vector(3 downto 0));
end entity fulladdr;
architecture rtl of fulladdr is
signal im : std_logic_vector(4 downto 0);
begin
im <= ('0'&a) + ('0'&b) + c_in;
sum <= im(3 downto 0);
c_out <= im(4);
end architecture rtl;
|
library ieee;
use ieee.std_logic_1164.all;
entity shiftReg is
port(clock : in std_logic;
load : in std_logic;
shift : in std_logic;
e_s : in std_logic;
bit_out : out std_logic_vector(11 downto 0) := '0');
end shiftReg;
architecture exemplo of shiftReg is
signal IQ : std_logic_vector(11 downto 0);
signal paridade : std_logic;
begin
process (clock, load, shift, IQ)
begin
if (clock'event and clock = '1') then
if (shift = '1') then
bit_out <= IQ(0);
IQ <= RIN & IQ(11 downto 1);
end if;
end if;
saida <= IQ;
end process;
end exemplo;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fifo_pkg.vhd
--
-- Description:
-- This is the demo testbench package file for FIFO Generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
PACKAGE fifo_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC;
------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME;
------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER;
------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector;
------------------------
COMPONENT fifo_rng IS
GENERIC (WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fifo_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fifo_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END COMPONENT;
------------------------
COMPONENT fifo_pctrl IS
GENERIC(
AXI_CHANNEL : STRING := "NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fifo_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------
COMPONENT fifo_exdes IS
PORT (
CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(8-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
END COMPONENT;
------------------------
END fifo_pkg;
PACKAGE BODY fifo_pkg IS
FUNCTION divroundup (
data_value : INTEGER;
divisor : INTEGER)
RETURN INTEGER IS
VARIABLE div : INTEGER;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : INTEGER;
false_case : INTEGER)
RETURN INTEGER IS
VARIABLE retval : INTEGER := 0;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : STD_LOGIC;
false_case : STD_LOGIC)
RETURN STD_LOGIC IS
VARIABLE retval : STD_LOGIC := '0';
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
---------------------------------
FUNCTION if_then_else (
condition : BOOLEAN;
true_case : TIME;
false_case : TIME)
RETURN TIME IS
VARIABLE retval : TIME := 0 ps;
BEGIN
IF condition=false THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-------------------------------
FUNCTION log2roundup (
data_value : INTEGER)
RETURN INTEGER IS
VARIABLE width : INTEGER := 0;
VARIABLE cnt : INTEGER := 1;
BEGIN
IF (data_value <= 1) THEN
width := 1;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
------------------------------------------------------------------------------
-- hexstr_to_std_logic_vec
-- This function converts a hex string to a std_logic_vector
------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
END fifo_pkg;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:axi_nic:1.0
-- IP Revision: 11
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY sys_axi_nic_00_1 IS
PORT (
RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
RX_VALID : IN STD_LOGIC;
RX_READY : OUT STD_LOGIC;
TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TX_VALID : OUT STD_LOGIC;
TX_READY : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC
);
END sys_axi_nic_00_1;
ARCHITECTURE sys_axi_nic_00_1_arch OF sys_axi_nic_00_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sys_axi_nic_00_1_arch: ARCHITECTURE IS "yes";
COMPONENT nic_v1_0 IS
GENERIC (
C_S00_AXI_DATA_WIDTH : INTEGER;
C_S00_AXI_ADDR_WIDTH : INTEGER;
USE_1K_NOT_4K_FIFO_DEPTH : BOOLEAN
);
PORT (
RX_DATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
RX_VALID : IN STD_LOGIC;
RX_READY : OUT STD_LOGIC;
TX_DATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
TX_VALID : OUT STD_LOGIC;
TX_READY : IN STD_LOGIC;
s00_axi_aclk : IN STD_LOGIC;
s00_axi_aresetn : IN STD_LOGIC;
s00_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_awvalid : IN STD_LOGIC;
s00_axi_awready : OUT STD_LOGIC;
s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s00_axi_wvalid : IN STD_LOGIC;
s00_axi_wready : OUT STD_LOGIC;
s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_bvalid : OUT STD_LOGIC;
s00_axi_bready : IN STD_LOGIC;
s00_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s00_axi_arvalid : IN STD_LOGIC;
s00_axi_arready : OUT STD_LOGIC;
s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s00_axi_rvalid : OUT STD_LOGIC;
s00_axi_rready : IN STD_LOGIC
);
END COMPONENT nic_v1_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF sys_axi_nic_00_1_arch: ARCHITECTURE IS "nic_v1_0,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF sys_axi_nic_00_1_arch : ARCHITECTURE IS "sys_axi_nic_00_1,nic_v1_0,{}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF RX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TDATA";
ATTRIBUTE X_INTERFACE_INFO OF RX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TVALID";
ATTRIBUTE X_INTERFACE_INFO OF RX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 RX TREADY";
ATTRIBUTE X_INTERFACE_INFO OF TX_DATA: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TDATA";
ATTRIBUTE X_INTERFACE_INFO OF TX_VALID: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TVALID";
ATTRIBUTE X_INTERFACE_INFO OF TX_READY: SIGNAL IS "xilinx.com:interface:axis:1.0 TX TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s00_axi_aclk CLK";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s00_axi_aresetn RST";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 s00_axi RREADY";
BEGIN
U0 : nic_v1_0
GENERIC MAP (
C_S00_AXI_DATA_WIDTH => 32,
C_S00_AXI_ADDR_WIDTH => 5,
USE_1K_NOT_4K_FIFO_DEPTH => false
)
PORT MAP (
RX_DATA => RX_DATA,
RX_VALID => RX_VALID,
RX_READY => RX_READY,
TX_DATA => TX_DATA,
TX_VALID => TX_VALID,
TX_READY => TX_READY,
s00_axi_aclk => s00_axi_aclk,
s00_axi_aresetn => s00_axi_aresetn,
s00_axi_awaddr => s00_axi_awaddr,
s00_axi_awprot => s00_axi_awprot,
s00_axi_awvalid => s00_axi_awvalid,
s00_axi_awready => s00_axi_awready,
s00_axi_wdata => s00_axi_wdata,
s00_axi_wstrb => s00_axi_wstrb,
s00_axi_wvalid => s00_axi_wvalid,
s00_axi_wready => s00_axi_wready,
s00_axi_bresp => s00_axi_bresp,
s00_axi_bvalid => s00_axi_bvalid,
s00_axi_bready => s00_axi_bready,
s00_axi_araddr => s00_axi_araddr,
s00_axi_arprot => s00_axi_arprot,
s00_axi_arvalid => s00_axi_arvalid,
s00_axi_arready => s00_axi_arready,
s00_axi_rdata => s00_axi_rdata,
s00_axi_rresp => s00_axi_rresp,
s00_axi_rvalid => s00_axi_rvalid,
s00_axi_rready => s00_axi_rready
);
END sys_axi_nic_00_1_arch;
|
library IEEE;
use IEEE.std_logic_1164.All;
use IEEE.std_logic_unsigned.All;
entity testbench is end testbench;
architecture tb_jkff of testbench is
signal clk : std_logic := '0';
signal j : std_logic;
signal k : std_logic;
signal q_out : std_logic;
signal q_not_out : std_logic;
constant twenty_five_nsec : time := 25 ns;
component JKFlipFlop port (
clk : in std_logic;
j : in std_logic;
k : in std_logic;
q_out : inout std_logic;
q_not_out : inout std_logic);
end component JKFlipFlop;
begin
JKFlipFlop1 : JKFlipFlop
port map (
clk => clk,
j => j,
k => k,
q_out => q_out,
q_not_out => q_not_out);
create_twenty_Mhz: process
begin
wait for twenty_five_nsec;
clk <= NOT clk;
end process;
check_q: process
begin
wait for 50 ns;
j <= '0';
k <= '1';
wait for 30 ns;
assert q_out = '0' report "1 failed";
wait for 20 ns;
j <= '1';
k <= '0';
wait for 30 ns;
assert q_out = '1' report "2 failed";
wait for 20 ns;
j <= '0';
k <= '0';
wait for 30 ns;
assert q_out = '1' report "3 failed";
wait for 20 ns;
j <= '1';
k <= '1';
wait for 30 ns;
assert q_out = '0' report "4 failed";
wait for 20 ns;
j <= '0';
k <= '1';
wait for 30 ns;
assert q_out = '0' report "5 failed";
wait for 20 ns;
j <= '1';
k <= '1';
wait for 30 ns;
assert q_out = '1' report "6 failed";
wait for 20 ns;
j <= '0';
k <= '0';
wait for 30 ns;
assert q_out = '1' report "7 failed";
wait for 20 ns;
j <= '1';
k <= '0';
wait for 30 ns;
assert q_out = '1' report "8 failed";
wait for 20 ns;
j <= '1';
k <= '1';
wait for 30 ns;
assert q_out = '0' report "9 failed";
wait for 20 ns;
j <= '1';
k <= '0';
wait for 30 ns;
assert q_out = '1' report "10 failed";
wait for 20 ns;
j <= '0';
k <= '1';
wait for 30 ns;
assert q_out = '0' report "11 failed";
wait for 20 ns;
j <= '0';
k <= '0';
wait for 30 ns;
assert q_out = '0' report "12 failed";
wait;
end process;
end tb_jkff; |
-- NEED RESULT: ARCH00135.P1: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P2: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P3: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P4: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P5: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P6: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P7: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P8: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P9: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P10: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P11: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P12: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P13: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P14: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P15: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P16: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135.P17: Multi inertial transactions occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Old transactions were removed on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: One inertial transaction occurred on signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: ARCH00135: Inertial semantics check on a signal asg with simple name on LHS passed
-- NEED RESULT: P17: Inertial transactions entirely completed passed
-- NEED RESULT: P16: Inertial transactions entirely completed passed
-- NEED RESULT: P15: Inertial transactions entirely completed passed
-- NEED RESULT: P14: Inertial transactions entirely completed passed
-- NEED RESULT: P13: Inertial transactions entirely completed passed
-- NEED RESULT: P12: Inertial transactions entirely completed passed
-- NEED RESULT: P11: Inertial transactions entirely completed passed
-- NEED RESULT: P10: Inertial transactions entirely completed passed
-- NEED RESULT: P9: Inertial transactions entirely completed passed
-- NEED RESULT: P8: Inertial transactions entirely completed passed
-- NEED RESULT: P7: Inertial transactions entirely completed passed
-- NEED RESULT: P6: Inertial transactions entirely completed passed
-- NEED RESULT: P5: Inertial transactions entirely completed passed
-- NEED RESULT: P4: Inertial transactions entirely completed passed
-- NEED RESULT: P3: Inertial transactions entirely completed passed
-- NEED RESULT: P2: Inertial transactions entirely completed passed
-- NEED RESULT: P1: Inertial transactions entirely completed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00135
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (1)
-- 8.3 (2)
-- 8.3 (4)
-- 8.3 (5)
-- 8.3.1 (4)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00135(ARCH00135)
-- ENT00135_Test_Bench(ARCH00135_Test_Bench)
--
-- REVISION HISTORY:
--
-- 08-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00135 is
port (
s_boolean : inout boolean
; s_bit : inout bit
; s_severity_level : inout severity_level
; s_character : inout character
; s_st_enum1 : inout st_enum1
; s_integer : inout integer
; s_st_int1 : inout st_int1
; s_time : inout time
; s_st_phys1 : inout st_phys1
; s_real : inout real
; s_st_real1 : inout st_real1
; s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
; s_st_arr1 : inout st_arr1
; s_st_arr2 : inout st_arr2
; s_st_arr3 : inout st_arr3
) ;
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_boolean : chk_sig_type := -1 ;
signal chk_bit : chk_sig_type := -1 ;
signal chk_severity_level : chk_sig_type := -1 ;
signal chk_character : chk_sig_type := -1 ;
signal chk_st_enum1 : chk_sig_type := -1 ;
signal chk_integer : chk_sig_type := -1 ;
signal chk_st_int1 : chk_sig_type := -1 ;
signal chk_time : chk_sig_type := -1 ;
signal chk_st_phys1 : chk_sig_type := -1 ;
signal chk_real : chk_sig_type := -1 ;
signal chk_st_real1 : chk_sig_type := -1 ;
signal chk_st_rec1 : chk_sig_type := -1 ;
signal chk_st_rec2 : chk_sig_type := -1 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
signal chk_st_arr1 : chk_sig_type := -1 ;
signal chk_st_arr2 : chk_sig_type := -1 ;
signal chk_st_arr3 : chk_sig_type := -1 ;
--
end ENT00135 ;
--
architecture ARCH00135 of ENT00135 is
begin
P1 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_boolean <=
c_boolean_2 after 10 ns,
c_boolean_1 after 20 ns ;
--
when 1
=> correct :=
s_boolean = c_boolean_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_boolean = c_boolean_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P1" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_boolean <=
c_boolean_2 after 10 ns ,
c_boolean_1 after 20 ns ,
c_boolean_2 after 30 ns ,
c_boolean_1 after 40 ns ;
--
when 3
=> correct :=
s_boolean = c_boolean_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_boolean <= c_boolean_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_boolean = c_boolean_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_boolean <= transport
c_boolean_1 after 100 ns ;
--
when 5
=> correct :=
s_boolean = c_boolean_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_boolean <=
c_boolean_2 after 10 ns ,
c_boolean_1 after 20 ns ,
c_boolean_2 after 30 ns ,
c_boolean_1 after 40 ns ;
--
when 6
=> correct :=
s_boolean = c_boolean_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_boolean <= -- Last transaction above is marked
c_boolean_1 after 40 ns ;
--
when 7
=> correct :=
s_boolean = c_boolean_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_boolean = c_boolean_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_boolean <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_boolean'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P1 ;
--
PGEN_CHKP_1 :
process ( chk_boolean )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions entirely completed",
chk_boolean = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
P2 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_bit <=
c_bit_2 after 10 ns,
c_bit_1 after 20 ns ;
--
when 1
=> correct :=
s_bit = c_bit_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_bit = c_bit_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P2" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_bit <=
c_bit_2 after 10 ns ,
c_bit_1 after 20 ns ,
c_bit_2 after 30 ns ,
c_bit_1 after 40 ns ;
--
when 3
=> correct :=
s_bit = c_bit_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_bit <= c_bit_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_bit = c_bit_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_bit <= transport
c_bit_1 after 100 ns ;
--
when 5
=> correct :=
s_bit = c_bit_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_bit <=
c_bit_2 after 10 ns ,
c_bit_1 after 20 ns ,
c_bit_2 after 30 ns ,
c_bit_1 after 40 ns ;
--
when 6
=> correct :=
s_bit = c_bit_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_bit <= -- Last transaction above is marked
c_bit_1 after 40 ns ;
--
when 7
=> correct :=
s_bit = c_bit_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_bit = c_bit_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_bit <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_bit'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P2 ;
--
PGEN_CHKP_2 :
process ( chk_bit )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Inertial transactions entirely completed",
chk_bit = 8 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
P3 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_severity_level <=
c_severity_level_2 after 10 ns,
c_severity_level_1 after 20 ns ;
--
when 1
=> correct :=
s_severity_level = c_severity_level_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_severity_level = c_severity_level_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P3" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_severity_level <=
c_severity_level_2 after 10 ns ,
c_severity_level_1 after 20 ns ,
c_severity_level_2 after 30 ns ,
c_severity_level_1 after 40 ns ;
--
when 3
=> correct :=
s_severity_level = c_severity_level_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_severity_level <= c_severity_level_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_severity_level = c_severity_level_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_severity_level <= transport
c_severity_level_1 after 100 ns ;
--
when 5
=> correct :=
s_severity_level = c_severity_level_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_severity_level <=
c_severity_level_2 after 10 ns ,
c_severity_level_1 after 20 ns ,
c_severity_level_2 after 30 ns ,
c_severity_level_1 after 40 ns ;
--
when 6
=> correct :=
s_severity_level = c_severity_level_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_severity_level <= -- Last transaction above is marked
c_severity_level_1 after 40 ns ;
--
when 7
=> correct :=
s_severity_level = c_severity_level_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_severity_level = c_severity_level_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_severity_level <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_severity_level'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P3 ;
--
PGEN_CHKP_3 :
process ( chk_severity_level )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Inertial transactions entirely completed",
chk_severity_level = 8 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
P4 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_character <=
c_character_2 after 10 ns,
c_character_1 after 20 ns ;
--
when 1
=> correct :=
s_character = c_character_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_character = c_character_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P4" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_character <=
c_character_2 after 10 ns ,
c_character_1 after 20 ns ,
c_character_2 after 30 ns ,
c_character_1 after 40 ns ;
--
when 3
=> correct :=
s_character = c_character_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_character <= c_character_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_character = c_character_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_character <= transport
c_character_1 after 100 ns ;
--
when 5
=> correct :=
s_character = c_character_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_character <=
c_character_2 after 10 ns ,
c_character_1 after 20 ns ,
c_character_2 after 30 ns ,
c_character_1 after 40 ns ;
--
when 6
=> correct :=
s_character = c_character_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_character <= -- Last transaction above is marked
c_character_1 after 40 ns ;
--
when 7
=> correct :=
s_character = c_character_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_character = c_character_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_character <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_character'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P4 ;
--
PGEN_CHKP_4 :
process ( chk_character )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Inertial transactions entirely completed",
chk_character = 8 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
P5 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_enum1 <=
c_st_enum1_2 after 10 ns,
c_st_enum1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_enum1 = c_st_enum1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_enum1 = c_st_enum1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P5" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_enum1 <=
c_st_enum1_2 after 10 ns ,
c_st_enum1_1 after 20 ns ,
c_st_enum1_2 after 30 ns ,
c_st_enum1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_enum1 = c_st_enum1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_enum1 <= c_st_enum1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_enum1 = c_st_enum1_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_enum1 <= transport
c_st_enum1_1 after 100 ns ;
--
when 5
=> correct :=
s_st_enum1 = c_st_enum1_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_enum1 <=
c_st_enum1_2 after 10 ns ,
c_st_enum1_1 after 20 ns ,
c_st_enum1_2 after 30 ns ,
c_st_enum1_1 after 40 ns ;
--
when 6
=> correct :=
s_st_enum1 = c_st_enum1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_enum1 <= -- Last transaction above is marked
c_st_enum1_1 after 40 ns ;
--
when 7
=> correct :=
s_st_enum1 = c_st_enum1_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_enum1 = c_st_enum1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_enum1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_enum1'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P5 ;
--
PGEN_CHKP_5 :
process ( chk_st_enum1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Inertial transactions entirely completed",
chk_st_enum1 = 8 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
P6 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_integer <=
c_integer_2 after 10 ns,
c_integer_1 after 20 ns ;
--
when 1
=> correct :=
s_integer = c_integer_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_integer = c_integer_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P6" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_integer <=
c_integer_2 after 10 ns ,
c_integer_1 after 20 ns ,
c_integer_2 after 30 ns ,
c_integer_1 after 40 ns ;
--
when 3
=> correct :=
s_integer = c_integer_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_integer <= c_integer_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_integer = c_integer_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_integer <= transport
c_integer_1 after 100 ns ;
--
when 5
=> correct :=
s_integer = c_integer_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_integer <=
c_integer_2 after 10 ns ,
c_integer_1 after 20 ns ,
c_integer_2 after 30 ns ,
c_integer_1 after 40 ns ;
--
when 6
=> correct :=
s_integer = c_integer_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_integer <= -- Last transaction above is marked
c_integer_1 after 40 ns ;
--
when 7
=> correct :=
s_integer = c_integer_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_integer = c_integer_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_integer <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_integer'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P6 ;
--
PGEN_CHKP_6 :
process ( chk_integer )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Inertial transactions entirely completed",
chk_integer = 8 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
P7 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_int1 <=
c_st_int1_2 after 10 ns,
c_st_int1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_int1 = c_st_int1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_int1 = c_st_int1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P7" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_int1 <=
c_st_int1_2 after 10 ns ,
c_st_int1_1 after 20 ns ,
c_st_int1_2 after 30 ns ,
c_st_int1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_int1 = c_st_int1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_int1 <= c_st_int1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_int1 = c_st_int1_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_int1 <= transport
c_st_int1_1 after 100 ns ;
--
when 5
=> correct :=
s_st_int1 = c_st_int1_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_int1 <=
c_st_int1_2 after 10 ns ,
c_st_int1_1 after 20 ns ,
c_st_int1_2 after 30 ns ,
c_st_int1_1 after 40 ns ;
--
when 6
=> correct :=
s_st_int1 = c_st_int1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_int1 <= -- Last transaction above is marked
c_st_int1_1 after 40 ns ;
--
when 7
=> correct :=
s_st_int1 = c_st_int1_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_int1 = c_st_int1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_int1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_int1'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P7 ;
--
PGEN_CHKP_7 :
process ( chk_st_int1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P7" ,
"Inertial transactions entirely completed",
chk_st_int1 = 8 ) ;
end if ;
end process PGEN_CHKP_7 ;
--
P8 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_time <=
c_time_2 after 10 ns,
c_time_1 after 20 ns ;
--
when 1
=> correct :=
s_time = c_time_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_time = c_time_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P8" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_time <=
c_time_2 after 10 ns ,
c_time_1 after 20 ns ,
c_time_2 after 30 ns ,
c_time_1 after 40 ns ;
--
when 3
=> correct :=
s_time = c_time_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_time <= c_time_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_time = c_time_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_time <= transport
c_time_1 after 100 ns ;
--
when 5
=> correct :=
s_time = c_time_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_time <=
c_time_2 after 10 ns ,
c_time_1 after 20 ns ,
c_time_2 after 30 ns ,
c_time_1 after 40 ns ;
--
when 6
=> correct :=
s_time = c_time_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_time <= -- Last transaction above is marked
c_time_1 after 40 ns ;
--
when 7
=> correct :=
s_time = c_time_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_time = c_time_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_time <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_time'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P8 ;
--
PGEN_CHKP_8 :
process ( chk_time )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P8" ,
"Inertial transactions entirely completed",
chk_time = 8 ) ;
end if ;
end process PGEN_CHKP_8 ;
--
P9 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_phys1 <=
c_st_phys1_2 after 10 ns,
c_st_phys1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_phys1 = c_st_phys1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_phys1 = c_st_phys1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P9" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_phys1 <=
c_st_phys1_2 after 10 ns ,
c_st_phys1_1 after 20 ns ,
c_st_phys1_2 after 30 ns ,
c_st_phys1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_phys1 = c_st_phys1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_phys1 <= c_st_phys1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_phys1 = c_st_phys1_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_phys1 <= transport
c_st_phys1_1 after 100 ns ;
--
when 5
=> correct :=
s_st_phys1 = c_st_phys1_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_phys1 <=
c_st_phys1_2 after 10 ns ,
c_st_phys1_1 after 20 ns ,
c_st_phys1_2 after 30 ns ,
c_st_phys1_1 after 40 ns ;
--
when 6
=> correct :=
s_st_phys1 = c_st_phys1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_phys1 <= -- Last transaction above is marked
c_st_phys1_1 after 40 ns ;
--
when 7
=> correct :=
s_st_phys1 = c_st_phys1_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_phys1 = c_st_phys1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_phys1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_phys1'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P9 ;
--
PGEN_CHKP_9 :
process ( chk_st_phys1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P9" ,
"Inertial transactions entirely completed",
chk_st_phys1 = 8 ) ;
end if ;
end process PGEN_CHKP_9 ;
--
P10 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_real <=
c_real_2 after 10 ns,
c_real_1 after 20 ns ;
--
when 1
=> correct :=
s_real = c_real_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_real = c_real_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P10" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_real <=
c_real_2 after 10 ns ,
c_real_1 after 20 ns ,
c_real_2 after 30 ns ,
c_real_1 after 40 ns ;
--
when 3
=> correct :=
s_real = c_real_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_real <= c_real_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_real = c_real_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_real <= transport
c_real_1 after 100 ns ;
--
when 5
=> correct :=
s_real = c_real_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_real <=
c_real_2 after 10 ns ,
c_real_1 after 20 ns ,
c_real_2 after 30 ns ,
c_real_1 after 40 ns ;
--
when 6
=> correct :=
s_real = c_real_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_real <= -- Last transaction above is marked
c_real_1 after 40 ns ;
--
when 7
=> correct :=
s_real = c_real_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_real = c_real_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_real <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_real'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P10 ;
--
PGEN_CHKP_10 :
process ( chk_real )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P10" ,
"Inertial transactions entirely completed",
chk_real = 8 ) ;
end if ;
end process PGEN_CHKP_10 ;
--
P11 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_real1 <=
c_st_real1_2 after 10 ns,
c_st_real1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_real1 = c_st_real1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real1 = c_st_real1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P11" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_real1 <=
c_st_real1_2 after 10 ns ,
c_st_real1_1 after 20 ns ,
c_st_real1_2 after 30 ns ,
c_st_real1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_real1 = c_st_real1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_real1 <= c_st_real1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real1 = c_st_real1_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_real1 <= transport
c_st_real1_1 after 100 ns ;
--
when 5
=> correct :=
s_st_real1 = c_st_real1_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_real1 <=
c_st_real1_2 after 10 ns ,
c_st_real1_1 after 20 ns ,
c_st_real1_2 after 30 ns ,
c_st_real1_1 after 40 ns ;
--
when 6
=> correct :=
s_st_real1 = c_st_real1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_real1 <= -- Last transaction above is marked
c_st_real1_1 after 40 ns ;
--
when 7
=> correct :=
s_st_real1 = c_st_real1_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_real1 = c_st_real1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_real1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_real1'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P11 ;
--
PGEN_CHKP_11 :
process ( chk_st_real1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P11" ,
"Inertial transactions entirely completed",
chk_st_real1 = 8 ) ;
end if ;
end process PGEN_CHKP_11 ;
--
P12 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_rec1 <=
c_st_rec1_2 after 10 ns,
c_st_rec1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec1 = c_st_rec1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec1 = c_st_rec1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P12" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec1 <=
c_st_rec1_2 after 10 ns ,
c_st_rec1_1 after 20 ns ,
c_st_rec1_2 after 30 ns ,
c_st_rec1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec1 = c_st_rec1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec1 <= c_st_rec1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec1 = c_st_rec1_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec1 <= transport
c_st_rec1_1 after 100 ns ;
--
when 5
=> correct :=
s_st_rec1 = c_st_rec1_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec1 <=
c_st_rec1_2 after 10 ns ,
c_st_rec1_1 after 20 ns ,
c_st_rec1_2 after 30 ns ,
c_st_rec1_1 after 40 ns ;
--
when 6
=> correct :=
s_st_rec1 = c_st_rec1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec1 <= -- Last transaction above is marked
c_st_rec1_1 after 40 ns ;
--
when 7
=> correct :=
s_st_rec1 = c_st_rec1_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_rec1 = c_st_rec1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_rec1'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P12 ;
--
PGEN_CHKP_12 :
process ( chk_st_rec1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P12" ,
"Inertial transactions entirely completed",
chk_st_rec1 = 8 ) ;
end if ;
end process PGEN_CHKP_12 ;
--
P13 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_rec2 <=
c_st_rec2_2 after 10 ns,
c_st_rec2_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec2 = c_st_rec2_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec2 = c_st_rec2_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P13" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec2 <=
c_st_rec2_2 after 10 ns ,
c_st_rec2_1 after 20 ns ,
c_st_rec2_2 after 30 ns ,
c_st_rec2_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec2 = c_st_rec2_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec2 <= c_st_rec2_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec2 = c_st_rec2_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec2 <= transport
c_st_rec2_1 after 100 ns ;
--
when 5
=> correct :=
s_st_rec2 = c_st_rec2_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec2 <=
c_st_rec2_2 after 10 ns ,
c_st_rec2_1 after 20 ns ,
c_st_rec2_2 after 30 ns ,
c_st_rec2_1 after 40 ns ;
--
when 6
=> correct :=
s_st_rec2 = c_st_rec2_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec2 <= -- Last transaction above is marked
c_st_rec2_1 after 40 ns ;
--
when 7
=> correct :=
s_st_rec2 = c_st_rec2_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_rec2 = c_st_rec2_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec2 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_rec2'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P13 ;
--
PGEN_CHKP_13 :
process ( chk_st_rec2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P13" ,
"Inertial transactions entirely completed",
chk_st_rec2 = 8 ) ;
end if ;
end process PGEN_CHKP_13 ;
--
P14 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_rec3 <=
c_st_rec3_2 after 10 ns,
c_st_rec3_1 after 20 ns ;
--
when 1
=> correct :=
s_st_rec3 = c_st_rec3_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec3 = c_st_rec3_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P14" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec3 <=
c_st_rec3_2 after 10 ns ,
c_st_rec3_1 after 20 ns ,
c_st_rec3_2 after 30 ns ,
c_st_rec3_1 after 40 ns ;
--
when 3
=> correct :=
s_st_rec3 = c_st_rec3_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_rec3 <= c_st_rec3_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec3 = c_st_rec3_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec3 <= transport
c_st_rec3_1 after 100 ns ;
--
when 5
=> correct :=
s_st_rec3 = c_st_rec3_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec3 <=
c_st_rec3_2 after 10 ns ,
c_st_rec3_1 after 20 ns ,
c_st_rec3_2 after 30 ns ,
c_st_rec3_1 after 40 ns ;
--
when 6
=> correct :=
s_st_rec3 = c_st_rec3_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_rec3 <= -- Last transaction above is marked
c_st_rec3_1 after 40 ns ;
--
when 7
=> correct :=
s_st_rec3 = c_st_rec3_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_rec3 = c_st_rec3_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec3 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_rec3'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P14 ;
--
PGEN_CHKP_14 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P14" ,
"Inertial transactions entirely completed",
chk_st_rec3 = 8 ) ;
end if ;
end process PGEN_CHKP_14 ;
--
P15 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_arr1 <=
c_st_arr1_2 after 10 ns,
c_st_arr1_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr1 = c_st_arr1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr1 = c_st_arr1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P15" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr1 <=
c_st_arr1_2 after 10 ns ,
c_st_arr1_1 after 20 ns ,
c_st_arr1_2 after 30 ns ,
c_st_arr1_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr1 = c_st_arr1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr1 <= c_st_arr1_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr1 = c_st_arr1_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr1 <= transport
c_st_arr1_1 after 100 ns ;
--
when 5
=> correct :=
s_st_arr1 = c_st_arr1_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr1 <=
c_st_arr1_2 after 10 ns ,
c_st_arr1_1 after 20 ns ,
c_st_arr1_2 after 30 ns ,
c_st_arr1_1 after 40 ns ;
--
when 6
=> correct :=
s_st_arr1 = c_st_arr1_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr1 <= -- Last transaction above is marked
c_st_arr1_1 after 40 ns ;
--
when 7
=> correct :=
s_st_arr1 = c_st_arr1_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_arr1 = c_st_arr1_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr1 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_arr1'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P15 ;
--
PGEN_CHKP_15 :
process ( chk_st_arr1 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P15" ,
"Inertial transactions entirely completed",
chk_st_arr1 = 8 ) ;
end if ;
end process PGEN_CHKP_15 ;
--
P16 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_arr2 <=
c_st_arr2_2 after 10 ns,
c_st_arr2_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr2 = c_st_arr2_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr2 = c_st_arr2_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P16" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr2 <=
c_st_arr2_2 after 10 ns ,
c_st_arr2_1 after 20 ns ,
c_st_arr2_2 after 30 ns ,
c_st_arr2_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr2 = c_st_arr2_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr2 <= c_st_arr2_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr2 = c_st_arr2_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr2 <= transport
c_st_arr2_1 after 100 ns ;
--
when 5
=> correct :=
s_st_arr2 = c_st_arr2_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr2 <=
c_st_arr2_2 after 10 ns ,
c_st_arr2_1 after 20 ns ,
c_st_arr2_2 after 30 ns ,
c_st_arr2_1 after 40 ns ;
--
when 6
=> correct :=
s_st_arr2 = c_st_arr2_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr2 <= -- Last transaction above is marked
c_st_arr2_1 after 40 ns ;
--
when 7
=> correct :=
s_st_arr2 = c_st_arr2_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_arr2 = c_st_arr2_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr2 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_arr2'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P16 ;
--
PGEN_CHKP_16 :
process ( chk_st_arr2 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P16" ,
"Inertial transactions entirely completed",
chk_st_arr2 = 8 ) ;
end if ;
end process PGEN_CHKP_16 ;
--
P17 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
--
procedure Proc1 is
begin
case counter is
when 0
=> s_st_arr3 <=
c_st_arr3_2 after 10 ns,
c_st_arr3_1 after 20 ns ;
--
when 1
=> correct :=
s_st_arr3 = c_st_arr3_2 and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr3 = c_st_arr3_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135.P17" ,
"Multi inertial transactions occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr3 <=
c_st_arr3_2 after 10 ns ,
c_st_arr3_1 after 20 ns ,
c_st_arr3_2 after 30 ns ,
c_st_arr3_1 after 40 ns ;
--
when 3
=> correct :=
s_st_arr3 = c_st_arr3_2 and
(savtime + 10 ns) = Std.Standard.Now ;
s_st_arr3 <= c_st_arr3_1 after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr3 = c_st_arr3_1 and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr3 <= transport
c_st_arr3_1 after 100 ns ;
--
when 5
=> correct :=
s_st_arr3 = c_st_arr3_1 and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Old transactions were removed on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr3 <=
c_st_arr3_2 after 10 ns ,
c_st_arr3_1 after 20 ns ,
c_st_arr3_2 after 30 ns ,
c_st_arr3_1 after 40 ns ;
--
when 6
=> correct :=
s_st_arr3 = c_st_arr3_2 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"One inertial transaction occurred on signal " &
"asg with simple name on LHS",
correct ) ;
s_st_arr3 <= -- Last transaction above is marked
c_st_arr3_1 after 40 ns ;
--
when 7
=> correct :=
s_st_arr3 = c_st_arr3_1 and
(savtime + 30 ns) = Std.Standard.Now ;
--
--
when 8
=> correct := correct and
s_st_arr3 = c_st_arr3_1 and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00135" ,
"Inertial semantics check on a signal " &
"asg with simple name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_arr3 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
Proc1 ;
wait until (not s_st_arr3'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P17 ;
--
PGEN_CHKP_17 :
process ( chk_st_arr3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P17" ,
"Inertial transactions entirely completed",
chk_st_arr3 = 8 ) ;
end if ;
end process PGEN_CHKP_17 ;
--
--
end ARCH00135 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00135_Test_Bench is
signal s_boolean : boolean
:= c_boolean_1 ;
signal s_bit : bit
:= c_bit_1 ;
signal s_severity_level : severity_level
:= c_severity_level_1 ;
signal s_character : character
:= c_character_1 ;
signal s_st_enum1 : st_enum1
:= c_st_enum1_1 ;
signal s_integer : integer
:= c_integer_1 ;
signal s_st_int1 : st_int1
:= c_st_int1_1 ;
signal s_time : time
:= c_time_1 ;
signal s_st_phys1 : st_phys1
:= c_st_phys1_1 ;
signal s_real : real
:= c_real_1 ;
signal s_st_real1 : st_real1
:= c_st_real1_1 ;
signal s_st_rec1 : st_rec1
:= c_st_rec1_1 ;
signal s_st_rec2 : st_rec2
:= c_st_rec2_1 ;
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
signal s_st_arr1 : st_arr1
:= c_st_arr1_1 ;
signal s_st_arr2 : st_arr2
:= c_st_arr2_1 ;
signal s_st_arr3 : st_arr3
:= c_st_arr3_1 ;
--
end ENT00135_Test_Bench ;
--
architecture ARCH00135_Test_Bench of ENT00135_Test_Bench is
begin
L1:
block
component UUT
port (
s_boolean : inout boolean
; s_bit : inout bit
; s_severity_level : inout severity_level
; s_character : inout character
; s_st_enum1 : inout st_enum1
; s_integer : inout integer
; s_st_int1 : inout st_int1
; s_time : inout time
; s_st_phys1 : inout st_phys1
; s_real : inout real
; s_st_real1 : inout st_real1
; s_st_rec1 : inout st_rec1
; s_st_rec2 : inout st_rec2
; s_st_rec3 : inout st_rec3
; s_st_arr1 : inout st_arr1
; s_st_arr2 : inout st_arr2
; s_st_arr3 : inout st_arr3
) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00135 ( ARCH00135 ) ;
begin
CIS1 : UUT
port map (
s_boolean
, s_bit
, s_severity_level
, s_character
, s_st_enum1
, s_integer
, s_st_int1
, s_time
, s_st_phys1
, s_real
, s_st_real1
, s_st_rec1
, s_st_rec2
, s_st_rec3
, s_st_arr1
, s_st_arr2
, s_st_arr3
) ;
end block L1 ;
end ARCH00135_Test_Bench ;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity si5351c_handler is
port (
clock_in : in std_logic;
reset_in : in std_logic;
done_out : out std_logic;
sda_inout : inout std_logic;
scl_inout : inout std_logic
);
end si5351c_handler;
architecture Behavioral of si5351c_handler is
type state_type is (idle,fetching,sending);
signal state : state_type := sending;
signal i2c_busy : std_logic := '0';
signal i2c_ena : std_logic := '0';
signal i2c_addr : std_logic_vector(6 downto 0) := "0000000";
signal i2c_rw : std_logic := '0';
signal i2c_data_wr : std_logic_vector(7 downto 0) := "00000000";
signal i2c_data_rd : std_logic_vector(7 downto 0) := "00000000";
signal busy_prev : std_logic := '0';
signal si5351c_config_addra : std_logic_vector(6 downto 0);
signal si5351c_config_data : std_logic_vector(15 downto 0);
signal rst_n : std_logic;
alias clk : std_logic is clock_in;
alias rst : std_logic is reset_in;
alias sda : std_logic is sda_inout;
alias scl : std_logic is scl_inout;
begin
rst_n <= not rst;
done_out <= '0' when state = idle else '1';
si5351c_config_rom : entity work.si5351c_config_rom
port map (
clka => clk,
addra => si5351c_config_addra,
douta => si5351c_config_data
);
i2c_interface : entity work.i2c_master
port map (
clk => clk,
reset_n => rst_n,
ena => i2c_ena,
addr => i2c_addr,
rw => i2c_rw,
data_wr => i2c_data_wr,
busy => i2c_busy,
data_rd => i2c_data_rd,
ack_error => open,
sda => sda,
scl => scl
);
state_machine : process(clk, rst, i2c_busy, si5351c_config_addra)
variable busy_counter : integer range 0 to 2 := 0;
variable delay_counter : integer range 0 to 1001 := 0;
constant delay_threshold : integer := 1000;
begin
if (rst = '1') then
si5351c_config_addra <= "0000000";
busy_counter := 0;
delay_counter := 0;
state <= sending;
elsif (rising_edge(clk)) then
case state is
when fetching =>
delay_counter := delay_counter + 1;
if (delay_counter = delay_threshold) then
si5351c_config_addra <= std_logic_vector(unsigned(si5351c_config_addra) + 1);
elsif (delay_counter = delay_threshold + 1) then
delay_counter := 0;
state <= sending;
end if;
when sending =>
busy_prev <= i2c_busy;
if(busy_prev = '0' and i2c_busy = '1') then
busy_counter := busy_counter + 1;
end if;
case busy_counter is
when 0 =>
i2c_ena <= '1';
i2c_addr <= "1100000";
i2c_rw <= '0';
i2c_data_wr <= si5351c_config_data(15 downto 8);
when 1 =>
i2c_data_wr <= si5351c_config_data(7 downto 0);
when 2 =>
i2c_ena <= '0';
if(i2c_busy = '0') then
busy_counter := 0;
if (to_integer(unsigned(si5351c_config_addra)) = 69) then
state <= idle;
else
state <= fetching;
end if;
end if;
when others => null;
end case;
when idle => null;
when others => null;
end case;
end if;
end process;
end Behavioral; |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity D_Flip_Flop is
port
(
rst : in std_logic;
pre : in std_logic;
ce : in std_logic;
d : in std_logic;
q : out std_logic
);
end entity D_Flip_Flop;
architecture Behavioral of D_Flip_Flop is
begin
process (ce, rst, pre) is
begin
if rising_edge(ce) then
q <= d;
end if;
if (rst='1') then
q <= '0';
elsif (pre='1') then
q <= '1';
end if;
end process;
end architecture Behavioral; |
-------------------------------------------------------------------------------
-- Title : Finite Impulse Response Filter
-- Author : Steiger Martin <[email protected]>
-------------------------------------------------------------------------------
-- Description : Simple FIR filter structure for damping, amplifying and
-- compounding audio signals
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library ieee_proposed;
use ieee_proposed.fixed_float_types.all;
use ieee_proposed.fixed_pkg.all;
use work.pkgFIR.all;
use work.Global.all;
entity FIR is
generic
(
gDataWidth : natural := 24; -- bit width of audio data
gMaxNumCoeffs : natural := 16 -- number of available coefficients
);
port
(
----------------------------------------------------
-- avalon mm signals --
----------------------------------------------------
csi_clk : in std_logic; -- AVALON clock
rsi_reset_n : in std_logic; -- coefficient and data reset
avs_s0_address : in std_logic_vector(LogDualis(gMaxNumCoeffs) - 1 downto 0); -- coefficient address
avs_s0_write : in std_logic; -- write enable
avs_s0_writedata : in std_logic_vector(31 downto 0); -- coefficient to be written
--avs_s0_read : in std_logic; -- read enable
avs_s0_readdata : out std_logic_vector(31 downto 0); -- read coefficient
----------------------------------------------------
-- streaming interface --
----------------------------------------------------
asi_valid : in std_logic; -- input signal valid
asi_data : in std_logic_vector(gDataWidth - 1 downto 0); -- input audio signal
aso_valid : out std_logic; -- output data valid
aso_data : out std_logic_vector(gDataWidth - 1 downto 0) -- output audio signal
);
begin
assert (gDataWidth = 24)
report "ERROR: DataWidth is out of range!"
severity failure;
--assert(gNumberOfCoeffs <= cOrder)
--report "ERROR: larger number of coefficients than cOrder (pkgFIR.vhd) is not recommended"
--severity failure;
--assert(gNumberOfCoeffs <= 2**gNrAddressLines)
--report("ERROR: not eough address lines to reach every coefficient")
--severity failure;
end entity FIR;
|
--------------------------------------------------------------------------------------------------
-- 3-stage Filter Bank
--------------------------------------------------------------------------------------------------
-- Matthew Dallmeyer - [email protected]
--------------------------------------------------------------------------------------------------
-- PACKAGE
--------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.dsp_pkg.all;
package filter_bank_pkg is
--FIR filter component declaration
component filter_bank is
generic( analysis_low : coefficient_array;
analysis_high : coefficient_array;
synthesis_low : coefficient_array;
synthesis_high : coefficient_array);
port( clk0 : in std_logic;
clk1 : in std_logic;
clk2 : in std_logic;
clk3 : in std_logic;
rst : in std_logic;
x : in sig;
y : out sig);
end component;
end package;
--------------------------------------------------------------------------------------------------
-- ENTITY
--------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.dsp_pkg.all;
use work.decomposition_pkg.all;
use work.reconstruction_pkg.all;
--synthesis translate_off
use work.tb_write_csv_pkg.all;
--synthesis translate_on
entity filter_bank is
generic( analysis_low : coefficient_array;
analysis_high : coefficient_array;
synthesis_low : coefficient_array;
synthesis_high : coefficient_array);
port( clk0 : in std_logic;
clk1 : in std_logic;
clk2 : in std_logic;
clk3 : in std_logic;
rst : in std_logic;
x : in sig;
y : out sig);
end filter_bank;
--------------------------------------------------------------------------------------------------
-- ARCHITECTURE
--------------------------------------------------------------------------------------------------
architecture behave of filter_bank is
constant BANK0_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank0.csv";
constant BANK1_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank1.csv";
constant BANK2_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank2.csv";
constant BANK3_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank3.csv";
constant BANK4_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank4.csv";
constant BANK5_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank5.csv";
constant BANK6_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank6.csv";
constant BANK7_FILE : string := "X:\Education\Masters Thesis\matlab\filter_bank\bank7.csv";
-- Numerics NM : N -> stage, M -> bank
signal down_y00 : sig := (others => '0');
signal down_y01 : sig := (others => '0');
signal down_y10 : sig := (others => '0');
signal down_y11 : sig := (others => '0');
signal down_y12 : sig := (others => '0');
signal down_y13 : sig := (others => '0');
signal down_y20 : sig := (others => '0');
signal down_y21 : sig := (others => '0');
signal down_y22 : sig := (others => '0');
signal down_y23 : sig := (others => '0');
signal down_y24 : sig := (others => '0');
signal down_y25 : sig := (others => '0');
signal down_y26 : sig := (others => '0');
signal down_y27 : sig := (others => '0');
signal up_y00 : sig := (others => '0');
signal up_y01 : sig := (others => '0');
signal up_y10 : sig := (others => '0');
signal up_y11 : sig := (others => '0');
signal up_y12 : sig := (others => '0');
signal up_y13 : sig := (others => '0');
signal up_y20 : sig := (others => '0');
signal up_y21 : sig := (others => '0');
signal up_y22 : sig := (others => '0');
signal up_y23 : sig := (others => '0');
signal up_y24 : sig := (others => '0');
signal up_y25 : sig := (others => '0');
signal up_y26 : sig := (others => '0');
signal up_y27 : sig := (others => '0');
begin
------- Stage 0 Decomposition ---------------------
stage0_decomp : decomposition
generic map(low_pass => analysis_low,
high_pass => analysis_high)
port map( clk_low => clk1,
clk_high => clk0,
rst => rst,
x => x,
y_low => down_y00,
y_high => down_y01);
------- Stage 1 Decomposition ---------------------
stage1_bank0_decomp : decomposition
generic map(low_pass => analysis_low,
high_pass => analysis_high)
port map( clk_low => clk2,
clk_high => clk1,
rst => rst,
x => down_y00,
y_low => down_y10,
y_high => down_y11);
stage1_bank1_decomp : decomposition
generic map(low_pass => analysis_low,
high_pass => analysis_high)
port map( clk_low => clk2,
clk_high => clk1,
rst => rst,
x => down_y01,
y_low => down_y12,
y_high => down_y13);
------- Stage 2 Decomposition ---------------------
stage2_bank0_decomp : decomposition
generic map(low_pass => analysis_low,
high_pass => analysis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x => down_y10,
y_low => down_y20,
y_high => down_y21);
stage2_bank1_decomp : decomposition
generic map(low_pass => analysis_low,
high_pass => analysis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x => down_y11,
y_low => down_y22,
y_high => down_y23);
stage2_bank2_decomp : decomposition
generic map(low_pass => analysis_low,
high_pass => analysis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x => down_y12,
y_low => down_y24,
y_high => down_y25);
stage2_bank3_decomp : decomposition
generic map(low_pass => analysis_low,
high_pass => analysis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x => down_y13,
y_low => down_y26,
y_high => down_y27);
------- Filter Bank Region --------------------------
up_y20 <= down_y20;
up_y21 <= down_y21;
up_y22 <= down_y22;
up_y23 <= down_y23;
up_y24 <= down_y24;
up_y25 <= down_y25;
up_y26 <= down_y26;
up_y27 <= down_y27;
--synthesis translate_off
--Output to files for review
writer20 : tb_write_csv
generic map(FILENAME => BANK0_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y20));
writer21 : tb_write_csv
generic map(FILENAME => BANK1_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y21));
writer22 : tb_write_csv
generic map(FILENAME => BANK2_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y22));
writer23 : tb_write_csv
generic map(FILENAME => BANK3_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y23));
writer24 : tb_write_csv
generic map(FILENAME => BANK4_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y24));
writer25 : tb_write_csv
generic map(FILENAME => BANK5_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y25));
writer26 : tb_write_csv
generic map(FILENAME => BANK6_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y26));
writer27 : tb_write_csv
generic map(FILENAME => BANK7_FILE)
port map( clk => clk3,
data => std_logic_vector(down_y27));
--synthesis translate_on
------- Stage 2 Reconstruction --------------------
stage2_bank0_recon : reconstruction
generic map(low_pass => synthesis_low,
high_pass => synthesis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x_low => up_y20,
x_high => up_y21,
y => up_y10);
stage2_bank1_recon : reconstruction
generic map(low_pass => synthesis_low,
high_pass => synthesis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x_low => up_y22,
x_high => up_y23,
y => up_y11);
stage2_bank2_recon : reconstruction
generic map(low_pass => synthesis_low,
high_pass => synthesis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x_low => up_y24,
x_high => up_y25,
y => up_y12);
stage2_bank3_recon : reconstruction
generic map(low_pass => synthesis_low,
high_pass => synthesis_high)
port map( clk_low => clk3,
clk_high => clk2,
rst => rst,
x_low => up_y26,
x_high => up_y27,
y => up_y13);
------- Stage 1 Reconstruction --------------------
-- up_y10 <= down_y10;
-- up_y11 <= down_y11;
-- up_y12 <= down_y12;
-- up_y13 <= down_y13;
stage1_bank0_recon : reconstruction
generic map(low_pass => synthesis_low,
high_pass => synthesis_high)
port map( clk_low => clk2,
clk_high => clk1,
rst => rst,
x_low => up_y10,
x_high => up_y11,
y => up_y00);
stage1_bank1_recon : reconstruction
generic map(low_pass => synthesis_low,
high_pass => synthesis_high)
port map( clk_low => clk2,
clk_high => clk1,
rst => rst,
x_low => up_y12,
x_high => up_y13,
y => up_y01);
------- Stage 0 Reconstruction --------------------
-- up_y00 <= down_y00;
-- up_y01 <= down_y01;
stage0_bank0_recon : reconstruction
generic map(low_pass => synthesis_low,
high_pass => synthesis_high)
port map( clk_low => clk1,
clk_high => clk0,
rst => rst,
x_low => up_y00,
x_high => up_y01,
y => y);
end behave;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.