content
stringlengths
1
1.04M
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: %version -- \ \ Application: MIG -- / / Filename: mcb_flow_control.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:16:40 $ -- \ \ / \ Date Created: Jul 03 2009 -- \___\/\___\ -- -- Device: Spartan6 -- Design Name: DDR/DDR2/DDR3/LPDDR -- Purpose: This module is the main flow control between cmd_gen.v, -- write_data_path and read_data_path modules. -- Reference: -- Revision History: --***************************************************************************** LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mcb_flow_control IS GENERIC ( TCQ : TIME := 100 ps; FAMILY : STRING := "SPARTAN6" ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC_VECTOR(9 DOWNTO 0); cmd_rdy_o : OUT STD_LOGIC; cmd_valid_i : IN STD_LOGIC; cmd_i : IN STD_LOGIC_VECTOR(2 DOWNTO 0); addr_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bl_i : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mcb_cmd_full : IN STD_LOGIC; cmd_o : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); cmd_en_o : OUT STD_LOGIC; last_word_wr_i : IN STD_LOGIC; wdp_rdy_i : IN STD_LOGIC; wdp_valid_o : OUT STD_LOGIC; wdp_validB_o : OUT STD_LOGIC; wdp_validC_o : OUT STD_LOGIC; wr_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); wr_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); last_word_rd_i : IN STD_LOGIC; rdp_rdy_i : IN STD_LOGIC; rdp_valid_o : OUT STD_LOGIC; rd_addr_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rd_bl_o : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END mcb_flow_control; ARCHITECTURE trans OF mcb_flow_control IS constant READY : std_logic_vector(4 downto 0) := "00001"; constant READ : std_logic_vector(4 downto 0) := "00010"; constant WRITE : std_logic_vector(4 downto 0) := "00100"; constant CMD_WAIT : std_logic_vector(4 downto 0) := "01000"; constant REFRESH_ST : std_logic_vector(4 downto 0) := "10000"; constant RD : std_logic_vector(2 downto 0) := "001"; constant RDP : std_logic_vector(2 downto 0) := "011"; constant WR : std_logic_vector(2 downto 0) := "000"; constant WRP : std_logic_vector(2 downto 0) := "010"; constant REFRESH : std_logic_vector(2 downto 0) := "100"; constant NOP : std_logic_vector(2 downto 0) := "101"; SIGNAL cmd_fifo_rdy : STD_LOGIC; SIGNAL cmd_rd : STD_LOGIC; SIGNAL cmd_wr : STD_LOGIC; SIGNAL cmd_others : STD_LOGIC; SIGNAL push_cmd : STD_LOGIC; SIGNAL xfer_cmd : STD_LOGIC; SIGNAL rd_vld : STD_LOGIC; SIGNAL wr_vld : STD_LOGIC; SIGNAL cmd_rdy : STD_LOGIC; SIGNAL cmd_reg : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL addr_reg : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL bl_reg : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL rdp_valid : STD_LOGIC; SIGNAL wdp_valid : STD_LOGIC; SIGNAL wdp_validB : STD_LOGIC; SIGNAL wdp_validC : STD_LOGIC; SIGNAL current_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL next_state : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL tstpointA : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL push_cmd_r : STD_LOGIC; SIGNAL wait_done : STD_LOGIC; SIGNAL cmd_en_r1 : STD_LOGIC; SIGNAL wr_in_progress : STD_LOGIC; SIGNAL tst_cmd_rdy_o : STD_LOGIC; SIGNAL cmd_wr_pending_r1 : STD_LOGIC; SIGNAL cmd_rd_pending_r1 : STD_LOGIC; -- Declare intermediate signals for referenced outputs SIGNAL cmd_rdy_o_xhdl0 : STD_LOGIC; BEGIN -- Drive referenced outputs cmd_rdy_o <= cmd_rdy_o_xhdl0; cmd_en_o <= cmd_en_r1; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN cmd_rdy_o_xhdl0 <= cmd_rdy; tst_cmd_rdy_o <= cmd_rdy; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN cmd_en_r1 <= '0' ; ELSIF (xfer_cmd = '1') THEN cmd_en_r1 <= '1' ; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_en_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN cmd_fifo_rdy <= '1'; ELSIF (xfer_cmd = '1') THEN cmd_fifo_rdy <= '0'; ELSIF ((NOT(mcb_cmd_full)) = '1') THEN cmd_fifo_rdy <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(9)) = '1') THEN addr_o <= (others => '0'); cmd_o <= (others => '0'); bl_o <= (others => '0'); ELSIF (xfer_cmd = '1') THEN addr_o <= addr_reg; IF (FAMILY = "SPARTAN6") THEN cmd_o <= cmd_reg; ELSE cmd_o <= ("00" & cmd_reg(0)); END IF; bl_o <= bl_reg; END IF; END IF; END PROCESS; wr_addr_o <= addr_i; rd_addr_o <= addr_i; rd_bl_o <= bl_i; wr_bl_o <= bl_i; wdp_valid_o <= wdp_valid; wdp_validB_o <= wdp_validB; wdp_validC_o <= wdp_validC; rdp_valid_o <= rdp_valid; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((rst_i(8)) = '1') THEN wait_done <= '1' ; ELSIF (push_cmd_r = '1') THEN wait_done <= '1' ; ELSIF ((cmd_rdy_o_xhdl0 AND cmd_valid_i) = '1' AND FAMILY = "SPARTAN6") THEN wait_done <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN push_cmd_r <= push_cmd ; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (push_cmd = '1') THEN cmd_reg <= cmd_i ; addr_reg <= addr_i ; bl_reg <= bl_i - "000001" ; END IF; END IF; END PROCESS; cmd_wr <= '1' WHEN (((cmd_i = WR) OR (cmd_i = WRP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_rd <= '1' WHEN (((cmd_i = RD) OR (cmd_i = RDP)) AND (cmd_valid_i = '1')) ELSE '0'; cmd_others <= '1' WHEN ((cmd_i(2) = '1') AND (cmd_valid_i = '1') AND (FAMILY = "SPARTAN6")) ELSE '0'; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN cmd_wr_pending_r1 <= '0' ; ELSIF (last_word_wr_i = '1') THEN cmd_wr_pending_r1 <= '1' ; ELSIF (push_cmd = '1') THEN cmd_wr_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF ((cmd_rd AND push_cmd) = '1') THEN cmd_rd_pending_r1 <= '1' ; ELSIF (xfer_cmd = '1') THEN cmd_rd_pending_r1 <= '0' ; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN wr_in_progress <= '0'; ELSIF (last_word_wr_i = '1') THEN wr_in_progress <= '0'; ELSIF (current_state = WRITE) THEN wr_in_progress <= '1'; END IF; END IF; END PROCESS; PROCESS (clk_i) BEGIN IF (clk_i'EVENT AND clk_i = '1') THEN IF (rst_i(0)= '1') THEN current_state <= "00001" ; ELSE current_state <= next_state ; END IF; END IF; END PROCESS; PROCESS (current_state, rdp_rdy_i, cmd_rd, cmd_fifo_rdy, wdp_rdy_i, cmd_wr, last_word_rd_i, cmd_others, last_word_wr_i, cmd_valid_i, wait_done, wr_in_progress, cmd_wr_pending_r1) BEGIN push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; cmd_rdy <= '0'; next_state <= current_state; CASE current_state IS WHEN READY => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '0'; rdp_valid <= '1'; ELSIF ((wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy) = '1') THEN next_state <= WRITE; push_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '0'; ELSE next_state <= READY; push_cmd <= '0'; END IF; IF (cmd_fifo_rdy = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN REFRESH_ST => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSIF ((cmd_fifo_rdy and cmd_others) = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSIF ((not(cmd_fifo_rdy)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((cmd_fifo_rdy AND ((rdp_rdy_i AND cmd_rd) OR (wdp_rdy_i AND cmd_wr) OR (cmd_others))) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; WHEN READ => IF ((rdp_rdy_i AND cmd_rd AND cmd_fifo_rdy) = '1') THEN next_state <= READ; push_cmd <= '1'; rdp_valid <= '1'; wdp_valid <= '0'; xfer_cmd <= '1'; tstpointA <= "0101"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND wdp_rdy_i) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; tstpointA <= "0110"; ELSIF ((NOT(rdp_rdy_i)) = '1') THEN next_state <= READ; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0111"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((last_word_rd_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; tstpointA <= "1000"; ELSIF ((NOT(cmd_fifo_rdy) OR NOT(wdp_rdy_i)) = '1') THEN next_state <= CMD_WAIT; tstpointA <= "1001"; ELSE next_state <= READ; END IF; IF ((((rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i) OR cmd_others) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; --'1'; ELSE cmd_rdy <= '0'; END IF; WHEN WRITE => IF ((cmd_fifo_rdy AND cmd_rd AND rdp_rdy_i AND last_word_wr_i) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; rdp_valid <= '1'; tstpointA <= "0000"; ELSIF ((NOT(wdp_rdy_i) OR (wdp_rdy_i AND cmd_wr AND cmd_fifo_rdy AND last_word_wr_i)) = '1') THEN next_state <= WRITE; tstpointA <= "0001"; IF ((cmd_wr AND last_word_wr_i) = '1') THEN wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; ELSE wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; END IF; IF (last_word_wr_i = '1') THEN push_cmd <= '1'; xfer_cmd <= '1'; ELSE push_cmd <= '0'; xfer_cmd <= '0'; END IF; ELSIF ((last_word_wr_i AND cmd_others AND cmd_fifo_rdy) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "0010"; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; rdp_valid <= '0'; ELSIF ((((NOT(cmd_fifo_rdy)) AND last_word_wr_i) OR (NOT(rdp_rdy_i)) OR (NOT(cmd_valid_i) AND wait_done)) = '1') THEN next_state <= CMD_WAIT; push_cmd <= '0'; xfer_cmd <= '0'; tstpointA <= "0011"; ELSE next_state <= WRITE; tstpointA <= "0100"; END IF; IF ((last_word_wr_i AND (cmd_others OR (rdp_rdy_i AND cmd_rd) OR (cmd_wr AND wdp_rdy_i)) AND cmd_fifo_rdy) = '1') THEN cmd_rdy <= wait_done; ELSE cmd_rdy <= '0'; END IF; WHEN CMD_WAIT => IF ((NOT(cmd_fifo_rdy) OR wr_in_progress) = '1') THEN next_state <= CMD_WAIT; cmd_rdy <= '0'; tstpointA <= "1010"; ELSIF ((cmd_fifo_rdy AND rdp_rdy_i AND cmd_rd) = '1') THEN next_state <= READ; push_cmd <= '1'; xfer_cmd <= '1'; cmd_rdy <= '1'; rdp_valid <= '1'; tstpointA <= "1011"; ELSIF ((cmd_fifo_rdy AND cmd_wr AND (wait_done OR cmd_wr_pending_r1)) = '1') THEN next_state <= WRITE; push_cmd <= '1'; xfer_cmd <= '1'; wdp_valid <= '1'; wdp_validB <= '1'; wdp_validC <= '1'; cmd_rdy <= '1'; tstpointA <= "1100"; ELSIF ((cmd_fifo_rdy AND cmd_others) = '1') THEN next_state <= REFRESH_ST; push_cmd <= '1'; xfer_cmd <= '1'; tstpointA <= "1101"; cmd_rdy <= '1'; ELSE next_state <= CMD_WAIT; tstpointA <= "1110"; IF (((wdp_rdy_i AND rdp_rdy_i)) = '1') THEN cmd_rdy <= '1'; ELSE cmd_rdy <= '0'; END IF; END IF; WHEN OTHERS => push_cmd <= '0'; xfer_cmd <= '0'; wdp_valid <= '0'; wdp_validB <= '0'; wdp_validC <= '0'; next_state <= READY; END CASE; END PROCESS; END trans;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 16:20:42 06/01/2011 -- Design Name: -- Module Name: IPv4_RX - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle simple IP RX -- doesnt handle reassembly -- checks and filters for IP protocol -- checks and filters for IP addr -- Handle IPv4 protocol -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - Improved error handling -- Revision 0.03 - Added handling of broadcast address -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity IPv4_RX is port ( -- IP Layer signals ip_rx : out ipv4_rx_type; ip_rx_start : out std_logic; -- indicates receipt of ip frame. -- system signals clk : in std_logic; -- same clock used to clock mac data and ip data reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); rx_pkt_count : out std_logic_vector(7 downto 0); -- number of IP pkts received for us -- MAC layer RX signals mac_data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) mac_data_in_valid : in std_logic; -- indicates data_in valid on clock mac_data_in_first : in std_logic; mac_data_in_last : in std_logic -- indicates last data in frame ); end IPv4_RX; architecture Behavioral of IPv4_RX is type rx_state_type is (IDLE, ETH_HDR, IP_HDR, USER_DATA, WAIT_END, ERR); type rx_event_type is (NO_EVENT, START, DATA, DONE_ERR); type count_mode_type is (RST, INCR, HOLD); type settable_count_mode_type is (RST, INCR, SET_VAL, HOLD); type set_clr_type is (SET, CLR, HOLD); -- state variables signal rx_state : rx_state_type; signal rx_count : unsigned (15 downto 0); signal src_ip : std_logic_vector (31 downto 0); -- src IP captured from input signal dst_ip : std_logic_vector (23 downto 0); -- 1st 3 bytes of dst IP captured from input signal is_broadcast_reg : std_logic; signal protocol : std_logic_vector (7 downto 0); -- src protocol captured from input signal data_len : std_logic_vector (15 downto 0); -- src data length captured from input signal ip_rx_start_reg : std_logic; -- indicates start of user data signal hdr_valid_reg : std_logic; -- indicates that hdr data is valid signal frame_err_cnt : unsigned (7 downto 0); -- number of frame errors signal error_code_reg : std_logic_vector (3 downto 0); signal rx_pkt_counter : unsigned (7 downto 0); -- number of rx frames received for us -- rx control signals signal next_rx_state : rx_state_type; signal set_rx_state : std_logic; signal rx_event : rx_event_type; signal rx_count_mode : settable_count_mode_type; signal set_dst_ip3 : std_logic; signal set_dst_ip2 : std_logic; signal set_dst_ip1 : std_logic; signal set_ip3 : std_logic; signal set_ip2 : std_logic; signal set_ip1 : std_logic; signal set_ip0 : std_logic; signal set_protocol : std_logic; signal set_len_H : std_logic; signal set_len_L : std_logic; signal set_ip_rx_start : set_clr_type; signal set_hdr_valid : set_clr_type; signal set_frame_err_cnt : count_mode_type; signal dataval : std_logic_vector (7 downto 0); signal rx_count_val : unsigned (15 downto 0); signal set_error_code : std_logic; signal error_code_val : std_logic_vector (3 downto 0); signal set_pkt_cnt : count_mode_type; signal set_data_last : std_logic; signal dst_ip_rx : std_logic_vector (31 downto 0); signal set_is_broadcast : set_clr_type; -- IP datagram header format -- -- 0 4 8 16 19 24 31 -- -------------------------------------------------------------------------------------------- -- | Version | *Header | Service Type | Total Length including header | -- | (4) | Length | (ignored) | (in bytes) | -- -------------------------------------------------------------------------------------------- -- | Identification | Flags | Fragment Offset | -- | | | (in 32 bit words) | -- -------------------------------------------------------------------------------------------- -- | Time To Live | Protocol | Header Checksum | -- | (ignored) | | | -- -------------------------------------------------------------------------------------------- -- | Source IP Address | -- | | -- -------------------------------------------------------------------------------------------- -- | Destination IP Address | -- | | -- -------------------------------------------------------------------------------------------- -- | Options (if any - ignored) | Padding | -- | | (if needed) | -- -------------------------------------------------------------------------------------------- -- | Data | -- | | -- -------------------------------------------------------------------------------------------- -- | .... | -- | | -- -------------------------------------------------------------------------------------------- -- -- * - in 32 bit words begin ----------------------------------------------------------------------- -- combinatorial process to implement FSM and determine control signals ----------------------------------------------------------------------- rx_combinatorial : process ( -- input signals mac_data_in, mac_data_in_valid, mac_data_in_first, mac_data_in_last, our_ip_address, -- state variables rx_state, rx_count, src_ip, dst_ip, protocol, data_len, ip_rx_start_reg, hdr_valid_reg, frame_err_cnt, error_code_reg, rx_pkt_counter, is_broadcast_reg, -- control signals next_rx_state, set_rx_state, rx_event, rx_count_mode, set_ip3, set_ip2, set_ip1, set_ip0, set_protocol, set_len_H, set_len_L, set_dst_ip3, set_dst_ip2, set_dst_ip1, set_ip_rx_start, set_hdr_valid, set_frame_err_cnt, dataval, rx_count_val, set_error_code, error_code_val, set_pkt_cnt, set_data_last, dst_ip_rx, set_is_broadcast ) begin -- set output followers ip_rx_start <= ip_rx_start_reg; ip_rx.hdr.is_valid <= hdr_valid_reg; ip_rx.hdr.protocol <= protocol; ip_rx.hdr.data_length <= data_len; ip_rx.hdr.src_ip_addr <= src_ip; ip_rx.hdr.num_frame_errors <= std_logic_vector(frame_err_cnt); ip_rx.hdr.last_error_code <= error_code_reg; ip_rx.hdr.is_broadcast <= is_broadcast_reg; rx_pkt_count <= std_logic_vector(rx_pkt_counter); -- transfer data upstream if in user data phase if rx_state = USER_DATA then ip_rx.data.data_in <= mac_data_in; ip_rx.data.data_in_valid <= mac_data_in_valid; ip_rx.data.data_in_last <= set_data_last; else ip_rx.data.data_in <= (others => '0'); ip_rx.data.data_in_valid <= '0'; ip_rx.data.data_in_last <= '0'; end if; -- set signal defaults next_rx_state <= IDLE; set_rx_state <= '0'; rx_event <= NO_EVENT; rx_count_mode <= HOLD; set_ip3 <= '0'; set_ip2 <= '0'; set_ip1 <= '0'; set_ip0 <= '0'; set_dst_ip3 <= '0'; set_dst_ip2 <= '0'; set_dst_ip1 <= '0'; set_protocol <= '0'; set_len_H <= '0'; set_len_L <= '0'; set_ip_rx_start <= HOLD; set_hdr_valid <= HOLD; set_frame_err_cnt <= HOLD; rx_count_val <= x"0000"; set_error_code <= '0'; error_code_val <= RX_EC_NONE; set_pkt_cnt <= HOLD; dataval <= (others => '0'); set_data_last <= '0'; dst_ip_rx <= (others => '0'); set_is_broadcast <= HOLD; -- determine event (if any) if mac_data_in_valid = '1' then if mac_data_in_first = '1' then rx_event <= START; dataval <= mac_data_in; else rx_event <= DATA; dataval <= mac_data_in; end if; else if mac_data_in_last = '1' then rx_event <= DONE_ERR; dataval <= mac_data_in; end if; end if; -- RX FSM case rx_state is when IDLE => rx_count_mode <= RST; case rx_event is when NO_EVENT => -- (nothing to do) when DATA => -- (nothing to do) when START => rx_count_mode <= INCR; set_hdr_valid <= CLR; next_rx_state <= ETH_HDR; set_rx_state <= '1'; when DONE_ERR => end case; when ETH_HDR => case rx_event is when NO_EVENT => -- (nothing to do) when START => -- (nothing to do) when DATA => if rx_count = x"000d" then rx_count_mode <= RST; next_rx_state <= IP_HDR; set_rx_state <= '1'; else rx_count_mode <= INCR; end if; -- handle early frame termination if mac_data_in_last = '1' then error_code_val <= RX_EC_ET_ETH; set_error_code <= '1'; set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; set_data_last <= '1'; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; else case rx_count is when x"000c" => if mac_data_in /= x"08" then -- ignore pkts that are not type=IP next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when x"000d" => if mac_data_in /= x"00" then -- ignore pkts that are not type=IP next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when others => -- ignore other bytes in eth header end case; end if; when DONE_ERR => error_code_val <= RX_EC_ET_ETH; set_error_code <= '1'; set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; set_data_last <= '1'; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end case; when IP_HDR => case rx_event is when NO_EVENT => -- (nothing to do) when START => -- (nothing to do) when DATA => if rx_count = x"0013" then rx_count_val <= x"0001"; -- start counter at 1 rx_count_mode <= SET_VAL; else rx_count_mode <= INCR; end if; -- handle early frame termination if mac_data_in_last = '1' then error_code_val <= RX_EC_ET_IP; set_error_code <= '1'; set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; set_data_last <= '1'; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; else case rx_count is when x"0000" => if mac_data_in /= x"45" then -- ignore pkts that are not v4 with 5 header words next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when x"0002" => set_len_H <= '1'; when x"0003" => set_len_L <= '1'; when x"0006" => if (mac_data_in(7) = '1') or (mac_data_in (4 downto 0) /= "00000") then -- ignore pkts that require reassembly (MF=1 or frag offst /= 0) next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when x"0007" => if mac_data_in /= x"00" then -- ignore pkts that require reassembly (frag offst /= 0) next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when x"0009" => set_protocol <= '1'; when x"000c" => set_ip3 <= '1'; when x"000d" => set_ip2 <= '1'; when x"000e" => set_ip1 <= '1'; when x"000f" => set_ip0 <= '1'; when x"0010" => set_dst_ip3 <= '1'; if ((mac_data_in /= our_ip_address(31 downto 24)) and (mac_data_in /= IP_BC_ADDR(31 downto 24)))then -- ignore pkts that are not addressed to us next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when x"0011" => set_dst_ip2 <= '1'; if ((mac_data_in /= our_ip_address(23 downto 16)) and (mac_data_in /= IP_BC_ADDR(23 downto 16)))then -- ignore pkts that are not addressed to us next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when x"0012" => set_dst_ip1 <= '1'; if ((mac_data_in /= our_ip_address(15 downto 8)) and (mac_data_in /= IP_BC_ADDR(15 downto 8)))then -- ignore pkts that are not addressed to us next_rx_state <= WAIT_END; set_rx_state <= '1'; end if; when x"0013" => if ((mac_data_in /= our_ip_address(7 downto 0)) and (mac_data_in /= IP_BC_ADDR(7 downto 0)))then -- ignore pkts that are not addressed to us next_rx_state <= WAIT_END; set_rx_state <= '1'; else next_rx_state <= USER_DATA; set_pkt_cnt <= INCR; -- count another pkt set_rx_state <= '1'; set_ip_rx_start <= SET; end if; -- now have the dst IP addr dst_ip_rx <= dst_ip & mac_data_in; if dst_ip_rx = IP_BC_ADDR then set_is_broadcast <= SET; else set_is_broadcast <= CLR; end if; set_hdr_valid <= SET; -- header values are now valid, although the pkt may not be for us --if dst_ip_rx = our_ip_address or dst_ip_rx = IP_BC_ADDR then -- next_rx_state <= USER_DATA; -- set_pkt_cnt <= INCR; -- count another pkt received -- set_rx_state <= '1'; -- set_ip_rx_start <= SET; --else -- next_rx_state <= WAIT_END; -- set_rx_state <= '1'; --end if; when others => -- ignore other bytes in ip header end case; end if; when DONE_ERR => error_code_val <= RX_EC_ET_IP; set_error_code <= '1'; set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; set_data_last <= '1'; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end case; when USER_DATA => case rx_event is when NO_EVENT => -- (nothing to do) when START => -- (nothing to do) when DATA => -- note: data gets transfered upstream as part of "output followers" processing if rx_count = unsigned(data_len) then set_ip_rx_start <= CLR; rx_count_mode <= RST; set_data_last <= '1'; if mac_data_in_last = '1' then next_rx_state <= IDLE; rx_count_mode <= RST; set_ip_rx_start <= CLR; else next_rx_state <= WAIT_END; end if; set_rx_state <= '1'; else rx_count_mode <= INCR; -- check for early frame termination if mac_data_in_last = '1' then error_code_val <= RX_EC_ET_USER; set_error_code <= '1'; set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end if; end if; when DONE_ERR => error_code_val <= RX_EC_ET_USER; set_error_code <= '1'; set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; set_data_last <= '1'; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end case; when ERR => set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; if mac_data_in_last = '0' then set_data_last <= '1'; next_rx_state <= WAIT_END; set_rx_state <= '1'; else next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end if; when WAIT_END => case rx_event is when NO_EVENT => -- (nothing to do) when START => -- (nothing to do) when DATA => if mac_data_in_last = '1' then set_data_last <= '1'; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; set_ip_rx_start <= CLR; end if; when DONE_ERR => error_code_val <= RX_EC_ET_USER; set_error_code <= '1'; set_frame_err_cnt <= INCR; set_ip_rx_start <= CLR; set_data_last <= '1'; next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end case; end case; end process; ----------------------------------------------------------------------------- -- sequential process to action control signals and change states and outputs ----------------------------------------------------------------------------- rx_sequential : process (clk)--, reset) begin if rising_edge(clk) then if reset = '1' then -- reset state variables rx_state <= IDLE; rx_count <= x"0000"; src_ip <= (others => '0'); dst_ip <= (others => '0'); protocol <= (others => '0'); data_len <= (others => '0'); ip_rx_start_reg <= '0'; hdr_valid_reg <= '0'; is_broadcast_reg <= '0'; frame_err_cnt <= (others => '0'); error_code_reg <= RX_EC_NONE; rx_pkt_counter <= x"00"; else -- Next rx_state processing if set_rx_state = '1' then rx_state <= next_rx_state; else rx_state <= rx_state; end if; -- rx_count processing case rx_count_mode is when RST => rx_count <= x"0000"; when INCR => rx_count <= rx_count + 1; when SET_VAL => rx_count <= rx_count_val; when HOLD => rx_count <= rx_count; end case; -- frame error count processing case set_frame_err_cnt is when RST => frame_err_cnt <= x"00"; when INCR => frame_err_cnt <= frame_err_cnt + 1; when HOLD => frame_err_cnt <= frame_err_cnt; end case; -- ip pkt processing case set_pkt_cnt is when RST => rx_pkt_counter <= x"00"; when INCR => rx_pkt_counter <= rx_pkt_counter + 1; when HOLD => rx_pkt_counter <= rx_pkt_counter; end case; -- source ip capture if (set_ip3 = '1') then src_ip(31 downto 24) <= dataval; end if; if (set_ip2 = '1') then src_ip(23 downto 16) <= dataval; end if; if (set_ip1 = '1') then src_ip(15 downto 8) <= dataval; end if; if (set_ip0 = '1') then src_ip(7 downto 0) <= dataval; end if; -- dst ip capture if (set_dst_ip3 = '1') then dst_ip(23 downto 16) <= dataval; end if; if (set_dst_ip2 = '1') then dst_ip(15 downto 8) <= dataval; end if; if (set_dst_ip1 = '1') then dst_ip(7 downto 0) <= dataval; end if; if (set_protocol = '1') then protocol <= dataval; else protocol <= protocol; end if; if (set_len_H = '1') then data_len (15 downto 8) <= dataval; data_len (7 downto 0) <= x"00"; elsif (set_len_L = '1') then -- compute data length, taking into account that we need to subtract the header length data_len <= std_logic_vector(unsigned(data_len(15 downto 8) & dataval) - 20); else data_len <= data_len; end if; case set_ip_rx_start is when SET => ip_rx_start_reg <= '1'; when CLR => ip_rx_start_reg <= '0'; when HOLD => ip_rx_start_reg <= ip_rx_start_reg; end case; case set_is_broadcast is when SET => is_broadcast_reg <= '1'; when CLR => is_broadcast_reg <= '0'; when HOLD => is_broadcast_reg <= is_broadcast_reg; end case; case set_hdr_valid is when SET => hdr_valid_reg <= '1'; when CLR => hdr_valid_reg <= '0'; when HOLD => hdr_valid_reg <= hdr_valid_reg; end case; -- set error code if set_error_code = '1' then error_code_reg <= error_code_val; else error_code_reg <= error_code_reg; end if; end if; end if; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; entity DPU_matrix_multiplication_tb is end DPU_matrix_multiplication_tb; architecture tb of DPU_matrix_multiplication_tb is Component DPU_matrix_multiplication port( Ain : IN std_logic_vector(3 downto 0); Bin : IN std_logic_vector(3 downto 0); CLK : IN std_logic; clear: in std_logic; Aout : OUT std_logic_vector(3 downto 0); Bout : OUT std_logic_vector(3 downto 0); Result : OUT std_logic_vector(9 downto 0) ); end component; signal Ain : std_logic_vector(3 downto 0) := (others => '0'); signal Bin : std_logic_vector(3 downto 0) := (others => '0'); signal CLK : std_logic := '0'; signal clear: std_logic :='0'; signal Aout : std_logic_vector(3 downto 0); signal Bout : std_logic_vector(3 downto 0); signal Result : std_logic_vector(9 downto 0); -- constant CLK_period : time := 10 ns; begin uut: DPU_matrix_multiplication PORT MAP ( Ain => Ain, Bin => Bin, CLK => CLK, clear => clear, Aout => Aout, Bout => Bout, Result => Result ); -- Clock process definitions -- CLK_process :process -- begin -- CLK <= '0'; -- wait for CLK_period/2; -- CLK <= '1'; -- wait for CLK_period/2; -- end process; process begin clear<='1'; CLK<='0'; wait for 1 ps; CLK<='1'; wait for 1 ps; end process; process begin clear<='1'; CLK<='0'; wait for 1 ps; clear<='1'; Ain<=x"1"; Bin<=x"1"; CLK<='1'; wait for 1 ps; CLK<='0'; wait for 1 ps; Ain<=x"1"; Bin<=x"1"; CLK<='1'; wait for 1 ps; CLK<='0'; wait for 1 ps; Ain<=x"1"; Bin<=x"1"; -- CLK<='1'; wait for 1 ps; -- CLK<='0'; wait for 1 ps; CLK<='1'; wait for 1 ps; -- wait; end process; end tb;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.92 -- \ \ Application : MIG -- / / Filename : example_top.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:17:24 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : This is the design top level. which instantiates top wrapper, -- test bench top and infrastructure modules. --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; entity example_top is generic ( C1_P0_MASK_SIZE : integer := 4; C1_P0_DATA_PORT_SIZE : integer := 32; C1_P1_MASK_SIZE : integer := 4; C1_P1_DATA_PORT_SIZE : integer := 32; C1_MEMCLK_PERIOD : integer := 6000; -- Memory data transfer clock period. C1_RST_ACT_LOW : integer := 0; -- # = 1 for active low reset, -- # = 0 for active high reset. C1_INPUT_CLK_TYPE : string := "SINGLE_ENDED"; -- input clock type DIFFERENTIAL or SINGLE_ENDED. C1_CALIB_SOFT_IP : string := "TRUE"; -- # = TRUE, Enables the soft calibration logic, -- # = FALSE, Disables the soft calibration logic. C1_SIMULATION : string := "FALSE"; -- # = TRUE, Simulating the design. Useful to reduce the simulation time, -- # = FALSE, Implementing the design. C1_HW_TESTING : string := "FALSE"; -- Determines the address space accessed by the traffic generator, -- # = FALSE, Smaller address space, -- # = TRUE, Large address space. DEBUG_EN : integer := 0; -- # = 1, Enable debug signals/controls, -- = 0, Disable debug signals/controls. C1_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; -- The order in which user address is provided to the memory controller, -- ROW_BANK_COLUMN or BANK_ROW_COLUMN. C1_NUM_DQ_PINS : integer := 16; -- External memory data width. C1_MEM_ADDR_WIDTH : integer := 13; -- External memory address width. C1_MEM_BANKADDR_WIDTH : integer := 2 -- External memory bank address width. ); port ( calib_done : out std_logic; error : out std_logic; mcb1_dram_dq : inout std_logic_vector(C1_NUM_DQ_PINS-1 downto 0); mcb1_dram_a : out std_logic_vector(C1_MEM_ADDR_WIDTH-1 downto 0); mcb1_dram_ba : out std_logic_vector(C1_MEM_BANKADDR_WIDTH-1 downto 0); mcb1_dram_cke : out std_logic; mcb1_dram_ras_n : out std_logic; mcb1_dram_cas_n : out std_logic; mcb1_dram_we_n : out std_logic; mcb1_dram_dm : out std_logic; mcb1_dram_udqs : inout std_logic; mcb1_rzq : inout std_logic; mcb1_dram_udm : out std_logic; c1_sys_clk : in std_logic; c1_sys_rst_i : in std_logic; mcb1_dram_dqs : inout std_logic; mcb1_dram_ck : out std_logic; mcb1_dram_ck_n : out std_logic ); end example_top; architecture arc of example_top is component memc1_infrastructure is generic ( C_RST_ACT_LOW : integer; C_INPUT_CLK_TYPE : string; C_CLKOUT0_DIVIDE : integer; C_CLKOUT1_DIVIDE : integer; C_CLKOUT2_DIVIDE : integer; C_CLKOUT3_DIVIDE : integer; C_CLKFBOUT_MULT : integer; C_DIVCLK_DIVIDE : integer; C_INCLK_PERIOD : integer ); port ( sys_clk_p : in std_logic; sys_clk_n : in std_logic; sys_clk : in std_logic; sys_rst_i : in std_logic; clk0 : out std_logic; rst0 : out std_logic; async_rst : out std_logic; sysclk_2x : out std_logic; sysclk_2x_180 : out std_logic; pll_ce_0 : out std_logic; pll_ce_90 : out std_logic; pll_lock : out std_logic; mcb_drp_clk : out std_logic ); end component; component memc1_wrapper is generic ( C_MEMCLK_PERIOD : integer; C_CALIB_SOFT_IP : string; C_SIMULATION : string; C_P0_MASK_SIZE : integer; C_P0_DATA_PORT_SIZE : integer; C_P1_MASK_SIZE : integer; C_P1_DATA_PORT_SIZE : integer; C_ARB_NUM_TIME_SLOTS : integer; C_ARB_TIME_SLOT_0 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_1 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_2 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_3 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_4 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_5 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_6 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_7 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_8 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_9 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_10 : bit_vector(5 downto 0); C_ARB_TIME_SLOT_11 : bit_vector(5 downto 0); C_MEM_TRAS : integer; C_MEM_TRCD : integer; C_MEM_TREFI : integer; C_MEM_TRFC : integer; C_MEM_TRP : integer; C_MEM_TWR : integer; C_MEM_TRTP : integer; C_MEM_TWTR : integer; C_MEM_ADDR_ORDER : string; C_NUM_DQ_PINS : integer; C_MEM_TYPE : string; C_MEM_DENSITY : string; C_MEM_BURST_LEN : integer; C_MEM_CAS_LATENCY : integer; C_MEM_ADDR_WIDTH : integer; C_MEM_BANKADDR_WIDTH : integer; C_MEM_NUM_COL_BITS : integer; C_MEM_DDR1_2_ODS : string; C_MEM_DDR2_RTT : string; C_MEM_DDR2_DIFF_DQS_EN : string; C_MEM_DDR2_3_PA_SR : string; C_MEM_DDR2_3_HIGH_TEMP_SR : string; C_MEM_DDR3_CAS_LATENCY : integer; C_MEM_DDR3_ODS : string; C_MEM_DDR3_RTT : string; C_MEM_DDR3_CAS_WR_LATENCY : integer; C_MEM_DDR3_AUTO_SR : string; C_MEM_DDR3_DYN_WRT_ODT : string; C_MEM_MOBILE_PA_SR : string; C_MEM_MDDR_ODS : string; C_MC_CALIB_BYPASS : string; C_MC_CALIBRATION_MODE : string; C_MC_CALIBRATION_DELAY : string; C_SKIP_IN_TERM_CAL : integer; C_SKIP_DYNAMIC_CAL : integer; C_LDQSP_TAP_DELAY_VAL : integer; C_LDQSN_TAP_DELAY_VAL : integer; C_UDQSP_TAP_DELAY_VAL : integer; C_UDQSN_TAP_DELAY_VAL : integer; C_DQ0_TAP_DELAY_VAL : integer; C_DQ1_TAP_DELAY_VAL : integer; C_DQ2_TAP_DELAY_VAL : integer; C_DQ3_TAP_DELAY_VAL : integer; C_DQ4_TAP_DELAY_VAL : integer; C_DQ5_TAP_DELAY_VAL : integer; C_DQ6_TAP_DELAY_VAL : integer; C_DQ7_TAP_DELAY_VAL : integer; C_DQ8_TAP_DELAY_VAL : integer; C_DQ9_TAP_DELAY_VAL : integer; C_DQ10_TAP_DELAY_VAL : integer; C_DQ11_TAP_DELAY_VAL : integer; C_DQ12_TAP_DELAY_VAL : integer; C_DQ13_TAP_DELAY_VAL : integer; C_DQ14_TAP_DELAY_VAL : integer; C_DQ15_TAP_DELAY_VAL : integer ); port ( mcb1_dram_dq : inout std_logic_vector((C_NUM_DQ_PINS-1) downto 0); mcb1_dram_a : out std_logic_vector((C_MEM_ADDR_WIDTH-1) downto 0); mcb1_dram_ba : out std_logic_vector((C_MEM_BANKADDR_WIDTH-1) downto 0); mcb1_dram_cke : out std_logic; mcb1_dram_ras_n : out std_logic; mcb1_dram_cas_n : out std_logic; mcb1_dram_we_n : out std_logic; mcb1_dram_dm : out std_logic; mcb1_dram_udqs : inout std_logic; mcb1_rzq : inout std_logic; mcb1_dram_udm : out std_logic; calib_done : out std_logic; async_rst : in std_logic; sysclk_2x : in std_logic; sysclk_2x_180 : in std_logic; pll_ce_0 : in std_logic; pll_ce_90 : in std_logic; pll_lock : in std_logic; mcb_drp_clk : in std_logic; mcb1_dram_dqs : inout std_logic; mcb1_dram_ck : out std_logic; mcb1_dram_ck_n : out std_logic; p0_cmd_clk : in std_logic; p0_cmd_en : in std_logic; p0_cmd_instr : in std_logic_vector(2 downto 0); p0_cmd_bl : in std_logic_vector(5 downto 0); p0_cmd_byte_addr : in std_logic_vector(29 downto 0); p0_cmd_empty : out std_logic; p0_cmd_full : out std_logic; p0_wr_clk : in std_logic; p0_wr_en : in std_logic; p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_wr_full : out std_logic; p0_wr_empty : out std_logic; p0_wr_count : out std_logic_vector(6 downto 0); p0_wr_underrun : out std_logic; p0_wr_error : out std_logic; p0_rd_clk : in std_logic; p0_rd_en : in std_logic; p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_rd_full : out std_logic; p0_rd_empty : out std_logic; p0_rd_count : out std_logic_vector(6 downto 0); p0_rd_overflow : out std_logic; p0_rd_error : out std_logic; p1_cmd_clk : in std_logic; p1_cmd_en : in std_logic; p1_cmd_instr : in std_logic_vector(2 downto 0); p1_cmd_bl : in std_logic_vector(5 downto 0); p1_cmd_byte_addr : in std_logic_vector(29 downto 0); p1_cmd_empty : out std_logic; p1_cmd_full : out std_logic; p1_wr_clk : in std_logic; p1_wr_en : in std_logic; p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_wr_full : out std_logic; p1_wr_empty : out std_logic; p1_wr_count : out std_logic_vector(6 downto 0); p1_wr_underrun : out std_logic; p1_wr_error : out std_logic; p1_rd_clk : in std_logic; p1_rd_en : in std_logic; p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_rd_full : out std_logic; p1_rd_empty : out std_logic; p1_rd_count : out std_logic_vector(6 downto 0); p1_rd_overflow : out std_logic; p1_rd_error : out std_logic; selfrefresh_enter : in std_logic; selfrefresh_mode : out std_logic ); end component; component memc1_tb_top is generic ( C_SIMULATION : string; C_P0_MASK_SIZE : integer; C_P0_DATA_PORT_SIZE : integer; C_P1_MASK_SIZE : integer; C_P1_DATA_PORT_SIZE : integer; C_NUM_DQ_PINS : integer; C_MEM_BURST_LEN : integer; C_MEM_NUM_COL_BITS : integer; C_SMALL_DEVICE : string; C_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0); C_p0_DATA_MODE : std_logic_vector(3 downto 0); C_p0_END_ADDRESS : std_logic_vector(31 downto 0); C_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0); C_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0); C_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0); C_p1_DATA_MODE : std_logic_vector(3 downto 0); C_p1_END_ADDRESS : std_logic_vector(31 downto 0); C_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0); C_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) ); port ( error : out std_logic; calib_done : in std_logic; clk0 : in std_logic; rst0 : in std_logic; cmp_error : out std_logic; cmp_data_valid : out std_logic; vio_modify_enable : in std_logic; error_status : out std_logic_vector(127 downto 0); vio_data_mode_value : in std_logic_vector(2 downto 0); vio_addr_mode_value : in std_logic_vector(2 downto 0); cmp_data : out std_logic_vector(31 downto 0); p0_mcb_cmd_en_o : out std_logic; p0_mcb_cmd_instr_o : out std_logic_vector(2 downto 0); p0_mcb_cmd_bl_o : out std_logic_vector(5 downto 0); p0_mcb_cmd_addr_o : out std_logic_vector(29 downto 0); p0_mcb_cmd_full_i : in std_logic; p0_mcb_wr_en_o : out std_logic; p0_mcb_wr_mask_o : out std_logic_vector(C_P0_MASK_SIZE - 1 downto 0); p0_mcb_wr_data_o : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_mcb_wr_full_i : in std_logic; p0_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0); p0_mcb_rd_en_o : out std_logic; p0_mcb_rd_data_i : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 downto 0); p0_mcb_rd_empty_i : in std_logic; p0_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0); p1_mcb_cmd_en_o : out std_logic; p1_mcb_cmd_instr_o : out std_logic_vector(2 downto 0); p1_mcb_cmd_bl_o : out std_logic_vector(5 downto 0); p1_mcb_cmd_addr_o : out std_logic_vector(29 downto 0); p1_mcb_cmd_full_i : in std_logic; p1_mcb_wr_en_o : out std_logic; p1_mcb_wr_mask_o : out std_logic_vector(C_P1_MASK_SIZE - 1 downto 0); p1_mcb_wr_data_o : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_mcb_wr_full_i : in std_logic; p1_mcb_wr_fifo_counts : in std_logic_vector(6 downto 0); p1_mcb_rd_en_o : out std_logic; p1_mcb_rd_data_i : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 downto 0); p1_mcb_rd_empty_i : in std_logic; p1_mcb_rd_fifo_counts : in std_logic_vector(6 downto 0) ); end component; function c1_sim_hw (val1:std_logic_vector( 31 downto 0); val2: std_logic_vector( 31 downto 0) ) return std_logic_vector is begin if (C1_HW_TESTING = "FALSE") then return val1; else return val2; end if; end function; constant C1_CLKOUT0_DIVIDE : integer := 2; constant C1_CLKOUT1_DIVIDE : integer := 2; constant C1_CLKOUT2_DIVIDE : integer := 16; constant C1_CLKOUT3_DIVIDE : integer := 8; constant C1_CLKFBOUT_MULT : integer := 4; constant C1_DIVCLK_DIVIDE : integer := 1; constant C1_INCLK_PERIOD : integer := ((C1_MEMCLK_PERIOD * C1_CLKFBOUT_MULT) / (C1_DIVCLK_DIVIDE * C1_CLKOUT0_DIVIDE * 2)); constant C1_ARB_NUM_TIME_SLOTS : integer := 12; constant C1_ARB_TIME_SLOT_0 : bit_vector(5 downto 0) := o"01"; constant C1_ARB_TIME_SLOT_1 : bit_vector(5 downto 0) := o"10"; constant C1_ARB_TIME_SLOT_2 : bit_vector(5 downto 0) := o"01"; constant C1_ARB_TIME_SLOT_3 : bit_vector(5 downto 0) := o"10"; constant C1_ARB_TIME_SLOT_4 : bit_vector(5 downto 0) := o"01"; constant C1_ARB_TIME_SLOT_5 : bit_vector(5 downto 0) := o"10"; constant C1_ARB_TIME_SLOT_6 : bit_vector(5 downto 0) := o"01"; constant C1_ARB_TIME_SLOT_7 : bit_vector(5 downto 0) := o"10"; constant C1_ARB_TIME_SLOT_8 : bit_vector(5 downto 0) := o"01"; constant C1_ARB_TIME_SLOT_9 : bit_vector(5 downto 0) := o"10"; constant C1_ARB_TIME_SLOT_10 : bit_vector(5 downto 0) := o"01"; constant C1_ARB_TIME_SLOT_11 : bit_vector(5 downto 0) := o"10"; constant C1_MEM_TRAS : integer := 42000; constant C1_MEM_TRCD : integer := 18000; constant C1_MEM_TREFI : integer := 7800000; constant C1_MEM_TRFC : integer := 70000; constant C1_MEM_TRP : integer := 18000; constant C1_MEM_TWR : integer := 15000; constant C1_MEM_TRTP : integer := 7500; constant C1_MEM_TWTR : integer := 1; constant C1_MEM_TYPE : string := "MDDR"; constant C1_MEM_DENSITY : string := "256Mb"; constant C1_MEM_BURST_LEN : integer := 4; constant C1_MEM_CAS_LATENCY : integer := 3; constant C1_MEM_NUM_COL_BITS : integer := 9; constant C1_MEM_DDR1_2_ODS : string := "FULL"; constant C1_MEM_DDR2_RTT : string := "50OHMS"; constant C1_MEM_DDR2_DIFF_DQS_EN : string := "YES"; constant C1_MEM_DDR2_3_PA_SR : string := "FULL"; constant C1_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL"; constant C1_MEM_DDR3_CAS_LATENCY : integer := 6; constant C1_MEM_DDR3_ODS : string := "DIV6"; constant C1_MEM_DDR3_RTT : string := "DIV2"; constant C1_MEM_DDR3_CAS_WR_LATENCY : integer := 5; constant C1_MEM_DDR3_AUTO_SR : string := "ENABLED"; constant C1_MEM_DDR3_DYN_WRT_ODT : string := "OFF"; constant C1_MEM_MOBILE_PA_SR : string := "FULL"; constant C1_MEM_MDDR_ODS : string := "HALF"; constant C1_MC_CALIB_BYPASS : string := "NO"; constant C1_MC_CALIBRATION_MODE : string := "CALIBRATION"; constant C1_MC_CALIBRATION_DELAY : string := "HALF"; constant C1_SKIP_IN_TERM_CAL : integer := 1; constant C1_SKIP_DYNAMIC_CAL : integer := 0; constant C1_LDQSP_TAP_DELAY_VAL : integer := 0; constant C1_LDQSN_TAP_DELAY_VAL : integer := 0; constant C1_UDQSP_TAP_DELAY_VAL : integer := 0; constant C1_UDQSN_TAP_DELAY_VAL : integer := 0; constant C1_DQ0_TAP_DELAY_VAL : integer := 0; constant C1_DQ1_TAP_DELAY_VAL : integer := 0; constant C1_DQ2_TAP_DELAY_VAL : integer := 0; constant C1_DQ3_TAP_DELAY_VAL : integer := 0; constant C1_DQ4_TAP_DELAY_VAL : integer := 0; constant C1_DQ5_TAP_DELAY_VAL : integer := 0; constant C1_DQ6_TAP_DELAY_VAL : integer := 0; constant C1_DQ7_TAP_DELAY_VAL : integer := 0; constant C1_DQ8_TAP_DELAY_VAL : integer := 0; constant C1_DQ9_TAP_DELAY_VAL : integer := 0; constant C1_DQ10_TAP_DELAY_VAL : integer := 0; constant C1_DQ11_TAP_DELAY_VAL : integer := 0; constant C1_DQ12_TAP_DELAY_VAL : integer := 0; constant C1_DQ13_TAP_DELAY_VAL : integer := 0; constant C1_DQ14_TAP_DELAY_VAL : integer := 0; constant C1_DQ15_TAP_DELAY_VAL : integer := 0; constant C1_SMALL_DEVICE : string := "FALSE"; -- The parameter is set to TRUE for all packages of xc6slx9 device -- as most of them cannot fit the complete example design when the -- Chip scope modules are enabled constant C1_p0_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := c1_sim_hw (x"00000100", x"01000000"); constant C1_p0_DATA_MODE : std_logic_vector(3 downto 0) := "0010"; constant C1_p0_END_ADDRESS : std_logic_vector(31 downto 0) := c1_sim_hw (x"000002ff", x"02ffffff"); constant C1_p0_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := c1_sim_hw (x"fffffc00", x"fc000000"); constant C1_p0_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := c1_sim_hw (x"00000100", x"01000000"); constant C1_p1_BEGIN_ADDRESS : std_logic_vector(31 downto 0) := c1_sim_hw (x"00000300", x"03000000"); constant C1_p1_DATA_MODE : std_logic_vector(3 downto 0) := "0010"; constant C1_p1_END_ADDRESS : std_logic_vector(31 downto 0) := c1_sim_hw (x"000004ff", x"04ffffff"); constant C1_p1_PRBS_EADDR_MASK_POS : std_logic_vector(31 downto 0) := c1_sim_hw (x"fffff800", x"f8000000"); constant C1_p1_PRBS_SADDR_MASK_POS : std_logic_vector(31 downto 0) := c1_sim_hw (x"00000300", x"03000000"); signal c1_sys_clk_p : std_logic; signal c1_sys_clk_n : std_logic; signal c1_error : std_logic; signal c1_calib_done : std_logic; signal c1_clk0 : std_logic; signal c1_rst0 : std_logic; signal c1_async_rst : std_logic; signal c1_sysclk_2x : std_logic; signal c1_sysclk_2x_180 : std_logic; signal c1_pll_ce_0 : std_logic; signal c1_pll_ce_90 : std_logic; signal c1_pll_lock : std_logic; signal c1_mcb_drp_clk : std_logic; signal c1_cmp_error : std_logic; signal c1_cmp_data_valid : std_logic; signal c1_vio_modify_enable : std_logic; signal c1_error_status : std_logic_vector(127 downto 0); signal c1_vio_data_mode_value : std_logic_vector(2 downto 0); signal c1_vio_addr_mode_value : std_logic_vector(2 downto 0); signal c1_cmp_data : std_logic_vector(31 downto 0); signal c1_p0_cmd_en : std_logic; signal c1_p0_cmd_instr : std_logic_vector(2 downto 0); signal c1_p0_cmd_bl : std_logic_vector(5 downto 0); signal c1_p0_cmd_byte_addr : std_logic_vector(29 downto 0); signal c1_p0_cmd_empty : std_logic; signal c1_p0_cmd_full : std_logic; signal c1_p0_wr_en : std_logic; signal c1_p0_wr_mask : std_logic_vector(C1_P0_MASK_SIZE - 1 downto 0); signal c1_p0_wr_data : std_logic_vector(C1_P0_DATA_PORT_SIZE - 1 downto 0); signal c1_p0_wr_full : std_logic; signal c1_p0_wr_empty : std_logic; signal c1_p0_wr_count : std_logic_vector(6 downto 0); signal c1_p0_wr_underrun : std_logic; signal c1_p0_wr_error : std_logic; signal c1_p0_rd_en : std_logic; signal c1_p0_rd_data : std_logic_vector(C1_P0_DATA_PORT_SIZE - 1 downto 0); signal c1_p0_rd_full : std_logic; signal c1_p0_rd_empty : std_logic; signal c1_p0_rd_count : std_logic_vector(6 downto 0); signal c1_p0_rd_overflow : std_logic; signal c1_p0_rd_error : std_logic; signal c1_p1_cmd_en : std_logic; signal c1_p1_cmd_instr : std_logic_vector(2 downto 0); signal c1_p1_cmd_bl : std_logic_vector(5 downto 0); signal c1_p1_cmd_byte_addr : std_logic_vector(29 downto 0); signal c1_p1_cmd_empty : std_logic; signal c1_p1_cmd_full : std_logic; signal c1_p1_wr_en : std_logic; signal c1_p1_wr_mask : std_logic_vector(C1_P1_MASK_SIZE - 1 downto 0); signal c1_p1_wr_data : std_logic_vector(C1_P1_DATA_PORT_SIZE - 1 downto 0); signal c1_p1_wr_full : std_logic; signal c1_p1_wr_empty : std_logic; signal c1_p1_wr_count : std_logic_vector(6 downto 0); signal c1_p1_wr_underrun : std_logic; signal c1_p1_wr_error : std_logic; signal c1_p1_rd_en : std_logic; signal c1_p1_rd_data : std_logic_vector(C1_P1_DATA_PORT_SIZE - 1 downto 0); signal c1_p1_rd_full : std_logic; signal c1_p1_rd_empty : std_logic; signal c1_p1_rd_count : std_logic_vector(6 downto 0); signal c1_p1_rd_overflow : std_logic; signal c1_p1_rd_error : std_logic; signal c1_selfrefresh_enter : std_logic; signal c1_selfrefresh_mode : std_logic; begin error <= c1_error; calib_done <= c1_calib_done; c1_sys_clk_p <= '0'; c1_sys_clk_n <= '0'; c1_selfrefresh_enter <= '0'; memc1_infrastructure_inst : memc1_infrastructure generic map ( C_RST_ACT_LOW => C1_RST_ACT_LOW, C_INPUT_CLK_TYPE => C1_INPUT_CLK_TYPE, C_CLKOUT0_DIVIDE => C1_CLKOUT0_DIVIDE, C_CLKOUT1_DIVIDE => C1_CLKOUT1_DIVIDE, C_CLKOUT2_DIVIDE => C1_CLKOUT2_DIVIDE, C_CLKOUT3_DIVIDE => C1_CLKOUT3_DIVIDE, C_CLKFBOUT_MULT => C1_CLKFBOUT_MULT, C_DIVCLK_DIVIDE => C1_DIVCLK_DIVIDE, C_INCLK_PERIOD => C1_INCLK_PERIOD ) port map ( sys_clk_p => c1_sys_clk_p, sys_clk_n => c1_sys_clk_n, sys_clk => c1_sys_clk, sys_rst_i => c1_sys_rst_i, clk0 => c1_clk0, rst0 => c1_rst0, async_rst => c1_async_rst, sysclk_2x => c1_sysclk_2x, sysclk_2x_180 => c1_sysclk_2x_180, pll_ce_0 => c1_pll_ce_0, pll_ce_90 => c1_pll_ce_90, pll_lock => c1_pll_lock, mcb_drp_clk => c1_mcb_drp_clk ); -- wrapper instantiation memc1_wrapper_inst : memc1_wrapper generic map ( C_MEMCLK_PERIOD => C1_MEMCLK_PERIOD, C_CALIB_SOFT_IP => C1_CALIB_SOFT_IP, C_SIMULATION => C1_SIMULATION, C_P0_MASK_SIZE => C1_P0_MASK_SIZE, C_P0_DATA_PORT_SIZE => C1_P0_DATA_PORT_SIZE, C_P1_MASK_SIZE => C1_P1_MASK_SIZE, C_P1_DATA_PORT_SIZE => C1_P1_DATA_PORT_SIZE, C_ARB_NUM_TIME_SLOTS => C1_ARB_NUM_TIME_SLOTS, C_ARB_TIME_SLOT_0 => C1_ARB_TIME_SLOT_0, C_ARB_TIME_SLOT_1 => C1_ARB_TIME_SLOT_1, C_ARB_TIME_SLOT_2 => C1_ARB_TIME_SLOT_2, C_ARB_TIME_SLOT_3 => C1_ARB_TIME_SLOT_3, C_ARB_TIME_SLOT_4 => C1_ARB_TIME_SLOT_4, C_ARB_TIME_SLOT_5 => C1_ARB_TIME_SLOT_5, C_ARB_TIME_SLOT_6 => C1_ARB_TIME_SLOT_6, C_ARB_TIME_SLOT_7 => C1_ARB_TIME_SLOT_7, C_ARB_TIME_SLOT_8 => C1_ARB_TIME_SLOT_8, C_ARB_TIME_SLOT_9 => C1_ARB_TIME_SLOT_9, C_ARB_TIME_SLOT_10 => C1_ARB_TIME_SLOT_10, C_ARB_TIME_SLOT_11 => C1_ARB_TIME_SLOT_11, C_MEM_TRAS => C1_MEM_TRAS, C_MEM_TRCD => C1_MEM_TRCD, C_MEM_TREFI => C1_MEM_TREFI, C_MEM_TRFC => C1_MEM_TRFC, C_MEM_TRP => C1_MEM_TRP, C_MEM_TWR => C1_MEM_TWR, C_MEM_TRTP => C1_MEM_TRTP, C_MEM_TWTR => C1_MEM_TWTR, C_MEM_ADDR_ORDER => C1_MEM_ADDR_ORDER, C_NUM_DQ_PINS => C1_NUM_DQ_PINS, C_MEM_TYPE => C1_MEM_TYPE, C_MEM_DENSITY => C1_MEM_DENSITY, C_MEM_BURST_LEN => C1_MEM_BURST_LEN, C_MEM_CAS_LATENCY => C1_MEM_CAS_LATENCY, C_MEM_ADDR_WIDTH => C1_MEM_ADDR_WIDTH, C_MEM_BANKADDR_WIDTH => C1_MEM_BANKADDR_WIDTH, C_MEM_NUM_COL_BITS => C1_MEM_NUM_COL_BITS, C_MEM_DDR1_2_ODS => C1_MEM_DDR1_2_ODS, C_MEM_DDR2_RTT => C1_MEM_DDR2_RTT, C_MEM_DDR2_DIFF_DQS_EN => C1_MEM_DDR2_DIFF_DQS_EN, C_MEM_DDR2_3_PA_SR => C1_MEM_DDR2_3_PA_SR, C_MEM_DDR2_3_HIGH_TEMP_SR => C1_MEM_DDR2_3_HIGH_TEMP_SR, C_MEM_DDR3_CAS_LATENCY => C1_MEM_DDR3_CAS_LATENCY, C_MEM_DDR3_ODS => C1_MEM_DDR3_ODS, C_MEM_DDR3_RTT => C1_MEM_DDR3_RTT, C_MEM_DDR3_CAS_WR_LATENCY => C1_MEM_DDR3_CAS_WR_LATENCY, C_MEM_DDR3_AUTO_SR => C1_MEM_DDR3_AUTO_SR, C_MEM_DDR3_DYN_WRT_ODT => C1_MEM_DDR3_DYN_WRT_ODT, C_MEM_MOBILE_PA_SR => C1_MEM_MOBILE_PA_SR, C_MEM_MDDR_ODS => C1_MEM_MDDR_ODS, C_MC_CALIB_BYPASS => C1_MC_CALIB_BYPASS, C_MC_CALIBRATION_MODE => C1_MC_CALIBRATION_MODE, C_MC_CALIBRATION_DELAY => C1_MC_CALIBRATION_DELAY, C_SKIP_IN_TERM_CAL => C1_SKIP_IN_TERM_CAL, C_SKIP_DYNAMIC_CAL => C1_SKIP_DYNAMIC_CAL, C_LDQSP_TAP_DELAY_VAL => C1_LDQSP_TAP_DELAY_VAL, C_LDQSN_TAP_DELAY_VAL => C1_LDQSN_TAP_DELAY_VAL, C_UDQSP_TAP_DELAY_VAL => C1_UDQSP_TAP_DELAY_VAL, C_UDQSN_TAP_DELAY_VAL => C1_UDQSN_TAP_DELAY_VAL, C_DQ0_TAP_DELAY_VAL => C1_DQ0_TAP_DELAY_VAL, C_DQ1_TAP_DELAY_VAL => C1_DQ1_TAP_DELAY_VAL, C_DQ2_TAP_DELAY_VAL => C1_DQ2_TAP_DELAY_VAL, C_DQ3_TAP_DELAY_VAL => C1_DQ3_TAP_DELAY_VAL, C_DQ4_TAP_DELAY_VAL => C1_DQ4_TAP_DELAY_VAL, C_DQ5_TAP_DELAY_VAL => C1_DQ5_TAP_DELAY_VAL, C_DQ6_TAP_DELAY_VAL => C1_DQ6_TAP_DELAY_VAL, C_DQ7_TAP_DELAY_VAL => C1_DQ7_TAP_DELAY_VAL, C_DQ8_TAP_DELAY_VAL => C1_DQ8_TAP_DELAY_VAL, C_DQ9_TAP_DELAY_VAL => C1_DQ9_TAP_DELAY_VAL, C_DQ10_TAP_DELAY_VAL => C1_DQ10_TAP_DELAY_VAL, C_DQ11_TAP_DELAY_VAL => C1_DQ11_TAP_DELAY_VAL, C_DQ12_TAP_DELAY_VAL => C1_DQ12_TAP_DELAY_VAL, C_DQ13_TAP_DELAY_VAL => C1_DQ13_TAP_DELAY_VAL, C_DQ14_TAP_DELAY_VAL => C1_DQ14_TAP_DELAY_VAL, C_DQ15_TAP_DELAY_VAL => C1_DQ15_TAP_DELAY_VAL ) port map ( mcb1_dram_dq => mcb1_dram_dq, mcb1_dram_a => mcb1_dram_a, mcb1_dram_ba => mcb1_dram_ba, mcb1_dram_cke => mcb1_dram_cke, mcb1_dram_ras_n => mcb1_dram_ras_n, mcb1_dram_cas_n => mcb1_dram_cas_n, mcb1_dram_we_n => mcb1_dram_we_n, mcb1_dram_dm => mcb1_dram_dm, mcb1_dram_udqs => mcb1_dram_udqs, mcb1_rzq => mcb1_rzq, mcb1_dram_udm => mcb1_dram_udm, calib_done => c1_calib_done, async_rst => c1_async_rst, sysclk_2x => c1_sysclk_2x, sysclk_2x_180 => c1_sysclk_2x_180, pll_ce_0 => c1_pll_ce_0, pll_ce_90 => c1_pll_ce_90, pll_lock => c1_pll_lock, mcb_drp_clk => c1_mcb_drp_clk, mcb1_dram_dqs => mcb1_dram_dqs, mcb1_dram_ck => mcb1_dram_ck, mcb1_dram_ck_n => mcb1_dram_ck_n, p0_cmd_clk => c1_clk0, p0_cmd_en => c1_p0_cmd_en, p0_cmd_instr => c1_p0_cmd_instr, p0_cmd_bl => c1_p0_cmd_bl, p0_cmd_byte_addr => c1_p0_cmd_byte_addr, p0_cmd_empty => c1_p0_cmd_empty, p0_cmd_full => c1_p0_cmd_full, p0_wr_clk => c1_clk0, p0_wr_en => c1_p0_wr_en, p0_wr_mask => c1_p0_wr_mask, p0_wr_data => c1_p0_wr_data, p0_wr_full => c1_p0_wr_full, p0_wr_empty => c1_p0_wr_empty, p0_wr_count => c1_p0_wr_count, p0_wr_underrun => c1_p0_wr_underrun, p0_wr_error => c1_p0_wr_error, p0_rd_clk => c1_clk0, p0_rd_en => c1_p0_rd_en, p0_rd_data => c1_p0_rd_data, p0_rd_full => c1_p0_rd_full, p0_rd_empty => c1_p0_rd_empty, p0_rd_count => c1_p0_rd_count, p0_rd_overflow => c1_p0_rd_overflow, p0_rd_error => c1_p0_rd_error, p1_cmd_clk => c1_clk0, p1_cmd_en => c1_p1_cmd_en, p1_cmd_instr => c1_p1_cmd_instr, p1_cmd_bl => c1_p1_cmd_bl, p1_cmd_byte_addr => c1_p1_cmd_byte_addr, p1_cmd_empty => c1_p1_cmd_empty, p1_cmd_full => c1_p1_cmd_full, p1_wr_clk => c1_clk0, p1_wr_en => c1_p1_wr_en, p1_wr_mask => c1_p1_wr_mask, p1_wr_data => c1_p1_wr_data, p1_wr_full => c1_p1_wr_full, p1_wr_empty => c1_p1_wr_empty, p1_wr_count => c1_p1_wr_count, p1_wr_underrun => c1_p1_wr_underrun, p1_wr_error => c1_p1_wr_error, p1_rd_clk => c1_clk0, p1_rd_en => c1_p1_rd_en, p1_rd_data => c1_p1_rd_data, p1_rd_full => c1_p1_rd_full, p1_rd_empty => c1_p1_rd_empty, p1_rd_count => c1_p1_rd_count, p1_rd_overflow => c1_p1_rd_overflow, p1_rd_error => c1_p1_rd_error, selfrefresh_enter => c1_selfrefresh_enter, selfrefresh_mode => c1_selfrefresh_mode ); memc1_tb_top_inst : memc1_tb_top generic map ( C_SIMULATION => C1_SIMULATION, C_P0_MASK_SIZE => C1_P0_MASK_SIZE, C_P0_DATA_PORT_SIZE => C1_P0_DATA_PORT_SIZE, C_P1_MASK_SIZE => C1_P1_MASK_SIZE, C_P1_DATA_PORT_SIZE => C1_P1_DATA_PORT_SIZE, C_NUM_DQ_PINS => C1_NUM_DQ_PINS, C_MEM_BURST_LEN => C1_MEM_BURST_LEN, C_MEM_NUM_COL_BITS => C1_MEM_NUM_COL_BITS, C_SMALL_DEVICE => C1_SMALL_DEVICE, C_p0_BEGIN_ADDRESS => C1_p0_BEGIN_ADDRESS, C_p0_DATA_MODE => C1_p0_DATA_MODE, C_p0_END_ADDRESS => C1_p0_END_ADDRESS, C_p0_PRBS_EADDR_MASK_POS => C1_p0_PRBS_EADDR_MASK_POS, C_p0_PRBS_SADDR_MASK_POS => C1_p0_PRBS_SADDR_MASK_POS, C_p1_BEGIN_ADDRESS => C1_p1_BEGIN_ADDRESS, C_p1_DATA_MODE => C1_p1_DATA_MODE, C_p1_END_ADDRESS => C1_p1_END_ADDRESS, C_p1_PRBS_EADDR_MASK_POS => C1_p1_PRBS_EADDR_MASK_POS, C_p1_PRBS_SADDR_MASK_POS => C1_p1_PRBS_SADDR_MASK_POS ) port map ( error => c1_error, calib_done => c1_calib_done, clk0 => c1_clk0, rst0 => c1_rst0, cmp_error => c1_cmp_error, cmp_data_valid => c1_cmp_data_valid, vio_modify_enable => c1_vio_modify_enable, error_status => c1_error_status, vio_data_mode_value => c1_vio_data_mode_value, vio_addr_mode_value => c1_vio_addr_mode_value, cmp_data => c1_cmp_data, p0_mcb_cmd_en_o => c1_p0_cmd_en, p0_mcb_cmd_instr_o => c1_p0_cmd_instr, p0_mcb_cmd_bl_o => c1_p0_cmd_bl, p0_mcb_cmd_addr_o => c1_p0_cmd_byte_addr, p0_mcb_cmd_full_i => c1_p0_cmd_full, p0_mcb_wr_en_o => c1_p0_wr_en, p0_mcb_wr_mask_o => c1_p0_wr_mask, p0_mcb_wr_data_o => c1_p0_wr_data, p0_mcb_wr_full_i => c1_p0_wr_full, p0_mcb_wr_fifo_counts => c1_p0_wr_count, p0_mcb_rd_en_o => c1_p0_rd_en, p0_mcb_rd_data_i => c1_p0_rd_data, p0_mcb_rd_empty_i => c1_p0_rd_empty, p0_mcb_rd_fifo_counts => c1_p0_rd_count, p1_mcb_cmd_en_o => c1_p1_cmd_en, p1_mcb_cmd_instr_o => c1_p1_cmd_instr, p1_mcb_cmd_bl_o => c1_p1_cmd_bl, p1_mcb_cmd_addr_o => c1_p1_cmd_byte_addr, p1_mcb_cmd_full_i => c1_p1_cmd_full, p1_mcb_wr_en_o => c1_p1_wr_en, p1_mcb_wr_mask_o => c1_p1_wr_mask, p1_mcb_wr_data_o => c1_p1_wr_data, p1_mcb_wr_full_i => c1_p1_wr_full, p1_mcb_wr_fifo_counts => c1_p1_wr_count, p1_mcb_rd_en_o => c1_p1_rd_en, p1_mcb_rd_data_i => c1_p1_rd_data, p1_mcb_rd_empty_i => c1_p1_rd_empty, p1_mcb_rd_fifo_counts => c1_p1_rd_count ); end arc;
entity e is end entity; architecture a of e is signal x : real := 1.234; -- OK type my_real is range 0.0 to 1.0; -- OK begin process is variable v : my_real; begin x <= x + 6.1215; -- OK x <= v; -- Error end process; process is variable i : integer; begin i := integer(x); -- OK x <= real(i); -- OK x <= real(5); -- OK x <= real(bit'('1')); -- Error end process; process is variable x : real; begin x := real'left; -- OK x := real'right; -- OK end process; process is constant i : integer := 5; constant r : real := 252.4; type t is range r to i; -- Error type t2 is range i to r; -- Error begin end process; process is variable t : time; variable r : real; begin r := (t / 1 ps) * 1.0; -- OK end process; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: iopad_ddr, iopad_ddrv, iopad_ddrvv -- File: iopad_ddr.vhd -- Author: Jan Andersson - Aeroflex Gaisler -- Description: Wrapper that instantiates an iopad connected to DDR register. -- Special case for easic90 tech since this tech requires that -- oe is directly connected between DDR register and pad. ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allddr.all; use techmap.allpads.all; entity iopad_ddr is generic ( tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port ( pad : inout std_ulogic; i1, i2 : in std_ulogic; -- Input H and L en : in std_ulogic; -- Output enable o1, o2 : out std_ulogic; -- Output H and L c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end; architecture rtl of iopad_ddr is signal oe, oen, d, q : std_ulogic; begin def: if (tech /= easic90) generate p : iopad generic map (tech, level, slew, voltage, strength, oepol) port map (pad, q, en, d); ddrregi : ddr_ireg generic map (tech) port map (o1, o2, c1, c2, ce, d, r, s); ddrrego : ddr_oreg generic map (tech) port map (q, c1, c2, ce, i1, i2, r, s); oe <= '0'; oen <= '0'; -- Not used in this configuration end generate def; nex : if (tech = easic90) generate oen <= not en when oepol /= padoen_polarity(tech) else en; p : nextreme_iopad generic map (level, slew, voltage, strength) port map (pad, q, oe, d); ddrregi : nextreme_iddr_reg port map (ck => c1, d => d, qh => o1, ql => o2, rstb => r); ddrrego : nextreme_oddr_reg port map (ck => c1, dh => i1, dl => i2, doe => oen, q => q, oe => oe, rstb => r); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity iopad_ddrv is generic ( tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); en : in std_ulogic; o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end; architecture rtl of iopad_ddrv is begin v : for j in width-1 downto 0 generate x0 : iopad_ddr generic map (tech, level, slew, voltage, strength, oepol) port map (pad(j), i1(j), i2(j), en, o1(j), o2(j), c1, c2, ce, r, s); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity iopad_ddrvv is generic ( tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : inout std_logic_vector(width-1 downto 0); i1, i2 : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic; ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic); end; architecture rtl of iopad_ddrvv is begin v : for j in width-1 downto 0 generate x0 : iopad_ddr generic map (tech, level, slew, voltage, strength, oepol) port map (pad(j), i1(j), i2(j), en(j), o1(j), o2(j), c1, c2, ce, r, s); end generate; end;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Module: Generic Fan Controller -- -- Description: -- ------------------------------------ -- This module generates a PWM signal for a 3-pin (transistor controlled) or -- 4-pin fan header. The FPGAs temperature is read from device specific system -- monitors (normal, user temperature, over temperature). -- -- For example the Xilinx System Monitors are configured as follows: -- -- | /-----\ -- Temp_ov on=80 | - - - - - - /-------/ \ -- | / | \ -- Temp_ov off=60 | - - - - - / - - - - | - - - - \----\ -- | / | \ -- | / | | \ -- Temp_us on=35 | - /---/ | | \ -- Temp_us off=30 | - / - -|- - - - - - | - - - - - - -|- \------\ -- | / | | | \ -- ----------------|--------|------------|--------------|----------|--------- -- pwm = | min | medium | max | medium | min -- -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.vectors.all; use PoC.physical.all; use PoC.components.all; use PoC.xil.all; entity io_FanControl is generic ( CLOCK_FREQ : FREQ; ADD_INPUT_SYNCHRONIZERS : BOOLEAN := TRUE; ENABLE_TACHO : BOOLEAN := FALSE ); port ( Clock : in STD_LOGIC; Reset : in STD_LOGIC; Fan_PWM : out STD_LOGIC; Fan_Tacho : in STD_LOGIC; TachoFrequency : out STD_LOGIC_VECTOR(ite(ENABLE_TACHO, 16, 1) - 1 downto 0) ); end; architecture rtl of io_FanControl is constant TIME_STARTUP : TIME := 500 ms; -- StartUp time constant PWM_RESOLUTION : POSITIVE := 4; -- 4 Bit resolution => 0 to 15 steps constant PWM_FREQ : FREQ := 10 Hz; -- constant TACHO_RESOLUTION : POSITIVE := 8; signal PWM_PWMIn : STD_LOGIC_VECTOR(PWM_RESOLUTION - 1 downto 0); signal PWM_PWMOut : STD_LOGIC := '0'; begin -- System Monitor and temperature to PWM ratio calculation for Virtex6 -- ========================================================================================================================================================== genXilinx : if (VENDOR = VENDOR_XILINX) generate signal OverTemperature_async : STD_LOGIC; signal OverTemperature_sync : STD_LOGIC; signal UserTemperature_async : STD_LOGIC; signal UserTemperature_sync : STD_LOGIC; signal TC_Timeout : STD_LOGIC; signal StartUp : STD_LOGIC; begin genML605 : if (BOARD = BOARD_ML605) generate SystemMonitor : xil_SystemMonitor_Virtex6 port map ( Reset => Reset, -- Reset signal for the System Monitor control logic Alarm_UserTemp => UserTemperature_async, -- Temperature-sensor alarm output Alarm_OverTemp => OverTemperature_async, -- Over-Temperature alarm output Alarm => open, -- OR'ed output of all the Alarms VP => '0', -- Dedicated Analog Input Pair VN => '0' ); end generate; genSeries7Board : if ((BOARD = BOARD_KC705) or (BOARD = BOARD_VC707)) generate SystemMonitor : xil_SystemMonitor_Series7 port map ( Reset => Reset, -- Reset signal for the System Monitor control logic Alarm_UserTemp => UserTemperature_async, -- Temperature-sensor alarm output Alarm_OverTemp => OverTemperature_async, -- Over-Temperature alarm output Alarm => open, -- OR'ed output of all the Alarms VP => '0', -- Dedicated Analog Input Pair VN => '0' ); end generate; sync : entity PoC.sync_Bits generic map ( BITS => 2 ) port map ( Clock => Clock, Input(0) => OverTemperature_async, Input(1) => UserTemperature_async, Output(0) => OverTemperature_sync, Output(1) => UserTemperature_sync ); -- timer for warm-up control -- ========================================================================================================================================================== TC : entity PoC.io_TimingCounter generic map ( TIMING_TABLE => (0 => TimingToCycles(TIME_STARTUP, CLOCK_FREQ)) -- timing table ) port map ( Clock => Clock, -- clock Enable => StartUp, -- enable counter Load => '0', -- load Timing Value from TIMING_TABLE selected by slot Slot => 0, -- Timeout => TC_Timeout -- timing reached ); StartUp <= not TC_Timeout; process(StartUp, UserTemperature_sync, OverTemperature_sync) begin if (StartUp = '1') then PWM_PWMIn <= to_slv(2**(PWM_RESOLUTION) - 1, PWM_RESOLUTION); -- 100%; start up elsif (OverTemperature_sync = '1') then PWM_PWMIn <= to_slv(2**(PWM_RESOLUTION) - 1, PWM_RESOLUTION); -- 100% elsif (UserTemperature_sync = '1') then PWM_PWMIn <= to_slv(2**(PWM_RESOLUTION - 1), PWM_RESOLUTION); -- 50% else PWM_PWMIn <= to_slv(4, PWM_RESOLUTION); -- 13% end if; end process; end generate; genAltera : if (VENDOR = VENDOR_ALTERA) generate -- signal OverTemperature_async : STD_LOGIC; signal OverTemperature_sync : STD_LOGIC; -- signal UserTemperature_async : STD_LOGIC; signal UserTemperature_sync : STD_LOGIC; signal TC_Timeout : STD_LOGIC; signal StartUp : STD_LOGIC; begin genDE4 : if (BOARD = BOARD_DE4) generate OverTemperature_sync <= '0'; UserTemperature_sync <= '1'; end generate; -- timer for warm-up control -- ========================================================================================================================================================== TC : entity PoC.io_TimingCounter generic map ( TIMING_TABLE => (0 => TimingToCycles(TIME_STARTUP, CLOCK_FREQ)) -- timing table ) port map ( Clock => Clock, -- clock Enable => StartUp, -- enable counter Load => '0', -- load Timing Value from TIMING_TABLE selected by slot Slot => 0, -- Timeout => TC_Timeout -- timing reached ); StartUp <= not TC_Timeout; process(StartUp, UserTemperature_sync, OverTemperature_sync) begin if (StartUp = '1') then PWM_PWMIn <= to_slv(2**(PWM_RESOLUTION) - 1, PWM_RESOLUTION); -- 100%; start up elsif (OverTemperature_sync = '1') then PWM_PWMIn <= to_slv(2**(PWM_RESOLUTION) - 1, PWM_RESOLUTION); -- 100% elsif (UserTemperature_sync = '1') then PWM_PWMIn <= to_slv(2**(PWM_RESOLUTION - 1), PWM_RESOLUTION); -- 50% else PWM_PWMIn <= to_slv(4, PWM_RESOLUTION); -- 13% end if; end process; end generate; -- PWM signal modulator -- ========================================================================================================================================================== PWM : entity PoC.io_PulseWidthModulation generic map ( CLOCK_FREQ => CLOCK_FREQ, -- PWM_FREQ => PWM_FREQ, -- PWM_RESOLUTION => PWM_RESOLUTION -- ) port map ( Clock => Clock, Reset => Reset, PWMIn => PWM_PWMIn, PWMOut => PWM_PWMOut ); -- registered output Fan_PWM <= PWM_PWMOut when rising_edge(Clock); -- tacho signal interpretation -> convert to RPM -- ========================================================================================================================================================== genNoTacho : if (ENABLE_TACHO = FALSE) generate TachoFrequency <= (TachoFrequency'range => '0'); end generate; genTacho : if (ENABLE_TACHO = TRUE) generate signal Tacho_sync : STD_LOGIC; signal Tacho_Freq : STD_LOGIC_VECTOR(TACHO_RESOLUTION - 1 downto 0); begin -- Input Synchronization genNoSync : if (ADD_INPUT_SYNCHRONIZERS = FALSE) generate Tacho_sync <= Fan_Tacho; end generate; genSync : if (ADD_INPUT_SYNCHRONIZERS = TRUE) generate sync_i : entity PoC.sync_Bits port map ( Clock => Clock, -- Clock to be synchronized to Input(0) => Fan_Tacho, -- Data to be synchronized Output(0) => Tacho_sync -- synchronised data ); end generate; Tacho : entity PoC.io_FrequencyCounter generic map ( CLOCK_FREQ => CLOCK_FREQ, -- TIMEBASE => (60 sec / 64), -- ca. 1 second RESOLUTION => 8 -- max. ca. 256 RPS -> max. ca. 16k RPM ) port map ( Clock => Clock, Reset => Reset, FreqIn => Tacho_sync, FreqOut => Tacho_Freq ); -- multiply by 64; divide by 2 for RPMs (2 impulses per revolution) => append 5x '0' TachoFrequency <= resize(Tacho_Freq & "00000", TachoFrequency'length); -- resizing to 16 bit end generate; end;
-- $Id: tb_nx_cram_memctl.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2010-2011 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: tb_nx_cram_memctl - sim -- Description: Test bench for nx_cram_memctl -- -- Dependencies: vlib/simlib/simclk -- vlib/simlib/simclkcnt -- bplib/micron/mt45w8mw16b -- tbd_nx_cram_memctl [UUT, abstact] -- -- To test: nx_cram_memctl_as (via tbd_nx_cram_memctl_as) -- -- Target Devices: generic -- Tool versions: xst 11.4-14.7; ghdl 0.26-0.31 -- Revision History: -- Date Rev Version Comment -- 2011-12-23 444 1.4 use new simclk/simclkcnt -- 2011-11-26 433 1.3 renamed from tb_n2_cram_memctl -- 2011-11-21 432 1.2 now numeric_std clean; update O_FLA_CE_N usage -- 2010-05-30 297 1.1 use abstact uut tbd_nx_cram_memctl -- 2010-05-23 293 1.0 Initial version (derived from tb_s3_sram_memctl) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; use work.slvtypes.all; use work.simlib.all; entity tb_nx_cram_memctl is end tb_nx_cram_memctl; architecture sim of tb_nx_cram_memctl is component tbd_nx_cram_memctl is -- CRAM controller (abstract) [tb design] port ( CLK : in slbit; -- clock RESET : in slbit; -- reset REQ : in slbit; -- request WE : in slbit; -- write enable BUSY : out slbit; -- controller busy ACK_R : out slbit; -- acknowledge read ACK_W : out slbit; -- acknowledge write ACT_R : out slbit; -- signal active read ACT_W : out slbit; -- signal active write ADDR : in slv22; -- address (32 bit word address) BE : in slv4; -- byte enable DI : in slv32; -- data in (memory view) DO : out slv32; -- data out (memory view) O_MEM_CE_N : out slbit; -- cram: chip enable (act.low) O_MEM_BE_N : out slv2; -- cram: byte enables (act.low) O_MEM_WE_N : out slbit; -- cram: write enable (act.low) O_MEM_OE_N : out slbit; -- cram: output enable (act.low) O_MEM_ADV_N : out slbit; -- cram: address valid (act.low) O_MEM_CLK : out slbit; -- cram: clock O_MEM_CRE : out slbit; -- cram: command register enable I_MEM_WAIT : in slbit; -- cram: mem wait O_MEM_ADDR : out slv23; -- cram: address lines IO_MEM_DATA : inout slv16 -- cram: data lines ); end component; signal CLK : slbit := '0'; signal RESET : slbit := '0'; signal REQ : slbit := '0'; signal WE : slbit := '0'; signal BUSY : slbit := '0'; signal ACK_R : slbit := '0'; signal ACK_W : slbit := '0'; signal ACT_R : slbit := '0'; signal ACT_W : slbit := '0'; signal ADDR : slv22 := (others=>'0'); signal BE : slv4 := (others=>'0'); signal DI : slv32 := (others=>'0'); signal DO : slv32 := (others=>'0'); signal O_MEM_CE_N : slbit := '0'; signal O_MEM_BE_N : slv2 := (others=>'0'); signal O_MEM_WE_N : slbit := '0'; signal O_MEM_OE_N : slbit := '0'; signal O_MEM_ADV_N : slbit := '0'; signal O_MEM_CLK : slbit := '0'; signal O_MEM_CRE : slbit := '0'; signal I_MEM_WAIT : slbit := '0'; signal O_MEM_ADDR : slv23 := (others=>'0'); signal IO_MEM_DATA : slv16 := (others=>'0'); signal R_MEMON : slbit := '0'; signal N_CHK_DATA : slbit := '0'; signal N_REF_DATA : slv32 := (others=>'0'); signal N_REF_ADDR : slv22 := (others=>'0'); signal R_CHK_DATA_AL : slbit := '0'; signal R_REF_DATA_AL : slv32 := (others=>'0'); signal R_REF_ADDR_AL : slv22 := (others=>'0'); signal R_CHK_DATA_DL : slbit := '0'; signal R_REF_DATA_DL : slv32 := (others=>'0'); signal R_REF_ADDR_DL : slv22 := (others=>'0'); signal CLK_STOP : slbit := '0'; signal CLK_CYCLE : integer := 0; constant clock_period : Delay_length := 20 ns; -- when changed update also -- READ0DELAY ect delays !! constant clock_offset : Delay_length := 200 ns; constant setup_time : Delay_length := 7.5 ns; -- compatible ucf for constant c2out_time : Delay_length := 12.0 ns; -- tbd_nx_cram_memctl_as begin CLKGEN : simclk generic map ( PERIOD => clock_period, OFFSET => clock_offset) port map ( CLK => CLK, CLK_STOP => CLK_STOP ); CLKCNT : simclkcnt port map (CLK => CLK, CLK_CYCLE => CLK_CYCLE); MEM : entity work.mt45w8mw16b port map ( CLK => O_MEM_CLK, CE_N => O_MEM_CE_N, OE_N => O_MEM_OE_N, WE_N => O_MEM_WE_N, UB_N => O_MEM_BE_N(1), LB_N => O_MEM_BE_N(0), ADV_N => O_MEM_ADV_N, CRE => O_MEM_CRE, MWAIT => I_MEM_WAIT, ADDR => O_MEM_ADDR, DATA => IO_MEM_DATA ); UUT : tbd_nx_cram_memctl port map ( CLK => CLK, RESET => RESET, REQ => REQ, WE => WE, BUSY => BUSY, ACK_R => ACK_R, ACK_W => ACK_W, ACT_R => ACT_R, ACT_W => ACT_W, ADDR => ADDR, BE => BE, DI => DI, DO => DO, O_MEM_CE_N => O_MEM_CE_N, O_MEM_BE_N => O_MEM_BE_N, O_MEM_WE_N => O_MEM_WE_N, O_MEM_OE_N => O_MEM_OE_N, O_MEM_CLK => O_MEM_CLK, O_MEM_ADV_N => O_MEM_ADV_N, O_MEM_CRE => O_MEM_CRE, I_MEM_WAIT => I_MEM_WAIT, O_MEM_ADDR => O_MEM_ADDR, IO_MEM_DATA => IO_MEM_DATA ); proc_stim: process file fstim : text open read_mode is "tb_nx_cram_memctl_stim"; variable iline : line; variable oline : line; variable ok : boolean; variable dname : string(1 to 6) := (others=>' '); variable idelta : integer := 0; variable iaddr : slv22 := (others=>'0'); variable idata : slv32 := (others=>'0'); variable ibe : slv4 := (others=>'0'); variable ival : slbit := '0'; variable nbusy : integer := 0; begin wait for clock_offset - setup_time; file_loop: while not endfile(fstim) loop readline (fstim, iline); readcomment(iline, ok); next file_loop when ok; readword(iline, dname, ok); if ok then case dname is when ".memon" => -- .memon read_ea(iline, ival); R_MEMON <= ival; wait for 2*clock_period; when ".reset" => -- .reset write(oline, string'(".reset")); writeline(output, oline); RESET <= '1'; wait for clock_period; RESET <= '0'; wait for 9*clock_period; when ".wait " => -- .wait read_ea(iline, idelta); wait for idelta*clock_period; when "read " => -- read readgen_ea(iline, iaddr, 16); readgen_ea(iline, idata, 16); ADDR <= iaddr; REQ <= '1'; WE <= '0'; writetimestamp(oline, CLK_CYCLE, ": stim read "); writegen(oline, iaddr, right, 7, 16); write(oline, string'(" ")); writegen(oline, idata, right, 9, 16); nbusy := 0; while BUSY='1' loop nbusy := nbusy + 1; wait for clock_period; end loop; write(oline, string'(" nbusy=")); write(oline, nbusy, right, 2); writeline(output, oline); N_CHK_DATA <= '1', '0' after clock_period; N_REF_DATA <= idata; N_REF_ADDR <= iaddr; wait for clock_period; REQ <= '0'; when "write " => -- write readgen_ea(iline, iaddr, 16); read_ea(iline, ibe); readgen_ea(iline, idata, 16); ADDR <= iaddr; BE <= ibe; DI <= idata; REQ <= '1'; WE <= '1'; writetimestamp(oline, CLK_CYCLE, ": stim write"); writegen(oline, iaddr, right, 7, 16); writegen(oline, ibe , right, 5, 2); writegen(oline, idata, right, 9, 16); nbusy := 0; while BUSY = '1' loop nbusy := nbusy + 1; wait for clock_period; end loop; write(oline, string'(" nbusy=")); write(oline, nbusy, right, 2); writeline(output, oline); wait for clock_period; REQ <= '0'; when others => -- bad directive write(oline, string'("?? unknown directive: ")); write(oline, dname); writeline(output, oline); report "aborting" severity failure; end case; else report "failed to find command" severity failure; end if; testempty_ea(iline); end loop; -- file fstim wait for 10*clock_period; writetimestamp(oline, CLK_CYCLE, ": DONE "); writeline(output, oline); CLK_STOP <= '1'; wait; -- suspend proc_stim forever -- clock is stopped, sim will end end process proc_stim; proc_moni: process variable oline : line; begin loop wait until rising_edge(CLK); if ACK_R = '1' then writetimestamp(oline, CLK_CYCLE, ": moni "); writegen(oline, DO, right, 9, 16); if R_CHK_DATA_DL = '1' then write(oline, string'(" CHECK")); if R_REF_DATA_DL = DO then write(oline, string'(" OK")); else write(oline, string'(" FAIL, exp=")); writegen(oline, R_REF_DATA_DL, right, 9, 16); write(oline, string'(" for a=")); writegen(oline, R_REF_ADDR_DL, right, 5, 16); end if; R_CHK_DATA_DL <= '0'; end if; writeline(output, oline); end if; if R_CHK_DATA_AL = '1' then R_CHK_DATA_DL <= R_CHK_DATA_AL; R_REF_DATA_DL <= R_REF_DATA_AL; R_REF_ADDR_DL <= R_REF_ADDR_AL; R_CHK_DATA_AL <= '0'; end if; if N_CHK_DATA = '1' then R_CHK_DATA_AL <= N_CHK_DATA; R_REF_DATA_AL <= N_REF_DATA; R_REF_ADDR_AL <= N_REF_ADDR; end if; end loop; end process proc_moni; proc_memon: process variable oline : line; begin loop wait until rising_edge(CLK); if R_MEMON = '1' then writetimestamp(oline, CLK_CYCLE, ": mem "); write(oline, string'(" ce=")); write(oline, not O_MEM_CE_N, right, 2); write(oline, string'(" be=")); write(oline, not O_MEM_BE_N, right, 4); write(oline, string'(" we=")); write(oline, not O_MEM_WE_N, right); write(oline, string'(" oe=")); write(oline, not O_MEM_OE_N, right); write(oline, string'(" a=")); writegen(oline, O_MEM_ADDR, right, 6, 16); write(oline, string'(" d=")); writegen(oline, IO_MEM_DATA, right, 4, 16); writeline(output, oline); end if; end loop; end process proc_memon; end sim;
----------------------------------------------------------------------------------------- -- Project : Invent a Chip -- Module : SPI Master -- Author : Jan Dürre -- Last update : 19.08.2014 -- Description : SPI Master Interface ----------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity spi_master is port( -- global signals clock : in std_ulogic; -- 50MHz Clock reset_n : in std_ulogic; -- Default High -- spi signals spi_clk : out std_ulogic; -- Signale die über den Expansion Header nach außen geführt werden spi_mosi : out std_ulogic; spi_cs_n : out std_ulogic_vector(1 downto 0); spi_miso : in std_logic; -- Signale die über den Expansion Header rein kommen -- interface signals spi_slaveid : in std_ulogic; spi_trenable : in std_ulogic; -- Triggern der Übertragung spi_txdata : in std_ulogic_vector(15 downto 0); -- An den uC zu sendender Befehl, wird mit dem enable Signal übernommen spi_rxdata : out std_ulogic_vector(15 downto 0); -- Vom uC erhaltenes Ergebnis, wird mit dem zurücknehmen des enable Signal übergeben spi_trcomplete : out std_ulogic ); end spi_master; architecture rtl of spi_master is component clock_generator generic ( GV_CLOCK_DIV : natural ); port ( clock : in std_ulogic; reset_n : in std_ulogic; enable : in std_ulogic; clock_out : out std_ulogic ); end component clock_generator; signal rx, rx_nxt : std_ulogic_vector(15 downto 0); signal tx, tx_nxt : std_ulogic_vector(15 downto 0); signal bit_cnt, bit_cnt_nxt : unsigned(3 downto 0); signal spi_clk_now, spi_clk_last : std_ulogic; signal spi_slave_id , spi_slave_id_nxt : std_ulogic; signal activate_slave, activate_slave_nxt : std_ulogic; type state_t is (S_IDLE, S_CLK_FALL, S_CLK_RISE, S_END_TRANSFER); signal state, state_nxt : state_t; begin spiclkgen : clock_generator generic map ( GV_CLOCK_DIV => 50 -- resulting in 1MHz ) port map ( clock => clock, reset_n => reset_n, enable => activate_slave, clock_out => spi_clk_now ); process(clock, reset_n) begin if reset_n = '0' then rx <= (others => '0'); tx <= (others => '0'); bit_cnt <= (others => '0'); spi_clk_last <= '0'; spi_slave_id <= '0'; activate_slave <= '0'; state <= S_IDLE; elsif rising_edge(clock) then rx <= rx_nxt; tx <= tx_nxt; bit_cnt <= bit_cnt_nxt; spi_clk_last <= spi_clk_now; spi_slave_id <= spi_slave_id_nxt; activate_slave <= activate_slave_nxt; state <= state_nxt; end if; end process; process(state, rx, tx, bit_cnt, activate_slave, spi_clk_now, spi_clk_last, spi_miso, spi_trenable, spi_slave_id, spi_txdata, spi_slaveid) begin state_nxt <= state; rx_nxt <= rx; tx_nxt <= tx; bit_cnt_nxt <= bit_cnt; spi_slave_id_nxt <= spi_slave_id; activate_slave_nxt <= activate_slave; spi_trcomplete <= '0'; case state is when S_IDLE => if spi_trenable = '1' then rx_nxt <= (others => '0'); tx_nxt <= spi_txdata; spi_slave_id_nxt <= spi_slaveid; activate_slave_nxt <= '1'; bit_cnt_nxt <= (others => '0'); state_nxt <= S_CLK_RISE; end if; when S_CLK_RISE => if spi_clk_now = '1' and spi_clk_last = '0' then rx_nxt <= rx(14 downto 0) & spi_miso; bit_cnt_nxt <= bit_cnt + to_unsigned(1, bit_cnt'length); state_nxt <= S_CLK_FALL; end if; when S_CLK_FALL => if spi_clk_now = '0' and spi_clk_last = '1' then tx_nxt <= tx(14 downto 0) & '0'; state_nxt <= S_CLK_RISE; if bit_cnt = to_unsigned(0, bit_cnt'length) then state_nxt <= S_END_TRANSFER; end if; end if; when S_END_TRANSFER => spi_trcomplete <= '1'; activate_slave_nxt <= '0'; state_nxt <= S_IDLE; end case; end process; spi_clk <= spi_clk_now; spi_mosi <= tx(15) when activate_slave = '1' else '0'; spi_cs_n(0) <= '0' when spi_slave_id = '0' and activate_slave = '1' else '1'; spi_cs_n(1) <= '0' when spi_slave_id = '1' and activate_slave = '1' else '1'; spi_rxdata <= rx when state = S_END_TRANSFER else (others => '0'); end architecture rtl;
--Selects what is to be displayed based on the game state( Hello message, or Score digits) library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity SelectFSM is Port ( SSDisp_in : in STD_LOGIC_VECTOR (31 downto 0); RstDisp_in : in STD_LOGIC_VECTOR (31 downto 0); Clock : in STD_LOGIC; Reset : in STD_LOGIC; Change : in STD_LOGIC; Select_out : out STD_LOGIC_VECTOR (31 downto 0) ); end SelectFSM; architecture Behavioral of SelectFSM is Type State_type is (Rst_state, PrintNum); Signal state: State_type:=Rst_state; begin process begin WAIT UNTIL Clock'EVENT AND Clock='1'; if Reset='1' then state<=Rst_state; Select_out<=RstDisp_in; else Case state is when Rst_state=> Select_out<=RstDisp_in; if Change='1' then state<=PrintNum; else state<=Rst_state; end if; when PrintNum => Select_out<=SSDisp_in; if Reset='1' then state<=Rst_state; else state<=PrintNum; end if; end case; end if; end process; end Behavioral;
architecture rtl of fifo is begin end architecture rtl; architecture rtl of fifo is begin end architecture rtl; architecture rtl of fifo is begin end architecture rtl; architecture rtl of fifo is begin end architecture rtl; architecture rtl of fifo is begin end architecture rtl;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oIGoZkz1XuxlOTujE6yuFCOjEt+UAX22IpO9ZEEToIq/BKUfqEHyhH46v4vsii6GIumLdRl2ZjYj 3h0NK+op+w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LEIdb6rHk5I6gbmHUmB1ZXg7MFSk5RK8EvzQ9I1FdUMQgWmGVlNNcJ4gcOWHuoM6OpM8JTizv2SD zBBk0CyMUADXDX/Hnlmo4gEXsDWp8It+wG+0lTX9V1Wu/l+rIGgg1S3El5VaqxpwYSBtq5z8/f7f l6bBRYcD7m9Jzi25ZPo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block toB/kKFJBSGSLU+MhVixmaZO54VzTfVzsDFUhIFU/CpcFGvn69PYNdwRDVkrQvJaDzKeUAfQ2vJg Eo0xC85oDUvQsN+O7rBXmDJDQZu9BE3B08+aOTcQijKDVa51RNKk5HKPrnl8qoNqvSl7x8+aLZ5W sacMvk8tOaTCQotIOBP61dr01MXKDcm/j+f5fsO4UK9TgYUt6HE3RHydkTYQij9A6AFvvVaCJPQn P2HG8fwKfs8kUjZpT6EKlmfyFu14j+0Sq1yox5ZD8eYy7VT/Ed0IU5B1nqQT+3lj4EOgNOq3h4Ub MDD4lG1qOWa7j6D0GqEMYnzwIF3lZA2s32sD0Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K05JRgQ7XOQtwQ0AO0BnSBebiPHnReis4jtaOlT3kMcaSIA3h2drZuzFtrepsivnqg/aHU5EfM4x RAwO6A5SHWSkG2uDQ1d/18T6Fmw+eUrmOInyjHYSNeszllArpLoOmRpdFKhVkKJr0wy48RzMFkXr WzgXlu+lXWnBZo0AP6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hs4bPozpqm22hVLLpZqGvsRW/ZOJEP48ZfaMRrXIzLKElfDV4XR+x+8pQj3ZFDBAEPm9UI18QN2o h6biSBFwO0QGxNJ7QeWKfvqoQ7QTBk4aPCwrlmW0f+Ox2Q4WVllW+1qzhe2SrO7QC4dG75qVcXgy vyDKzfNQDZyptAfua3I0boir3qtkBsmzjDCKwSC5Hr1GoXlAugWxzZ1fHofc4yjRn6oVSWCr345l FLJqSa42mP+zDf7y3Rs8KVWSKcCOB88VmfF5JsaoYXY+3qIWKk3sivxLhBGD/Vc2eGLqQJjNniOz BpPSZY6Y6kxA7sU/JARkOrDFGHAjnyWvCHgg5A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10832) `protect data_block Y2QHi3NTM0XeRkEYURNogBICureew3GFCpTlWCN922W8YPgaJ5aduS6kfkBEJlSbglDSyUOY5bbo dGWyLBjH5AXpSUpHSJUGrpy1IYTVGZnr4DIJb2x24XdRQl1HUQKF6izZeYPmS8X1jmhqhOXdI2bI k/2KnbUc02tbJALm+NcIK7NKaEJcdEOwQB469PWw5Gf6jgQg5ALzfCx/oiAc/imyxVWWtoLMew32 s9dm6E5Cf+yMGdjhHdumysxghCJbhapKDbHLeP72QuJZSbAI6DR4hu73Ids47335Vf2kAN4yLQdg d4D14qACSA426r173DMoYoOHurOOVd26JbtZEwc/PxQdnvCZ6OTdePU7bzWirl4O72g7PrlcXatg 4bzttnfRwXMwWxQWjbSkMng5EEQan397LnCcCZ+dSQQgxEDEFP+Ji5rk66dEz8LFpYyLE3Jrpk0E XU2UnTuj8exGqQa93wuMaHn/jt/8gy7gJ8/X5KgXmOUTd4MG3CidOjfLnhoe/O0p9t4Ar5vmowzq tuMmxi3NmNSH5aAegWAhbQipARr58c/izOB3dGu5XAqfo5Ljz6Dg+2YfvQQm/MnO0acTN7G3Kqtk wiJLqWp8Q1nT0je16Udoj8bDU6y4g6i1CZ2p7eWgk1+dDTBOpCjeeo99F/ugb97ENXOe79+kueZM B+gWo5G+D0rWhH6vU/F7BvWelYyGyddwt0hfFwAkaVt89sCaBYg1d1cmv3ytMVe2zQUI3Z2JOPk3 PUM9APd8M1Z15y7Ob58IuetbpUzkKS36ikpsSndta2tfgnhNMyZETKD7jC3pHA3itp3p6mQ089I2 jrioBlmu02conhz49rQ2wJRCtNMH8GWNdzOFQ2FoNbU7Od+At6iDd1VtKZWNEOKyv/9GfiYjnYlf bGoHzykgZhHdx/9tcXF0n57cfxhvklQYzKvrPZ21Pr0JB51+0QQiGvClPXJgTLQBwh8vnpbpf5a/ sCipJa0B9iLXDg6KaP4U+bBW1xr3JS6LF29uBe+5BJCrsAMK5N/Gon6DJRJD0JlFJrUjbE/m4p7A rhW+h5e206IdakdSUt/IsXTna2y92th5p51OPl8hzvRoe6sz0jJh+lWbdP2YdqOcyCjEeYZJVh2d TmkSqrueruVa+6TR+oYYOJMvfwKLYTc88gvLxXEfVv0HiYH4SnlfK54w3nJe68HYSmDZCuepcOGI dMZ2JmOjcWYM9Wo/pTsuBz6TifMDk734xWwsyjpgem/aSFQccEponV06Y3kbs8tW5iRTN5oW+7cj xPXMLvz27U2K/fHSkJ7rEbp3mbR8acg3mp0jLsTkaOfkKLoAuMMlhzkfamyEgTKKiGGjaDGMJjbF tMymQ0xe+G5v2dZotLNPM7iAQaEbvpfHEJEHnDzXr0hPSWY44sKx9iaAZMplRwERwezOb3pti2bd n1waMzjUNnuImVHQ6toTZtMrMqLIqJs+X+zfsrkH8IiJTjq1v8QApbEf6QgHOXLPYf7/aOYUI//Z jmUoGPvwFia3ELh6D5fTjjS3aIjfJTD4yt5R7SQEay3CCnnmp4HsmRJOcb96RreHaGDspdVcxWR5 XOlLtYR7hOU77Zg/NoinVc6od0AjO2e/ApL9qftr2tQhOpf2oNFbZ6Di8UgglSAvaDfoJNT140UF pWjm7fldcznejPJ1OpwnzINtgxHYpsCSusBFsV+pbtTBt7RLK2GFjeORuy8hSavE7EoOfvQfWU8E rY0N4qSYz0wIxM0XGMMagYdEGzoVD9XoK+UgLyfasw3JcF3E4CByrBOoxfG8v6q8N372k8a+RKVt Ys0yM/ekIwF8h4rBGuLcO8uhBM5c6DILN3Q7/ZfBBdqxAhgHfqYNg+iPXEoPjFSMWc6U0T4pXiJG Rzb8uvdnoX54B8DrQQjqqIhDyz9h+6Yyb1jMbsNiYnXsWJQ+h8X+yegXBXkrFAA9AM4uYCn5DW3X uaGwI0GnFHpyAfTJYRLRVcoq2senxFcpA7rBm7k/dQXiExabq56j1BSMN4fseFb7UTc5ADJB9Fc0 1A1qbyyRs2gDAX+OVk+rMXmknmAiQCrWV4MAcny2peHe+Y3yAqxGsbHRzPMKliNTeEm/XCgma7D0 DKSEqzpgLzRg3X9CeaK72t9hAavtnWqQXiLi/ZYawoWZqbkhW3av9zhb1dRddQP2x837b4T4c305 amlJP93WmiAur3Km4y5on5uyjhQroOLzCRd+XkuWInHmiWEmvq44FeEHD4FT1+xfzw3PlYjcFQ5c M8t3CLFDwmR+Khz33tg4OR2Fizz2bXqSR/8qEvYmAT9jR07Ruw+/ttFv0mU2tBDsWxenUR9EmV4N OT6/hJSENs9zMBBMmOuz72p5ZmsuHBjBCk/Ko59SuSHrzunYBz07zOHvMfTQ3BQUNC58ONyf7Bvn Mr7JQRNalY70K9CviXJP5R1HGraEBQRCW47e28I/RfZXPKC1K/EJB7wrGkkkIeZq53+UAPGAQ9h0 sSFAy6HwguDotTbxLd163iAfHIuqjSBLdKgpEAKLseAr3n4synRchDIslW8PZw37IOQWdVB8eGpk yXml2ruc09RnQNiaYY4XGaD+9ow5MHik040DL+tOQf1IQDN1QT3LWqOD1sksjLu1Atu6N32+jF5N mOLkrRQ2lDCNUtEz60DNb0W7E6tEdbPAifASH8FIb2Nl2gwzghjzykpT2L0pbAHYNrT6SCCz3GVG PELK7pZgP2IWV3DFKsYk21LdNW/fbV8ybd9oVTqicgop9JOyv/dCOZH6adugP93oM6ugS0teSesh YQauE7dXCASYj103xd4EHhRVi8P3FANQwb2LiVF0wDElZjKjb94izJGfhdHJ03+/lJEFlXIe3V7n GBi7U2ViINzizFh8nht1PcsB0Nk9MimHst6fw6i+rPk4oVX82LvqDHwchFB1z2IV/03Ho8/jN90r zCs6t83gc4UDr5aP+K9OiA+3wkq2KvkUm13YHNxxz033e52sWZCnplqBq/0BDlXh3XZaIxwURkmE CYA6JIWS2L6sT9GjEQf3ea3mQUjDp3r8ailqggTNLCH9UOaRM37y+cq8kkdSRfMwKRYbg3Yv5ovZ 4Qc63hXo6bDiOh4HoVFDuz0wFRmfM++GJ5sng1O4Qln61uy3m+naxH6KsMgHNCPy/7x+Ym90jEBE EaunyTbTUdjxXYSckGBxihEf/QFZ+Jkq0D3dIYIDEO5PvYK63Oxue3rImBF/7wUiIXUkhp55trGa 70HcYIWR0/8RNxTODCpT5X0+GcTcL9b55R0ot3CmvTxyyFZYfMTB60T6Z6/IbW1zw+dbEA8EG6vV /WP9D2mMkdkEZ0+KRcRp+iLjIQ1nb6cdimWvE85kcTKXqDLRmBTTSkDhK7xczlCZI2s6SwWg0dex B5kKvpQHcRyWFa+DYGYZh45W8Wdym6lA74JYQQnDql0qo1uGyxRmDFqgxqSZnFIBxbCy/Xa+9mEQ 7QgiUiW8WeyjPtRoFZhHu3PUrh39tYDpUTskp7JUHgUpC3mw/P/QvkNcmlUZmbXXHkaBZSkwVSe0 /ZgisLP1SxlzyGepQfkji6RsfY4xpR89nOQqz+setjUY+gqbcgx+DaYQsXR7Mc70KfLMpZOiM3AE p4DR2aI2/tnuZKdgnMApe9BCpr3H3SiOd2xCXtxtWld3yZ3Ffp77Xiuq+CDA3tgdDxP+TfDiQp5G eoZ7W05tT7CrvRe0p0zjAqI2oLO0F8TVywR8ZvsioANN2SmTYEMKN3NpSVKqMFcm6W9tNb0APLO3 +TkUo8KcHuPHnA63JjiDEbfQ6wOXZeKH88X35jjyH98vVS2nBlKStcEMOVN3hZatRwJHxrZ8Zniz C2tOGF1/jdF7vU8ANmCCnfpr2mAI0kum1utlusqpkw76jZUnxZWUEGnIjPAiKtFZHbGRgUzGLJWB DZNFmeNJfVtMqi+3Fq5ULD6oDomXBmpWexdtxy8240ezQfa60BI/FhcvRQl3tJcB45MoJWYEeq/D xZh30OzJPl2u/bxgoxpwcGO5YlfXfEswP3Bu2VK8P5OlzfR1hB128wYaAGQ+9meYJMHk4dr09UMz N46Ywrd2ID98KoqVIZqmSo6ndRTW8HI6G5Ky9ajr/umPA59q2aVSA07xlDrzGYqDhBrbwiOWdv/8 Kpk2AcLn0VLgPAZwedMqPy8swiVxUFuV2NvGxqXOZ5YQ5HyPYG7UQP88p04PAP7qfCavoyHHSSKM +Q3sCIPcstdXfle9R/lT1EkKMHYS7YL/kqabaWw7fNhCUHokeQAkDx38N/gRSp4xDjEX6ZiEZPWZ EKpmrNjeNZKywYW93aF5nemNLexjO7EjaGbrKlBZ9qT8jpZlatWQySImNmKzpFKEyhjfJkT9ZGTX Rp9JXEzsDkJEmWpD2N1sC0KZEGJTngxNMKLAjjekED03sjaVrzrmClD4XCe1DnqwdBSukyPrlNZQ C6Ach5/UzHNdrp6QwuSxhtcuDBqnsR2EsJZfG6TvXi5JpoQcHm6Pqu44eBzocGNwzqCYLYIZhQtJ 9BxkBU7qJV/0nk/oYOeL3U1UicumdQfCE9qvckmMjfIX/NWNQU/On2Cuv2V/uiKf+jso3HqPXD6J zGYC5s69U3KdmV9i1zbnZWu/vCMO17cywXDj0kpxgSpQqxfTmQvolDj1bcLIYUSxi4Mkpsa0l5yh 9UjApOT3CxUreq5Pm7VnL5FiRsGy8aDak5g9s7wdoJ2gEjrSEvsrHQKcHJdDH2GECd35kLpS75Nc 9TtjTRGyX22hyX6yt7KsvGy67xPTVW3FyyrNbbl9Yfs7Uvh5IeCbyBZdUnwPdAgLNEqPg9+tyGgH 8NsyMcEHkssHZ6whZPJ2qAPFi6FmM7vhOSwXV4gD5KG39a2gEakMJlqI3lYLF35ItWavXKA1pLU9 enGIaWpxNmhmFl6GEDw0dI7xTyajmjtGprG6sy5gakNEY+vltFaC2e5JhwoPGb8HLrAPXpeSr7p+ +oulR5EsZlHIJdDdW1riLHhOXukgpRl1xSgDzuotfUd99z9bnKBvF4Q2cUogZudVJ02yo0w4AKs7 8lRzNZIXD3m6VZ5bDgVVIg2zI+GLjgGf6Zs5/LMZOSmTAuFEDZauPvC4v7U1bPeJJ6u+zXu+P0RH EBSEle6B9wOKn2nseEoOJ+x7HeRgeha7yWd9cil7UDUR26sx6a+wBpl/CREVCZciolLIssiJ4fdL ZedERLCVHedWQbUS4GxQ/2uBPOBck3IxLBtr/nbOQDnWv0t/8UZcmCO+eV4RRJTMN2wybKsW+s3F 8QtJbH5XIjymsl2xFBCwOkuqnlPzq7qULDnEeUtAy7CdOKG4liP3C+EWJCE1zxUq5g5Q36uBxvGV Ivkw0AzUlWvwIn4jhg/3v9DQdb3pj99w49jimBfCJ672t53HuJPhyyGnupifZiV75WeziZIjIFJ6 4E5bLVkGzdG0l/GSib801o7mDPpPGfN4eS/IERMr7CFd8PKp7vkcWTt9S8NjkicHZAlAzAM4pxXu bskDjLO7wDeW0JhX3r2YmLyesDKF+f+VblDAAptAJ7ONYghf5pOv1w4K0oeE68Ypcywa13fhGSn6 XyqOuZviYqNeRU/0t2mUXNQmloBCCvAh5Fpg4w9s/vliIlqm3BVCeFzUUuEZyZZqbo/sT85uUrDY psaCjKHWeBn9qvPpX/AsQBBGm1u6MPajyRaKJ6Ml4QaQYVxk0v9eCmQMt+hJ963A0tl8JcqtQ5Af 7RvAaQGme41rAPTsSdUaO+GLr5lE8hoh9WqIH9bMnY03W/HBuf2wUfBGkHQIHc4Y3TgRnWgKTe34 ZGVMdPu1Pfex0VBpeKxlT9FOEh9Svuf5Su0oDDrDg6WkTPF4kejHLiaVOOJQX0Cvmwr2jGy2dCSx +/u+PHZWS1UZkWXGSoNjn2xTv1tVByHIhd+Tu9tJN/Fxs3s5ewoeU+bGmN8DNBdEHdNBdmYVPSPH CZNlXdNQtH8fk9SaqG6VYOY/wS/iCLvVFTsaRE2uWCDXzI8jCTwrj0FyF/5VqGa9UYjLFCxx2LUW a5mu2f0oXKZCvh/dHXp2jLi5iI1KW8UF623z/REaTnwFhXGYT8RFb/31IVlJt33jCuojRFifC3bV BoTlmWciSc7BGXXE8OrsCJvl+h+M96Hq/JF4ftDTKWo05fCXZzZZsTUa2utCPVS3ISUVtZjKii/3 uGmwbcYnj8NjGxtAImtef2jT9NhZYv5RooA1Rh7iWXrOtLU4O6ZtHdehw069pP1C1ur6l9YChiPR xRAsnKMmwClMIcYAK95w3pD0+eGvbONplPujE8gEMpgAYuRcwc8fKzDMkuA2hZd8lDn6Z0K0jU/E y/hTZlKE58Zw/dkLnW4ZS29qhEoRtRoIsMGoT3M6BcNZZzzp8sryNyrceCScv/2MD4wVvkthDOz9 tqQhClxYISUsiZRt13m+P6x9Hku+RRt+NF2InVKQtSvkXO4T/M8GlFllsbzYF2Dq4sy3c+H6hlPJ WduphYf/vPBMlmRYpmSkKXiQY0w8BHRrXptIPrP9mrKhXUcHM8yOxfA3zW7/DvRxctVGBl320GtI PWqu21AQ/vcX3bLVEQpb9DP+r4X+L2KZMVrCYkDpx1w3nr5elIO7oH0GQS+RxCnjP/pUx+ZInC9m xmpgz2tesfP25oGpk2qjYIF9ptVDkZkzzqoY8KfF5CHM+0NU2J28d9jsvCh4BUFliq2oj8cbNLuL PI7hiklP1+O9oXk/MTQ4lVzU30q4w30Y8uzf3xYSkFiDvQ2x4nmDhtPgw53QOALrRCVb52aoxa1a ws6ErnfM19GvU0vziA42TqG2qIp9BiJyCsiAbFrn32H/WqcJCrH/8T6+EEOO6UMb/pKbI+0ZQwie rtRTj7m/0wraMyegjmOjU7Zm3+QFJ4eMXWk7ed2pzJ9vRffc97ByhuspsOI6HkyHaLUxvQi2A/Gc fMvCzYl+h/cpvbhIKBwe6VrMuIKRSlOeaH3y5aWCzbpAOlPPRP8eYj8IxXs4iRk3EE48WbC5wWOU HvlYmkervJuGHexkMopvpD+ixgr1uO3v9b8pPJVmBy5Na6APotjmJrVATIJU81oUVXbMa38OdjLj kp9TD0Naqt7GUcI+xUD1fg5AiAFaO0ip/ZYC4WCapb9lypsY7hv5ang02amxH7M7H5HxSQK65M9L gF5PZ3IbxWYasecQv1vDj8b8on46sy6vU3sOkE3HoDpGpwCaanF7+kJ01BFK6ytiX7iAl3cfGwbp /6xNsGEIhY6dXYP/YB4yxPqPnebNoqRhj9BTgZYZUyAN4dyhBeUDaGJhdjrR/M4UauMhrL1vbkdn RxRTDbVmuYvPYoMtYBT7q4R8o6oq+vVCIn34VA3yIoRDettYMG6z+rWs2VtOp3eCRexpBrPdgLpu xrKeOr9RufXKetVHATwTVg51v4k9/LiIusVSONHS/cbFaczliTPd4+ppflCbmmdiawfveKRtzroP qt9TLJHNnNGM7iSCAa6sKTTSRRM0XBjeZ9isn9g83sZjnAh8I36SYvMj0Zji1C/k7ObH2X1DMPje gATcVJ2K+sP3w70ya6FbJIHLp/ZeJfFd+hQ0sPMGg4Jn3NBc8jlHgMXVF0batV5Se/yCC7SOXLpw 1mUFuNu0lf7ZJWBFuah6yMQY3AtLYrnM946wjdkkAkmU8XuGNM8wO51at9Cpiaa+uLfF1i308UYZ ZFJZ13SGD8apqi4zxTD+6PAX88n7ad2LTPfR/iofeVzlP313cMccxe060wTe7iufEvGRO6TTQf91 9wvEAchFczWVZjigo5U7qyImu+DGiiP+O+UCdcePbt4C+WlMEe76ufbB+cv31AB6EYpLQivprEI5 MPyJ35GVKw+SqWvhM/cO4lnRrLOMuybC9Fi5j2RuN8M7sXkza5t5fcpgoUkwUqmCmtCbZDkNx0zd +MqeHYZsfoqtWsbYI1GcdCAQir7lh9moiYNWZIlm9V9UrfL+JgkNhHsWaqRHZfgEp49cxaU8js3S TIEiNA5rOl9ZF90AkWx0wPJdKLzoroPDKZ38rpZ0V7BTvjObGO6oRP5khe5YcLgaduKSLyAYjN7g VXouJzx2eRXm1Ju3Uvjz2HnDsbeY6RiD6kQGMoTATIg/If/ymCc1VTjP97eb07CT5BzZPHkEQ2dL Gr5+V2ZpcpmndYj18B9onMzlf9Y3ZDDGc/ZELt4wIr4r/T1Ivp1kj7FKjSq2mibPEUHP+xv3famQ wumiQQ+79hCPAlJd6O7/wQ8hcRGVOy4+TDchtOcee7JXQdr0/9l46p3txWNoZUsh5BGdOtBMQ1gR F1uNMkEBEXCterzPcanYZS5POnNZz4xeeKwmdTsypE3Ut53rnrw6wfi9L+TQsRO70gde2mFN8Vje xEfF53Z9qbworRFklGi9mKgkF00v7ZEXaC+NjZapGmtEQmkNYhkY3/uvoywUAJHqdpMd/Q2+zFtV ZP2Rr9h1dn5zQO9wZM64Xt3DYxxJpZdZGBNBcwNCSPL4fkKQKKQvdRzdkc1wBuwZJ05AGVsW+A7d Cq8gXa+L3+DEGNsvDmLHPQTHUigYaeX5Se274GAE2eigu1a3/8t1vBYu6l0S+OvVGCz7BeQDMHHm bqr0rVnZLwjIaA24HPwZb/q1pbXYGtuKoaymsG3Q+uscmcFpM4/ZSm08H6+Ck1JhOiPmj+8lXi2s XnJJk9kIDQ+cFKBNt2hDQujQb7d5qul1g9VpA+jVfxW0HgYSMSCCWYDZ54zLMYBwHFJ9OmlFBLrq Qzsoigi9dXA8LnLkPosPYdGzCJ3sRcE6PvDpgzt+qe7I84xtSsOSw1oXOBXLgLaUT60cXoksBzRx 11Vha+etYL06j8ZezS45rmru79KPccLKucRtJCgfIJLLGVAVRTqop8/l+48sNkKyDDwUAhwkWabg DL4aYxUab9lF/Z51AllL/v1Xgdc7SFYhuI/RKvNVMPtitvvbGgYRAMsAxafiCglTWpm0EnzU42bU LQjimcckxqaJsdPAYR1dr4wxc7M6fk+vPA87LsDS6/eED8LBpFwmP+RLhQx6clU4LzJT0FM5uVVl 1ojyNwjZoP4KkVdneyUz8eFlr9VC2ZfyQ9XoE4mRU0SirqgOJIQ2JTAHAdHkl6U2mwMGvTQknLRV Fz7BY6s59FYDg9siEVlSoZ0PBs3Fu4d3Z62LglzdxfvAWbAQNJfp5yU0P2DyOj8+f1v7UI67XCuW bjy3pbtQ3nrFyz+1PbpAE5AETZnI7LEIRltk7x/rHAlCgfdy24x0/pylPXeoUgbTtM2tQ32T5lbW T5nm36k3nrRFJvJpQbzFVJ6xCjQphHvlcGIGN28wtYFcekt2Qa6OLiTwR+qfQfNHjok29L9tBmZ1 oI3+II9v2at9Y3ywN8lLGrOjrTOPy48QYPAOai2oidOWTcKWYin1n9dUI/B/27i0GmGzKhyHPLBA QJOrkufpsyEJG2uq57PpxGK1UkEZaqlB/31FtxgVwNHPNrf+u7jutDEpLKBVC0sR2BmpchIcE66a uLVz9apa601MarWu9nBhmVJPWmiORnnAXfbu1/qZ7djwUCSl/GY09AfmgerHSB8FzzXBzllgB6AR cFuGo27V3AFFChEo3mMxrJa/n6wcHOJcX3gaqCBAIVm6n32Kg3TXfIVsFBwE6DKHYhi8HR2lOfIh GGT2vlUQ0P/EhrCmiazCWZ3J8AADFwyzRpHCjH4tLbLi3uGxtu+augfP2aQf5p8l9u/QBCiROeuu 2YxLG9F6i18Kc9erVhFMvJirqJITy9KqXg3gezTNg0SqZZfvGLOYRzxNQ9Px1cOUw/9v4duUwcYM XBmQ5N4mftX6V7glZ9kaFqCFB/NKAMN369ge4OCWiUdyR1CBG/2cngDWN1E+tNlQE9gvvDDacqKh 095HjgpwWMkF6Ek1936sDmQEepFziifEC1HgY+RcZ0kADJWw/jufUAE+d1yZKXyx8ceZHJMJ2aCc o/hLS/cXQ5QcsVKyXMEarLiC5tM6zXF0qj3HoHT2FmZd+pMJc6T2ubvMdOergiCBh3PROLC42B7I bhJB/8zECrOsmQLF2ckPNQQi5uH4EQ3KyH7xER6lwPygYyfhjc2BtfTPR6uon9Q2VfErP3ZAoOqj 5CbneOeyTVi+2MhecxpCieW1cTJFBRVSuj33hy24jsfHiRaeJ6jCK0dEnYhZ+X964a/Fd414K3JF PxWAXclYUfQBm/TG2KRlgtuZt/AijXLSgsIYbJs+0q4pce/NOZWnHY+MaezTmCeSw3Uarc2/UkaS 15gJvYsWDe/+QlIHYTeGEQx5NTFPFBbL2SkClpJ7CcrMj/pJjjn7aB/O3LhoZgTOQa4Ekpu0yyv/ MCDRCKQJSfxq4JzInivWLk4R7W1JlKJE3WfNBA6/5qB8eqTpTrSLSRxlhS8bg2lcPkMnHnbbJnir zzX48ixEi0rFeutrBnP34AOckDNeQuJ9btl1QIjze7J9KVkmCmZICenmuZ3ngmnK1FKv5QkPmh8z QWGrTY+k0+wJp7dV9IcKKbZLwONJ3sjno4Yk7raqzMvP5y1ZYbmnQ2NhH3nnG9OOsRXQrMq5neey qlCJDx96anhfLt8/8qdHVCItToVBzyNQN0an2EVDZDLC4Pr1InlWvzlsdgtbwNKiMhzFu9rpA30v YdXrFh8YeblvhuG2DUWMjm1brbsbtDLYCy9SmWMidd0d7lRg42IIype2BBEGzL3X5f3eu7Lik2bl yqV7Bfz2Q3m6dlezuyZZH3m3B/S2woclZgmcJQJyPU6+0oeh1luL86vXOG20byPad/kt7oyj8Okx MnmkLOs9I3sJZsiu80XM6pKzsae+P8+fGyqkRuA7iZUhJOaM38xZMu4WKgOjU/qnwdQwBCVfzqv1 z+60ibyIyuKq/n1CfDgrUZwQJvDAh5kX0ti/Yhv9mjsW95YfXOBbHfpATiOolr3x0EfQ81fsnPLX k6RZnhx2D4pM2Eva8cFXOEWkK3+uDFAaHnAEKZPhDxZ8oUhiaWM8M2bU4sl/rZQ+4X1vKRkdRgsG 9AqVIj/f8xQLn0wDwNKBI0v9Eluxfh7LtHoCcFl8pcvNURlNUyWeYQGzkC9wTcpwwJtoWd+oEYD7 ElmeQwy1VGFlLaSAAU84ZcoJGdfoCT83PqZAFq/vN9LKCqul7CTVPUNZEAhnJrcZXWhwN+NdUg/p OysZYOgYR+9FW4kPioulXhf7ND62zYdojkcCfM1GMqkH9icO1LCwuTUSLO0RWqNK8apDmbCtmiJc 2369iQZzdDD87iggadYOBVZfqFOYjFpOsgy4eK7WMbWBpXEFdJvOExTryrOJ5p/jTlT1RDUUCtJR a5XUvWMlXvyu17S725PzEcTKeaWYk79JknhuHQRMfrhtEkI9EkKb/QObLleMU1tXgRoV0SYUTrM9 smSo3YZNxAWy5a7+3zMGMfRHdnHVOT0/Ou387nOePkwYWwmaHD11aMuGArMsp/lJPxu8F5N1cq3K Vhbj9VM/Vqku+n2zSmqSjItIHRqwY4SP9pkQBEW0y43OLtJhuO/vd3XrBhoXqdrnMC4Kc6glcg44 LGpahJT3bLCbMFKLOOGku1h0keosrQ91RLpI8y6eELLypT9W3X3yXyuX5ipOoIV5aE5gNqexviiv goV96kD0rnHla9eH64mCgFH+sRYkT+a2+k0o9YwWLbpUxz3FY7y0qckPcVNn/YpFZVSczLvs/HkL /D8cxE/7lZAeNbTox6S7+czFuYTOSZsjTRvfB4siyf7grLBEowRZt6I+2magMpstoBo29mF0WUsV CzHE/Vl7ush4aLR5pvtjv0I6I+23ip8zohwIOde9QwC7d3Z28rx88b7T8wHtgjMmaZ1D5KmMv566 z/EihihStOc2yhkZ7DGuWt4YFMbNvPKPchngfpk7KszqwSZWrU2VCJDO35lditICy8CtnoZtqczV FTpfHZtKgAkvz8mmMavquiaQF8Te4PvHGoSYMIDgOf3PDZ0JU4mhvb+oVOe6jNiEkm2Hi7MRSsqr zK0MQEOh+NOkC+iAPM9q2LZ7K6ijCxA8xLFEy2cf8Q7Jyq4vd+j4k8b0tFoAu5S6n1p4U2oT/CHj 8+PJqUOjnNRjYGAEDiGpIIyH5ssk5P0Gyj7cl5IfbXBO8RUHo5BXMxHY3NgdWzjywSaMPL/1ulqI 1BTOFTEfLvERkF7qRkX5xv2yf3aZjqrCNVZ8ZzxAR3Q+GljKQ4SekI51UOrtjeEv04Mv/2hklBTj 64mUGRjDgxOHOk2c40Nph5O1KW49PpF7ggtI/t1rT5/QOkQdX/Sl+n5M6RHDIMO92goEz9R8gxAv /ytUFYzD4NqMyrti3gEYZ6t75OnZxy8xUwixo2EypgDVrRiwouS3bHw8tA8hH+MsA3b+avUeMtuk 6nsnhpGB5KqgQoK0YT2wObZcqYBfqqUIKsJ6HGHJenqAUZSvcD1X5iOT4MGrWqmKJFkivcxzb4h8 jrwIU6pzNK9FzBK6kBrXEmzgMh1aTH2yXBcwpmuSB5fEdZ3KnvJXW4FE4sryEC9JneDamjAglqL0 146R2ggxbIkMkYB8amtPiPHe0Z1my7YxnNJI9uVlCPiL2v42PvnNrs7qRAO9Y+qUsx5RutHqucVX sta9k/yi0+/NIBd00J1T1Dl6+im0yO+k+stATzNh1SywolPJmNQ5baO3Fa2hVL2I7UYKFU58hG/Q J7g0ciII0X4zkLga4Gi8HWjQL3kg3EgHCJq3gO9OJ0nJYK6bUkaNDEKjjKN3lGnHkfoKRY6wivX3 vHGdodAiUN24KAqV09N0ImMM1eoprTbOLLWNjYau8mC+XavjUw1BZzu8sAn0SG+OUaZaoqaBqWxr jOxbnM8tTQBDR9k1qJlg7kg+7u+6qbtFUOGBjijzliFi9jgZtLwtlr1N/fAyVeDUqNqEjDzecijA m8M2qi+dDYP2Slq7I3a+JgIo5LdSgpYVpWqDe+JgF07XLHPj78tobG3xI0D6LQ/+EzejcI80rbzI +l5+AmYME3iCRtfiSYPJNENlwtYo6tkVqZwBo7jbISkUp7OxhqQl2rftrHmkYvAkfO08uyXN30VL ynynbMI9bjhSEV9/0jmtACMcq7EwzV/2XksXkqHn75BEChH7SPUIu50nuicxySrdToT5mYkhn0pl JwElQBGHJsjScDCz0swlnJvHOtDBaFfLyYEbsSl9aDLxcCyGfJOtYnF/rG8bj0UiTAydchjGB87f ojfGdBwQ8sUHiFwVAXrvzVTxA/xFeLr9g3Z7uBhwC2MViifnrG/9WI4SUHxye3np6VuZNE3CMUA7 yMEvqZDdH86ifzpSsp0s2j7bZzZIHasX6HTgAvR4MdtT5aMMydTUyGIuNzqTiB6wTsPGCwaLx+i/ nZKkpDXzswx5niDmQlndctF+8s+Qz/mbbmp1k/CgXnq/PGNvxLg5emxmwJ1j+DBNTdJ+5aeQPk56 SpXvaHoj6MGeVxelmmooLFYUmaVr20e87YJIJjGa/scA5SmqBIvqBEbp0iHIYDbpjpnQx9NGAC4A rdXrK6FDIx3MVrpj4+GGe1S6lFBAmSNTfaduD2IOUdg3u0C7P1+L0eq+uS27BeZnrPNhfuGLWbT8 9tA9PZ/aSS8EXOB8E2WOfHO0+0hHMGArDF79MQcJlYUO4x8jrObT9izVv8HSJ0FlwGFNZ3mjoukE sawLYEFVv7YZofGrXb0dTKRXAwZsoN6YXTD/vGfd7ME7dNWWItEXAqC7B+61gnOhLZVdbIsvzaC5 x3LMj3ymayQkbX437/2gFmiXXWw4eKkXu3VKQlCkFuJOBEn8vZvutNI5KYODjR0gWCO0W7cQdGtj VwlNcuCNaA1DaHbFDralkwLfBCbNzhRBPq98IU2D3x7E6bCW17fiQB+LSpKt9i0DkT931QWJTewl Jq7y6w9bI/3Hk+wivzAIvh0o6exB7cURsy0ggg4Ve+/DeJS4VrvT3AtzRDT6CezWlZpJCUXPnHbw ynmoJDSvb+FKzh3WoUB9kRes/GkKZflvo0574EszLko3fC+oXjS2O+eLt32KYbDlthsElF35COv2 HcVjfsxrs5llSo2eei0aQaD6DTt0FnRsr2OB2Aw5/9LMFpE3tiUtSHOO604r2JoLCPXQ0Sw0UE/V mCFesNoRJ/qLlipxMS/rvhICly2CB+wU0Rjkx95fhotpQRIZ6Ojusi6guzPaL3oTQ7mM1kx+Tnw3 XaDBTHeIPaEnkJ9r9dabVdcZIKXX9Wli1bZTbW0uO/Z5G1E0tCkNmbmP2JtXR8fpmGLnbMSN9FoH NLphCNstnKPQsUJ3W9thR+wC6nFgQs6EPRcSSgrJaRspIoknFzMXeCsdGGFCWJB+5PyEpnQynnZ+ A4A= `protect end_protected
package pack is type rec is record x : integer; y : bit; end record; end package; ------------------------------------------------------------------------------- use work.pack.all; entity sub is port ( r : in rec; x : out integer; y : out bit ); end entity; architecture test of sub is begin x <= r.x; y <= r.y; end architecture; ------------------------------------------------------------------------------- use work.pack.all; entity record15 is end entity; architecture test of record15 is signal x : integer; signal y : bit; begin sub_i: entity work.sub port map ( r => (123, '1'), x => x, y => y ); process is begin wait for 1 ns; assert x = 123; assert y = '1'; wait; end process; end architecture;
-------------------------------------------------------------------------------- -- -- Package demo with two simple overloaded procedures -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pack is procedure inc(signal val:inout std_logic_vector); procedure inc(signal val:inout unsigned); procedure inc(signal val:inout signed); procedure inc(signal val:inout integer); procedure inc(variable val:inout unsigned); procedure inc(variable val:inout integer); procedure dec(signal val:inout std_logic_vector); procedure dec(signal val:inout unsigned); procedure dec(signal val:inout signed); procedure dec(signal val:inout integer); procedure dec(variable val:inout unsigned); procedure dec(variable val:inout integer); end pack; package body pack is procedure inc(signal val:inout std_logic_vector) is begin val<= std_logic_vector(unsigned(val) + 1); end; procedure inc(signal val:inout signed) is begin val<= val + 1; end; procedure inc(signal val:inout unsigned) is begin val<= val + 1; end; procedure inc(signal val:inout integer) is begin val<= val + 1; end; procedure inc(variable val:inout unsigned) is begin val := val + 1; end; procedure inc(variable val:inout integer) is begin val := val + 1; end; procedure dec(signal val:inout std_logic_vector) is begin val<= std_logic_vector(unsigned(val) - 1); end; procedure dec(signal val:inout unsigned) is begin val<= val - 1; end; procedure dec(signal val:inout signed) is begin val<= val - 1; end; procedure dec(signal val:inout integer) is begin val<= val - 1; end; procedure dec(variable val:inout unsigned) is begin val := val - 1; end; procedure dec(variable val:inout integer) is begin val := val - 1; end; end;
-------------------------------------------------------------------------------- -- -- Package demo with two simple overloaded procedures -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package pack is procedure inc(signal val:inout std_logic_vector); procedure inc(signal val:inout unsigned); procedure inc(signal val:inout signed); procedure inc(signal val:inout integer); procedure inc(variable val:inout unsigned); procedure inc(variable val:inout integer); procedure dec(signal val:inout std_logic_vector); procedure dec(signal val:inout unsigned); procedure dec(signal val:inout signed); procedure dec(signal val:inout integer); procedure dec(variable val:inout unsigned); procedure dec(variable val:inout integer); end pack; package body pack is procedure inc(signal val:inout std_logic_vector) is begin val<= std_logic_vector(unsigned(val) + 1); end; procedure inc(signal val:inout signed) is begin val<= val + 1; end; procedure inc(signal val:inout unsigned) is begin val<= val + 1; end; procedure inc(signal val:inout integer) is begin val<= val + 1; end; procedure inc(variable val:inout unsigned) is begin val := val + 1; end; procedure inc(variable val:inout integer) is begin val := val + 1; end; procedure dec(signal val:inout std_logic_vector) is begin val<= std_logic_vector(unsigned(val) - 1); end; procedure dec(signal val:inout unsigned) is begin val<= val - 1; end; procedure dec(signal val:inout signed) is begin val<= val - 1; end; procedure dec(signal val:inout integer) is begin val<= val - 1; end; procedure dec(variable val:inout unsigned) is begin val := val - 1; end; procedure dec(variable val:inout integer) is begin val := val - 1; end; end;
entity test is/*This is a /*comment*/end;
-- Based on xwb_fabric_source.vhd from Tomasz Wlostowski -- -- Modified by Lucas Russo <[email protected]> for multiple width support library ieee; use ieee.std_logic_1164.all; use work.genram_pkg.all; use work.wb_stream_generic_pkg.all; entity xwb_stream_source_gen is generic ( --g_wbs_adr_width : natural := c_wbs_adr4_width; g_wbs_interface_width : t_wbs_interface_width := LARGE1 ); port ( clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone Fabric Interface I/O. -- Only the used interface must be connected. The others can be left unconnected -- 16-bit interface src16_i : in t_wbs_source_in16 := cc_dummy_src_in16; src16_o : out t_wbs_source_out16; -- 32-bit interface src32_i : in t_wbs_source_in32 := cc_dummy_src_in32; src32_o : out t_wbs_source_out32; -- 64-bit interface src64_i : in t_wbs_source_in64 := cc_dummy_src_in64; src64_o : out t_wbs_source_out64; -- 128-bit interface src128_i : in t_wbs_source_in128 := cc_dummy_src_in128; src128_o : out t_wbs_source_out128; -- Decoded & buffered logic -- Only the used interface must be connected. The others can be left unconnected -- 16-bit interface adr16_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := (others => '0'); dat16_i : in std_logic_vector(c_wbs_dat16_width-1 downto 0) := (others => '0'); sel16_i : in std_logic_vector(c_wbs_sel16_width-1 downto 0) := (others => '0'); -- 32-bit interface adr32_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := (others => '0'); dat32_i : in std_logic_vector(c_wbs_dat32_width-1 downto 0) := (others => '0'); sel32_i : in std_logic_vector(c_wbs_sel32_width-1 downto 0) := (others => '0'); -- 64-bit interface adr64_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := (others => '0'); dat64_i : in std_logic_vector(c_wbs_dat64_width-1 downto 0) := (others => '0'); sel64_i : in std_logic_vector(c_wbs_sel64_width-1 downto 0) := (others => '0'); -- 128-bit interface adr128_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := (others => '0'); dat128_i : in std_logic_vector(c_wbs_dat128_width-1 downto 0) := (others => '0'); sel128_i : in std_logic_vector(c_wbs_sel128_width-1 downto 0) := (others => '0'); -- Common lines dvalid_i : in std_logic := '0'; sof_i : in std_logic := '0'; eof_i : in std_logic := '0'; error_i : in std_logic := '0'; dreq_o : out std_logic ); end xwb_stream_source_gen; architecture rtl of xwb_stream_source_gen is signal src_cyc_int : std_logic; signal src_stb_int : std_logic; signal src_we_int : std_logic; signal src_ack_int : std_logic; signal src_stall_int : std_logic; signal src_err_int : std_logic; signal src_rty_int : std_logic; begin ----------------------------- -- Wishbone Streaming Interface selection ----------------------------- gen_16_bit_interface : if g_wbs_interface_width = NARROW2 generate src16_o.cyc <= src_cyc_int; src16_o.stb <= src_stb_int; src16_o.we <= src_we_int; src_ack_int <= src16_i.ack; src_stall_int <= src16_i.stall; src_err_int <= src16_i.err; src_rty_int <= src16_i.rty; end generate; gen_32_bit_interface : if g_wbs_interface_width = NARROW1 generate src32_o.cyc <= src_cyc_int; src32_o.stb <= src_stb_int; src32_o.we <= src_we_int; src_ack_int <= src32_i.ack; src_stall_int <= src32_i.stall; src_err_int <= src32_i.err; src_rty_int <= src32_i.rty; end generate; gen_64_bit_interface : if g_wbs_interface_width = LARGE1 generate src64_o.cyc <= src_cyc_int; src64_o.stb <= src_stb_int; src64_o.we <= src_we_int; src_ack_int <= src64_i.ack; src_stall_int <= src64_i.stall; src_err_int <= src64_i.err; src_rty_int <= src64_i.rty; end generate; gen_128_bit_interface : if g_wbs_interface_width = LARGE2 generate src128_o.cyc <= src_cyc_int; src128_o.stb <= src_stb_int; src128_o.we <= src_we_int; src_ack_int <= src128_i.ack; src_stall_int <= src128_i.stall; src_err_int <= src128_i.err; src_rty_int <= src128_i.rty; end generate; cmp_wb_stream_source_gen : wb_stream_source_gen generic map ( --g_wbs_adr_width : natural := c_wbs_adr4_width; g_wbs_interface_width => g_wbs_interface_width ) port map ( clk_i => clk_i, rst_n_i => rst_n_i, -- Wishbone Streaming Interface I/O. -- Only the used interface should be connected. The others can be left unconnected -- 16-bit interface src_adr16_o => src16_o.adr, src_dat16_o => src16_o.dat, src_sel16_o => src16_o.sel, -- 32-bit interface src_adr32_o => src32_o.adr, src_dat32_o => src32_o.dat, src_sel32_o => src32_o.sel, -- 64-bit interface src_adr64_o => src64_o.adr, src_dat64_o => src64_o.dat, src_sel64_o => src64_o.sel, -- 128-bit interface src_adr128_o => src128_o.adr, src_dat128_o => src128_o.dat, src_sel128_o => src128_o.sel, -- Common Wishbone Streaming lines src_cyc_o => src_cyc_int, src_stb_o => src_stb_int, src_we_o => src_we_int, src_ack_i => src_ack_int, src_stall_i => src_stall_int, src_err_i => src_err_int, src_rty_i => src_rty_int, -- Decoded & buffered logic -- Only the used interface must be connected. The others can be left unconnected -- 16-bit interface adr16_i => adr16_i, dat16_i => dat16_i, sel16_i => sel16_i, -- 32-bit interface adr32_i => adr32_i, dat32_i => dat32_i, sel32_i => sel32_i, -- 64-bit interface adr64_i => adr64_i, dat64_i => dat64_i, sel64_i => sel64_i, -- 128-bit interface adr128_i => adr128_i, dat128_i => dat128_i, sel128_i => sel128_i, -- Common lines dvalid_i => dvalid_i, sof_i => sof_i, eof_i => eof_i, error_i => error_i, dreq_o => dreq_o ); end rtl;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Package: Common primitives described as a function -- -- Description: -- ------------------------------------ -- This packages describes common primitives like flip flops and multiplexers -- as a function to use them as one-liners. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; PACKAGE components IS -- FlipFlop functions function ffdre(q : STD_LOGIC; d : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- D-FlipFlop with reset and enable function ffdre(q : STD_LOGIC_VECTOR; d : STD_LOGIC_VECTOR; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC_VECTOR; -- D-FlipFlop with reset and enable function ffdse(q : STD_LOGIC; d : STD_LOGIC; set : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- D-FlipFlop with set and enable function fftre(q : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- T-FlipFlop with reset and enable function ffrs(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC; -- RS-FlipFlop with dominant rst function ffsr(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC; -- RS-FlipFlop with dominant set -- adder function inc(value : STD_LOGIC_VECTOR; increment : NATURAL := 1) return STD_LOGIC_VECTOR; function inc(value : UNSIGNED; increment : NATURAL := 1) return UNSIGNED; function inc(value : SIGNED; increment : NATURAL := 1) return SIGNED; function dec(value : STD_LOGIC_VECTOR; decrement : NATURAL := 1) return STD_LOGIC_VECTOR; function dec(value : UNSIGNED; decrement : NATURAL := 1) return UNSIGNED; function dec(value : SIGNED; decrement : NATURAL := 1) return SIGNED; -- negate function neg(value : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; -- calculate 2's complement -- counter function upcounter_next(cnt : UNSIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : NATURAL := 0) return UNSIGNED; function upcounter_equal(cnt : UNSIGNED; value : NATURAL) return STD_LOGIC; function downcounter_next(cnt : SIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : INTEGER := 0) return SIGNED; function downcounter_equal(cnt : SIGNED; value : INTEGER) return STD_LOGIC; function downcounter_neg(cnt : SIGNED) return STD_LOGIC; -- shift/rotate registers function sr_left(q : STD_LOGIC_VECTOR; i : STD_LOGIC) return STD_LOGIC_VECTOR; function sr_right(q : STD_LOGIC_VECTOR; i : STD_LOGIC) return STD_LOGIC_VECTOR; function rr_left(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function rr_right(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; -- compare function comp(value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function comp(value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED; function comp(value1 : SIGNED; value2 : SIGNED) return SIGNED; function comp_allzero(value : STD_LOGIC_VECTOR) return STD_LOGIC; function comp_allzero(value : UNSIGNED) return STD_LOGIC; function comp_allzero(value : SIGNED) return STD_LOGIC; function comp_allone(value : STD_LOGIC_VECTOR) return STD_LOGIC; function comp_allone(value : UNSIGNED) return STD_LOGIC; function comp_allone(value : SIGNED) return STD_LOGIC; -- multiplexing function mux(sel : STD_LOGIC; sl0 : STD_LOGIC; sl1 : STD_LOGIC) return STD_LOGIC; function mux(sel : STD_LOGIC; slv0 : STD_LOGIC_VECTOR; slv1 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function mux(sel : STD_LOGIC; us0 : UNSIGNED; us1 : UNSIGNED) return UNSIGNED; function mux(sel : STD_LOGIC; s0 : SIGNED; s1 : SIGNED) return SIGNED; end; package body components is -- d-flipflop with reset and enable function ffdre(q : STD_LOGIC; d : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((d and en) or (q and not en)) and not rst; end function; function ffdre(q : STD_LOGIC_VECTOR; d : STD_LOGIC_VECTOR; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC_VECTOR is begin return ((d and (q'range => en)) or (q and not (q'range => en))) and not (q'range => rst); end function; -- d-flipflop with set and enable function ffdse(q : STD_LOGIC; d : STD_LOGIC; set : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((d and en) or (q and not en)) or set; end function; -- t-flipflop with reset and enable function fftre(q : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((not q and en) or (q and not en)) and not rst; end function; -- rs-flipflop with dominant rst function ffrs(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC is begin return (q or set) and not rst; end function; -- rs-flipflop with dominant set function ffsr(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC is begin return (q and not rst) or set; end function; -- adder function inc(value : STD_LOGIC_VECTOR; increment : NATURAL := 1) return STD_LOGIC_VECTOR is begin return std_logic_vector(inc(unsigned(value), increment)); end function; function inc(value : UNSIGNED; increment : NATURAL := 1) return UNSIGNED is begin return value + increment; end function; function inc(value : SIGNED; increment : NATURAL := 1) return SIGNED is begin return value + increment; end function; function dec(value : STD_LOGIC_VECTOR; decrement : NATURAL := 1) return STD_LOGIC_VECTOR is begin return std_logic_vector(dec(unsigned(value), decrement)); end function; function dec(value : UNSIGNED; decrement : NATURAL := 1) return UNSIGNED is begin return value + decrement; end function; function dec(value : SIGNED; decrement : NATURAL := 1) return SIGNED is begin return value + decrement; end function; -- negate function neg(value : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return std_logic_vector(inc(unsigned(not value))); -- 2's complement end function; -- counter function upcounter_next(cnt : UNSIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : NATURAL := 0) return UNSIGNED is begin if (rst = '1') then return to_unsigned(init, cnt'length); elsif (en = '1') then return cnt + 1; else return cnt; end if; end function; function upcounter_equal(cnt : UNSIGNED; value : NATURAL) return STD_LOGIC is begin -- optimized comparison for only up counting values return to_sl((cnt and to_unsigned(value, cnt'length)) = value); end function; function downcounter_next(cnt : SIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : INTEGER := 0) return SIGNED is begin if (rst = '1') then return to_signed(init, cnt'length); elsif (en = '1') then return cnt - 1; else return cnt; end if; end function; function downcounter_equal(cnt : SIGNED; value : INTEGER) return STD_LOGIC is begin -- optimized comparison for only down counting values return to_sl((cnt nor to_signed(value, cnt'length)) /= value); end function; function downcounter_neg(cnt : SIGNED) return STD_LOGIC is begin return cnt(cnt'high); end function; -- shift/rotate registers function sr_left(q : STD_LOGIC_VECTOR; i : std_logic) return STD_LOGIC_VECTOR is begin return q(q'left - 1 downto q'right) & i; end function; function sr_right(q : STD_LOGIC_VECTOR; i : std_logic) return STD_LOGIC_VECTOR is begin return i & q(q'left downto q'right - 1); end function; function rr_left(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return q(q'left - 1 downto q'right) & q(q'left); end function; function rr_right(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return q(q'right) & q(q'left downto q'right - 1); end function; -- compare functions -- return value 1- => value1 < value2 (difference is negative) -- return value 00 => value1 = value2 (difference is zero) -- return value -1 => value1 > value2 (difference is positive) function comp(value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin report "Comparing two STD_LOGIC_VECTORs - implicit conversion to UNSIGNED" severity WARNING; return std_logic_vector(comp(unsigned(value1), unsigned(value2))); end function; function comp(value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED is begin if (value1 < value2) then return "10"; elsif (value1 = value2) then return "00"; else return "01"; end if; end function; function comp(value1 : SIGNED; value2 : SIGNED) return SIGNED is begin if (value1 < value2) then return "10"; elsif (value1 = value2) then return "00"; else return "01"; end if; end function; function comp_allzero(value : STD_LOGIC_VECTOR) return STD_LOGIC is begin return comp_allzero(unsigned(value)); end function; function comp_allzero(value : UNSIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '0')); end function; function comp_allzero(value : SIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '0')); end function; function comp_allone(value : STD_LOGIC_VECTOR) return STD_LOGIC is begin return comp_allone(unsigned(value)); end function; function comp_allone(value : UNSIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '1')); end function; function comp_allone(value : SIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '1')); end function; -- multiplexing function mux(sel : STD_LOGIC; sl0 : STD_LOGIC; sl1 : STD_LOGIC) return STD_LOGIC is begin return (sl0 and not sel) or (sl1 and sel); end function; function mux(sel : STD_LOGIC; slv0 : STD_LOGIC_VECTOR; slv1 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return (slv0 and not (slv0'range => sel)) or (slv1 and (slv1'range => sel)); end function; function mux(sel : STD_LOGIC; us0 : UNSIGNED; us1 : UNSIGNED) return UNSIGNED is begin return (us0 and not (us0'range => sel)) or (us1 and (us1'range => sel)); end function; function mux(sel : STD_LOGIC; s0 : SIGNED; s1 : SIGNED) return SIGNED is begin return (s0 and not (s0'range => sel)) or (s1 and (s1'range => sel)); end function; END PACKAGE BODY;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Package: Common primitives described as a function -- -- Description: -- ------------------------------------ -- This packages describes common primitives like flip flops and multiplexers -- as a function to use them as one-liners. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; PACKAGE components IS -- FlipFlop functions function ffdre(q : STD_LOGIC; d : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- D-FlipFlop with reset and enable function ffdre(q : STD_LOGIC_VECTOR; d : STD_LOGIC_VECTOR; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC_VECTOR; -- D-FlipFlop with reset and enable function ffdse(q : STD_LOGIC; d : STD_LOGIC; set : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- D-FlipFlop with set and enable function fftre(q : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- T-FlipFlop with reset and enable function ffrs(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC; -- RS-FlipFlop with dominant rst function ffsr(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC; -- RS-FlipFlop with dominant set -- adder function inc(value : STD_LOGIC_VECTOR; increment : NATURAL := 1) return STD_LOGIC_VECTOR; function inc(value : UNSIGNED; increment : NATURAL := 1) return UNSIGNED; function inc(value : SIGNED; increment : NATURAL := 1) return SIGNED; function dec(value : STD_LOGIC_VECTOR; decrement : NATURAL := 1) return STD_LOGIC_VECTOR; function dec(value : UNSIGNED; decrement : NATURAL := 1) return UNSIGNED; function dec(value : SIGNED; decrement : NATURAL := 1) return SIGNED; -- negate function neg(value : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; -- calculate 2's complement -- counter function upcounter_next(cnt : UNSIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : NATURAL := 0) return UNSIGNED; function upcounter_equal(cnt : UNSIGNED; value : NATURAL) return STD_LOGIC; function downcounter_next(cnt : SIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : INTEGER := 0) return SIGNED; function downcounter_equal(cnt : SIGNED; value : INTEGER) return STD_LOGIC; function downcounter_neg(cnt : SIGNED) return STD_LOGIC; -- shift/rotate registers function sr_left(q : STD_LOGIC_VECTOR; i : STD_LOGIC) return STD_LOGIC_VECTOR; function sr_right(q : STD_LOGIC_VECTOR; i : STD_LOGIC) return STD_LOGIC_VECTOR; function rr_left(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function rr_right(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; -- compare function comp(value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function comp(value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED; function comp(value1 : SIGNED; value2 : SIGNED) return SIGNED; function comp_allzero(value : STD_LOGIC_VECTOR) return STD_LOGIC; function comp_allzero(value : UNSIGNED) return STD_LOGIC; function comp_allzero(value : SIGNED) return STD_LOGIC; function comp_allone(value : STD_LOGIC_VECTOR) return STD_LOGIC; function comp_allone(value : UNSIGNED) return STD_LOGIC; function comp_allone(value : SIGNED) return STD_LOGIC; -- multiplexing function mux(sel : STD_LOGIC; sl0 : STD_LOGIC; sl1 : STD_LOGIC) return STD_LOGIC; function mux(sel : STD_LOGIC; slv0 : STD_LOGIC_VECTOR; slv1 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function mux(sel : STD_LOGIC; us0 : UNSIGNED; us1 : UNSIGNED) return UNSIGNED; function mux(sel : STD_LOGIC; s0 : SIGNED; s1 : SIGNED) return SIGNED; end; package body components is -- d-flipflop with reset and enable function ffdre(q : STD_LOGIC; d : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((d and en) or (q and not en)) and not rst; end function; function ffdre(q : STD_LOGIC_VECTOR; d : STD_LOGIC_VECTOR; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC_VECTOR is begin return ((d and (q'range => en)) or (q and not (q'range => en))) and not (q'range => rst); end function; -- d-flipflop with set and enable function ffdse(q : STD_LOGIC; d : STD_LOGIC; set : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((d and en) or (q and not en)) or set; end function; -- t-flipflop with reset and enable function fftre(q : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((not q and en) or (q and not en)) and not rst; end function; -- rs-flipflop with dominant rst function ffrs(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC is begin return (q or set) and not rst; end function; -- rs-flipflop with dominant set function ffsr(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC is begin return (q and not rst) or set; end function; -- adder function inc(value : STD_LOGIC_VECTOR; increment : NATURAL := 1) return STD_LOGIC_VECTOR is begin return std_logic_vector(inc(unsigned(value), increment)); end function; function inc(value : UNSIGNED; increment : NATURAL := 1) return UNSIGNED is begin return value + increment; end function; function inc(value : SIGNED; increment : NATURAL := 1) return SIGNED is begin return value + increment; end function; function dec(value : STD_LOGIC_VECTOR; decrement : NATURAL := 1) return STD_LOGIC_VECTOR is begin return std_logic_vector(dec(unsigned(value), decrement)); end function; function dec(value : UNSIGNED; decrement : NATURAL := 1) return UNSIGNED is begin return value + decrement; end function; function dec(value : SIGNED; decrement : NATURAL := 1) return SIGNED is begin return value + decrement; end function; -- negate function neg(value : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return std_logic_vector(inc(unsigned(not value))); -- 2's complement end function; -- counter function upcounter_next(cnt : UNSIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : NATURAL := 0) return UNSIGNED is begin if (rst = '1') then return to_unsigned(init, cnt'length); elsif (en = '1') then return cnt + 1; else return cnt; end if; end function; function upcounter_equal(cnt : UNSIGNED; value : NATURAL) return STD_LOGIC is begin -- optimized comparison for only up counting values return to_sl((cnt and to_unsigned(value, cnt'length)) = value); end function; function downcounter_next(cnt : SIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : INTEGER := 0) return SIGNED is begin if (rst = '1') then return to_signed(init, cnt'length); elsif (en = '1') then return cnt - 1; else return cnt; end if; end function; function downcounter_equal(cnt : SIGNED; value : INTEGER) return STD_LOGIC is begin -- optimized comparison for only down counting values return to_sl((cnt nor to_signed(value, cnt'length)) /= value); end function; function downcounter_neg(cnt : SIGNED) return STD_LOGIC is begin return cnt(cnt'high); end function; -- shift/rotate registers function sr_left(q : STD_LOGIC_VECTOR; i : std_logic) return STD_LOGIC_VECTOR is begin return q(q'left - 1 downto q'right) & i; end function; function sr_right(q : STD_LOGIC_VECTOR; i : std_logic) return STD_LOGIC_VECTOR is begin return i & q(q'left downto q'right - 1); end function; function rr_left(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return q(q'left - 1 downto q'right) & q(q'left); end function; function rr_right(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return q(q'right) & q(q'left downto q'right - 1); end function; -- compare functions -- return value 1- => value1 < value2 (difference is negative) -- return value 00 => value1 = value2 (difference is zero) -- return value -1 => value1 > value2 (difference is positive) function comp(value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin report "Comparing two STD_LOGIC_VECTORs - implicit conversion to UNSIGNED" severity WARNING; return std_logic_vector(comp(unsigned(value1), unsigned(value2))); end function; function comp(value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED is begin if (value1 < value2) then return "10"; elsif (value1 = value2) then return "00"; else return "01"; end if; end function; function comp(value1 : SIGNED; value2 : SIGNED) return SIGNED is begin if (value1 < value2) then return "10"; elsif (value1 = value2) then return "00"; else return "01"; end if; end function; function comp_allzero(value : STD_LOGIC_VECTOR) return STD_LOGIC is begin return comp_allzero(unsigned(value)); end function; function comp_allzero(value : UNSIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '0')); end function; function comp_allzero(value : SIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '0')); end function; function comp_allone(value : STD_LOGIC_VECTOR) return STD_LOGIC is begin return comp_allone(unsigned(value)); end function; function comp_allone(value : UNSIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '1')); end function; function comp_allone(value : SIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '1')); end function; -- multiplexing function mux(sel : STD_LOGIC; sl0 : STD_LOGIC; sl1 : STD_LOGIC) return STD_LOGIC is begin return (sl0 and not sel) or (sl1 and sel); end function; function mux(sel : STD_LOGIC; slv0 : STD_LOGIC_VECTOR; slv1 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return (slv0 and not (slv0'range => sel)) or (slv1 and (slv1'range => sel)); end function; function mux(sel : STD_LOGIC; us0 : UNSIGNED; us1 : UNSIGNED) return UNSIGNED is begin return (us0 and not (us0'range => sel)) or (us1 and (us1'range => sel)); end function; function mux(sel : STD_LOGIC; s0 : SIGNED; s1 : SIGNED) return SIGNED is begin return (s0 and not (s0'range => sel)) or (s1 and (s1'range => sel)); end function; END PACKAGE BODY;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Package: Common primitives described as a function -- -- Description: -- ------------------------------------ -- This packages describes common primitives like flip flops and multiplexers -- as a function to use them as one-liners. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; PACKAGE components IS -- FlipFlop functions function ffdre(q : STD_LOGIC; d : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- D-FlipFlop with reset and enable function ffdre(q : STD_LOGIC_VECTOR; d : STD_LOGIC_VECTOR; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC_VECTOR; -- D-FlipFlop with reset and enable function ffdse(q : STD_LOGIC; d : STD_LOGIC; set : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- D-FlipFlop with set and enable function fftre(q : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC; -- T-FlipFlop with reset and enable function ffrs(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC; -- RS-FlipFlop with dominant rst function ffsr(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC; -- RS-FlipFlop with dominant set -- adder function inc(value : STD_LOGIC_VECTOR; increment : NATURAL := 1) return STD_LOGIC_VECTOR; function inc(value : UNSIGNED; increment : NATURAL := 1) return UNSIGNED; function inc(value : SIGNED; increment : NATURAL := 1) return SIGNED; function dec(value : STD_LOGIC_VECTOR; decrement : NATURAL := 1) return STD_LOGIC_VECTOR; function dec(value : UNSIGNED; decrement : NATURAL := 1) return UNSIGNED; function dec(value : SIGNED; decrement : NATURAL := 1) return SIGNED; -- negate function neg(value : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; -- calculate 2's complement -- counter function upcounter_next(cnt : UNSIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : NATURAL := 0) return UNSIGNED; function upcounter_equal(cnt : UNSIGNED; value : NATURAL) return STD_LOGIC; function downcounter_next(cnt : SIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : INTEGER := 0) return SIGNED; function downcounter_equal(cnt : SIGNED; value : INTEGER) return STD_LOGIC; function downcounter_neg(cnt : SIGNED) return STD_LOGIC; -- shift/rotate registers function sr_left(q : STD_LOGIC_VECTOR; i : STD_LOGIC) return STD_LOGIC_VECTOR; function sr_right(q : STD_LOGIC_VECTOR; i : STD_LOGIC) return STD_LOGIC_VECTOR; function rr_left(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function rr_right(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; -- compare function comp(value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function comp(value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED; function comp(value1 : SIGNED; value2 : SIGNED) return SIGNED; function comp_allzero(value : STD_LOGIC_VECTOR) return STD_LOGIC; function comp_allzero(value : UNSIGNED) return STD_LOGIC; function comp_allzero(value : SIGNED) return STD_LOGIC; function comp_allone(value : STD_LOGIC_VECTOR) return STD_LOGIC; function comp_allone(value : UNSIGNED) return STD_LOGIC; function comp_allone(value : SIGNED) return STD_LOGIC; -- multiplexing function mux(sel : STD_LOGIC; sl0 : STD_LOGIC; sl1 : STD_LOGIC) return STD_LOGIC; function mux(sel : STD_LOGIC; slv0 : STD_LOGIC_VECTOR; slv1 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function mux(sel : STD_LOGIC; us0 : UNSIGNED; us1 : UNSIGNED) return UNSIGNED; function mux(sel : STD_LOGIC; s0 : SIGNED; s1 : SIGNED) return SIGNED; end; package body components is -- d-flipflop with reset and enable function ffdre(q : STD_LOGIC; d : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((d and en) or (q and not en)) and not rst; end function; function ffdre(q : STD_LOGIC_VECTOR; d : STD_LOGIC_VECTOR; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC_VECTOR is begin return ((d and (q'range => en)) or (q and not (q'range => en))) and not (q'range => rst); end function; -- d-flipflop with set and enable function ffdse(q : STD_LOGIC; d : STD_LOGIC; set : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((d and en) or (q and not en)) or set; end function; -- t-flipflop with reset and enable function fftre(q : STD_LOGIC; rst : STD_LOGIC := '0'; en : STD_LOGIC := '1') return STD_LOGIC is begin return ((not q and en) or (q and not en)) and not rst; end function; -- rs-flipflop with dominant rst function ffrs(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC is begin return (q or set) and not rst; end function; -- rs-flipflop with dominant set function ffsr(q : STD_LOGIC; rst : STD_LOGIC := '0'; set : STD_LOGIC := '0') return STD_LOGIC is begin return (q and not rst) or set; end function; -- adder function inc(value : STD_LOGIC_VECTOR; increment : NATURAL := 1) return STD_LOGIC_VECTOR is begin return std_logic_vector(inc(unsigned(value), increment)); end function; function inc(value : UNSIGNED; increment : NATURAL := 1) return UNSIGNED is begin return value + increment; end function; function inc(value : SIGNED; increment : NATURAL := 1) return SIGNED is begin return value + increment; end function; function dec(value : STD_LOGIC_VECTOR; decrement : NATURAL := 1) return STD_LOGIC_VECTOR is begin return std_logic_vector(dec(unsigned(value), decrement)); end function; function dec(value : UNSIGNED; decrement : NATURAL := 1) return UNSIGNED is begin return value + decrement; end function; function dec(value : SIGNED; decrement : NATURAL := 1) return SIGNED is begin return value + decrement; end function; -- negate function neg(value : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return std_logic_vector(inc(unsigned(not value))); -- 2's complement end function; -- counter function upcounter_next(cnt : UNSIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : NATURAL := 0) return UNSIGNED is begin if (rst = '1') then return to_unsigned(init, cnt'length); elsif (en = '1') then return cnt + 1; else return cnt; end if; end function; function upcounter_equal(cnt : UNSIGNED; value : NATURAL) return STD_LOGIC is begin -- optimized comparison for only up counting values return to_sl((cnt and to_unsigned(value, cnt'length)) = value); end function; function downcounter_next(cnt : SIGNED; rst : STD_LOGIC; en : STD_LOGIC := '1'; init : INTEGER := 0) return SIGNED is begin if (rst = '1') then return to_signed(init, cnt'length); elsif (en = '1') then return cnt - 1; else return cnt; end if; end function; function downcounter_equal(cnt : SIGNED; value : INTEGER) return STD_LOGIC is begin -- optimized comparison for only down counting values return to_sl((cnt nor to_signed(value, cnt'length)) /= value); end function; function downcounter_neg(cnt : SIGNED) return STD_LOGIC is begin return cnt(cnt'high); end function; -- shift/rotate registers function sr_left(q : STD_LOGIC_VECTOR; i : std_logic) return STD_LOGIC_VECTOR is begin return q(q'left - 1 downto q'right) & i; end function; function sr_right(q : STD_LOGIC_VECTOR; i : std_logic) return STD_LOGIC_VECTOR is begin return i & q(q'left downto q'right - 1); end function; function rr_left(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return q(q'left - 1 downto q'right) & q(q'left); end function; function rr_right(q : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return q(q'right) & q(q'left downto q'right - 1); end function; -- compare functions -- return value 1- => value1 < value2 (difference is negative) -- return value 00 => value1 = value2 (difference is zero) -- return value -1 => value1 > value2 (difference is positive) function comp(value1 : STD_LOGIC_VECTOR; value2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin report "Comparing two STD_LOGIC_VECTORs - implicit conversion to UNSIGNED" severity WARNING; return std_logic_vector(comp(unsigned(value1), unsigned(value2))); end function; function comp(value1 : UNSIGNED; value2 : UNSIGNED) return UNSIGNED is begin if (value1 < value2) then return "10"; elsif (value1 = value2) then return "00"; else return "01"; end if; end function; function comp(value1 : SIGNED; value2 : SIGNED) return SIGNED is begin if (value1 < value2) then return "10"; elsif (value1 = value2) then return "00"; else return "01"; end if; end function; function comp_allzero(value : STD_LOGIC_VECTOR) return STD_LOGIC is begin return comp_allzero(unsigned(value)); end function; function comp_allzero(value : UNSIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '0')); end function; function comp_allzero(value : SIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '0')); end function; function comp_allone(value : STD_LOGIC_VECTOR) return STD_LOGIC is begin return comp_allone(unsigned(value)); end function; function comp_allone(value : UNSIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '1')); end function; function comp_allone(value : SIGNED) return STD_LOGIC is begin return to_sl(value = (value'range => '1')); end function; -- multiplexing function mux(sel : STD_LOGIC; sl0 : STD_LOGIC; sl1 : STD_LOGIC) return STD_LOGIC is begin return (sl0 and not sel) or (sl1 and sel); end function; function mux(sel : STD_LOGIC; slv0 : STD_LOGIC_VECTOR; slv1 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is begin return (slv0 and not (slv0'range => sel)) or (slv1 and (slv1'range => sel)); end function; function mux(sel : STD_LOGIC; us0 : UNSIGNED; us1 : UNSIGNED) return UNSIGNED is begin return (us0 and not (us0'range => sel)) or (us1 and (us1'range => sel)); end function; function mux(sel : STD_LOGIC; s0 : SIGNED; s1 : SIGNED) return SIGNED is begin return (s0 and not (s0'range => sel)) or (s1 and (s1'range => sel)); end function; END PACKAGE BODY;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:10:49 07/06/2016 -- Design Name: -- Module Name: project_main - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity project_main is port ( clk : in STD_LOGIC; reset : in STD_LOGIC; kbclk : in STD_LOGIC; kbdata : in STD_LOGIC; audio : out STD_LOGIC; segments : out STD_LOGIC_VECTOR(14 downto 0)); end project_main; architecture Behavioral of project_main is component keyboard_in is port ( clk : in STD_LOGIC; kbclk : in STD_LOGIC; kbdata : in STD_LOGIC; reset : in STD_LOGIC; ready : out STD_LOGIC; scancode : out STD_LOGIC_VECTOR(7 downto 0)); end component; component audio_out is port ( clk : in STD_LOGIC; ready : in STD_LOGIC; reset : in STD_LOGIC; scancode : in STD_LOGIC_VECTOR(7 downto 0); audio : out STD_LOGIC); end component; component segment_out is port ( clk : in STD_LOGIC; ready : in STD_LOGIC; reset : in STD_LOGIC; scancode : in STD_LOGIC_VECTOR(7 downto 0); segments : out STD_LOGIC_VECTOR(14 downto 0)); end component; signal scancode: STD_LOGIC_VECTOR(7 downto 0); signal ready: STD_LOGIC; begin keyboard_in0: keyboard_in port map (clk, kbclk, kbdata, reset, ready, scancode); audio_out0: audio_out port map (clk, ready, reset, scancode, audio); segment_out0: segment_out port map (clk, ready, reset, scancode, segments); end Behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pD5g5IL78/II1Ddkj6G/022UqTQlP0QKodXTLcH3WjUVB8ldXpgPYbNKQS11Pz1wwN0xFLjZgfyd kTPstFYRtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XhSHrYc6YR2b1FmhZwZoA63xBZmoRHLlaVmmZx2N83Fome1QS9gV8BYEZ8E5GrFm7kujMeNBN+4N 7j+jCfsq3qpgmaO9o7zUfRKyWMghbiHc+rB9ZWh4ScsNSjgXNAGpkQmmD7aSosBogjcSZnENaVDL yUj0a0R29dLDmyjahqo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0NVT5GGMgCCqcu0tzpFJOMSgoGbB/q46aSS0mvJqtiikX/gA7wV2jfLdgIq3+ABTfa46QYi99Ai5 AIeI+z8leNX2TAOjmc97ZWxdgfpEZhLKxMOcn5+hVVFfxShKc+1iILaXddWAOv00Wh8xkOn/KSJf W3rPLstgiH4egMgsLwHheWeZ/pGhcy9WgI6emo+R5Me5NMGNb4+83eSC6pZkqGL1Z/zAbtq0NdKG qUk2gVxtnCrSCBOaHdHfBxnDZcUhvZ5xumHON+R9ktD+KGncVl3fv/nQixNnI+5eUlHR5jt8LWkv 5NzhO6OS3+utriOYdr7rETSFK6cuGIu5ecg0hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kfe0qYfDcey0z70Ier/Uwp0K9jkRg23GJOzrgNiPHCI1cbT2ln+HF4w8NVk9IjghY4ZxZhJLOL3C kGFsG5LJ7vfUxNoiWPzI9e4RGSOUcgQTMFfSs2m4aG/qJyp/sWmeQ8zHJub2Tee8XDYhOJI/Ez7S tDhtnEAxP1cy7yGAxms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jbe7GWWTyc2lFuGmaWnib6gg7R/lKatMuVRsW1Zl4XGJFJ8KwwmC0PaN8Dgdw3G4rCIOLakfsf1x vBaUoZPcjSHJHcTz0guoiL8eQCbpbfKiGX2GP/Wt7HUk9Qn5Rah1xfbP5KtiQ0cL24Qnr+pBo/Kp t/Sszhda0q+LyVyF8ZKgyjkOlQJSHdxraU51X60NHwCvzUGfrIjiaOxqvRgl+UlxvydajllCIvSK 7dtHfCaomCYu6dVQQIE64K8xYNTpXR2DD7cevYmUJgR1TbPDgUKO7xw5MLQ6R57/2Vby8FGhnBc0 TeSwtn9sE/lgTU72YESxLGgR783RJvWC0TjzZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4912) `protect data_block dUQhekVwqS5hcDF78Nftl3BmSxy/2h0XyCR0eizHzk5NEAgnWG0UGD64ZkJ8CqpgwmicGAGPKR38 c7ct8vfwwvHAjohhLX6kJw7xpSRBR9cpp2BzxAhRPeJDG/lucBNSJ6h1UENQll9r5MqrzEP5vzh+ RYiKu5qIhSOtVqjF2HZp7ACsaushzY7bjFRC8Km/kM8qWGwud0IISoJ8thXgO891lLvSW8rAGUxk gdL9OB0RY1/5Pnlp3bEVx+cxQISKnj7NQyX8yXNd9xzgUbC/ZdLLCV9tGq/04s8wsrM3x3mXLndA j3egwtJnwOcL+JsZx5TwtS9soY6foKQCUSEP7bKCJbausbB61CyNtVgNeSpm+7Iu8hkvX//kJ9pY 6DlTz4fKR2wXiN516XE5wUGJsS9ONj1+mx5mNpN/nkISBP4bGVl6X1PXKAjkZ7MKOhd13O5ZUrix bRkVtj7gFjtI1cPsLTmdcSg+FmiJKR6Ko3+bkAdvy/hmKIxi0BaXapUMB3YaRyNMeAb5IlbKLdJz 9gQwrjoIHLUxd8/8JgvF9tF8SdZxdStryhkjD8Z1MBKkqFb+4F0xId4InuhunsgyFnCGL24ZouNi XfcGfzH85MEuTD140995fS7ON7pbVUS7WHZLcC1/BdQqawO0dul5AyBsKxt4D+K+1F19sNC7KCuB aCt8xG1Xkto17g8OPTbf/mtZw0v5lJYakh8DWB1R9TWaHhp7NvybaML6ccC8C8QQ0aR9bk+5/YzK jNOYkgYJLasSjKyoCxNqlMad0ZoQ50h3gRgTV9QI8zbOaFgcnkHhhRdTzFwJuW0qZqG4zkp/YBw4 mSWR3rku0TcirX1s4MltOmVUblmXdEimzkgQCnCEGx9o+YxKmQNm1Ssr9CJDF2RvvXRsLs3Fi4Wv Vg4FCVJQKbbth10qDhGziErSVfXSpPmc/Vhoa6AZZ2GA36/oHfPr8zb2ePz6AYAcM9z9k1SE+mBc MW/hjNApy13/8m6khc89Usp4zunmbUd/7YKsFJRcWWL7sFrHtQNDifCQycTk1TbQvSNY2Fw4JDKZ cjw9Hg6cndw2NDYArx/mqjFdzd8nxF45TYi3QewDC/P7s49XZI/qP+wenjhzjcmOaN0S9VlIjZBI ql2nKNvFA6EM+fYl3Fu3dK9au+Jrictbxo0oUkmD31gK0n3eXTbCV9nqSMqvRa1nKDLw5zFFK5mI PkQ16podvEOtMEhCrPFaRMfRPO64qJdB6E7Ta9qWKY1QuQw3MuH78IfgCxzvNe26b0wiDzZf935E OBOy3CFKIbygmcyaehs0MPT3TEO4BfZWNc2i5reeKM7IIyjRudbC66XuLMPxC8XYciyHLRh9mwXB 0hMhKrzewKYZ3RAL/CGEts3tXTmkg4Te4iOKlH7eAGdATjQqw5bvoxMFICv0XvvCt8lMgxyNy4pm neheWlC/oH9oWU6vUtTkPONx9Q9cakO7p7XEWvYEk+CRa6n9B9w/2FsxaUhRDx4/MuPyjsX8koY8 WA0/ddS3wWNZlZ+NWmpI0MB6nWn0+87xa7wkP4d/tqGz1k5w4MOmRLYlYkGQjHiiBn6oVzxlfiba cAeFZGJAMOwgzAXfQ7APhKKP7Xj5uspMclL41Vf79o9tnZUHFAE/YiwOvXqXSrNTyF9ieB4zTxDU WOolATFbgj+KS+0H9/8NH4moYRpXVQ/d5fAGmGD4ZPkLbJ4e2AHQG18nsVgKey6FInFC276W9it1 tSENmDVj0J0r6xHjLRv4ZYljga2+vG45QjQUxWCxFKXX4tNtm+mhUkYJFKKJH20+V1z4AoMk2nGs t9hD94EBZxDy9RHV89qqFY2mJ5y1UU+pxNTrbz1+wje+qUspZFKPlLOnL0YCZbJM9fb3v2b8ZTqz Hn3AIYw5PT8MZbHGg5xQCk1m6e5TNrg109Gsu0gyN+p0uwkABBle4o+OQxl323RYJ9XkTPSd715R HfsCVBxKivm5VbP4Orc6MSBGDEFD+PA0R9h0VREO2URkk4zEIoOEuUDg+o8mLdHufU47UlnEnigW M8IHDkqkF+FqCW29Ivu55ztsWUbeR5fcKbLftj98ePzBLFY92uu+OJTGSEDK22TcZM4kFqNbn149 5tYMkZNwaV6AtPGC2O7tVRlWBSjHEkdbvNqdR+UliIKVYl2RURQ/TXGypGM9LLDkNZFe+YnFdRSX m6/yjEK48yRDccDG/bKZKCI36VOzDpgOPKfv2ck3Wftzjz9O3g6/4giLx+YpTkfwXNXEz7zLBJUV awcA3uOLHPGUWb4DWUuXFwMgih/54Kw3lo+gxtMJe75+NNy7C9cg+L+bQ6n/OHOKxTqlgyq+PCiG t7+jSYKkRGJIV4vAIvlASfQgBVlaEJg8jf6yuLVtdbhbt/Mmx0hbclD8KNzq/ky/jBYVpvds/DSg g4LclNOyeQHFMhbYucAMPs/7Y9GNszdpBUNPcJL/YzdOcgKbTgDms3HczyuDqxvVJx9Aw4YVs37G WuW90BSWR1A3yvT7qj+kWVEsz6Ei8DyPTu5YVcfX2MiMQLpC9Vf66foY0Cme0bE04ooX9xUtYDEa obZgVC326aiDLhK4tip4djaLx6b4kh/sfmEIcOlhd5WATc5umBXcWY8DcnFop0zyZ5z8QMznhqF9 E7IesNv++mnrQt8/96ZKRHy7dnZ6drSqFCipH5RuRUqko7yWjMwcJRxTspO7+2+HnWbTYVqHGCHj 8wZUiXZkyEkn5KVqLEED5W7HnrTMXjxK7koiu/34wlwg6c65ySEZnfJjh+N6UBPWVPG5d6yz/1Wi 8oQWxwWeUeSVg0mjbnk9wKhBcVI+HcUr8WdlGQz7/naPmgd+1IPReeScJKkUupMxz71ztwahZOB+ bWWjFYXMbJdaVmJhTBw0q1JyG8O+eX3zN7C2XzXFzOj7aQ0iO2y39YgqkGffexO9lBHWGBx5jRcH Us5VLWM0XXmrPRTGeJckojhC4/+Em2/xJ/YlLPH08ywWEmgxxASTLgfmAw5v98Ybrh1gKJxsKus0 0GzeLpcXB9PKc4Xz3XiBHb9iawfThnVkmDP1qeX62YvxsTMS58/2ZtWvOx7xr/gLQ9jdgrPqcBuM jLUQNjyY6Cwc/OfJ/3fH0L19K5XcLcU9KVdKqBPerWW71Xb8Iia53FGppQhJJBxvE0JFazBmYzV0 KsftOt/b5yFiHvGdpmjnY+nMif+L0HS1IPIZrymFcgJgG7o+ae+EiAQPr+7FBtcgvAGgPa63uWQo lhFQSEQDQ9ubq4ulwJDq2GVUxzcAnDYdG+vTBxsozpJKhiV/z7pLKq5HB7xVdg3OVyAOB+WahHWc fb+rA14Hw3k7eWUTJAoHkKmeirA0boj3pdnroCXVutOv0jK/EhPcDbTO3YFJ2UfahKNb9KfceJy2 4/fGC7sZX/3+VkiKWVpvuVg/e0ZhHYeqQR93yaASbEBZ7MFbSu0dwpv0iXh/tLDezHOpgx0JjqO0 Chc6XzUrWcFIYP7t0/X62weITzn/YFFkgbIu4Sbz22i5fVSHa9lQOEKz4TmgM0H9ChTZAzJiHteh PBf1mDgVx7IP360S0JG6GZZcgGNhm7nCU4RsBNqBBv2CGrwMntvPw4jsMWdgpNZHEYwVQFeof3aQ 4poKfOn5/aOSKvZ3dhhc7L3vHir+jFaxEHZEsUUdAq9XOuQh8s2mWAouh9v62YcEA+LKShw3F9X+ 1B1PduzoEiv18PALm7DoZ4C77tUyTepSnAkOwJ1sfTobr4aqC7lOhgNz+WH1GPbs3MsISAEIj4fR V+wLHi+r8ejEdlzn3c3WnvU6UEm/nuQJ24op2StBGW9nKuDWkJ8eVCVR+duZiQ5ryNI1Au0fdFNT rg0JSlPra1Qe7hhkZ3uEevXkeuEwokLdB2FARfPnuXXWUSVceZo7MYwC7VlccqhEZOBj5U+eyzmD kVI6M9R4xB7I6wkym4ykn7g51brtWZ3bCdM1Jal7W+GDP25QgYTE69lP9w3LF06z9mCxmsKQCZ/0 2qAxhtyWqGRiPRSJmQoEbzJLVDSeORKpcURU/OBKgLg4JWt7qI7vWfFgRJl6+2f4qBzpdEksdiDO u975/v36A6QEr9axGnbg28pyScuQcMqRwaxt5QfJnG2Q6zaFbtg48Eov3WXHj2CYh1Vdd2h9kHpM cDN2KgRsX/8e69mQ7YzYRo4PKRkThQVer3jGWHyISZuE1VvpheuTc+8RanwljZ3PqHTzEs/29Dqu 5NtGZB5ZEs2qMzjgcLE96F20UdAwju7ufI7srpWC2Zd7egtsHGpWvZardNKJ1ina4dxuOc27/ZAd hDvSyiDSBOf2yHX7uP8zrnL60zKlgSdggt5F13YPz3Fb9mo6w9M2ENIQ3fJO35njFrEi0YkxYCdn JgBSHUK0bGmfDHCCYd1rSTSmnwzsOfj8cWciMmJXjkgUjqvT5+hlLVbj91ugIL68uVk2J5EeLRdo sygIc0EXLGTbeHUVWg9g49F8HeHXJdxkbWBysSpwIDs2WPG4VLCi25JpYwUzP+7zzkzcmg6Ux03z Zo0ydfpRrzzWYRSH8hfAxwj0ZOwlJd5rL7UWSICrIBsASLkWVhluCkbU4L8NUlz/vwUZTNJX7qmk YeIYIJu29OQtm9PoF1Y7s/J6QY2D0O1oC5j3R2hczCCZ8e76yKZXv3+ICMT5mgUzD3fGZ+LmWzL+ aSsydVpjee0v5/HyMdCoyMAdQoKWgW/ZFi51JvXrD9DvYuuTIkXXanIKhGdD+FddpqOJp46rWo1A XMXt4PAYPp5tLHcf8OL3f/cy4C5WNGj7sEt3iQ6jQEPPSYEjloZO7msZQVhXwqSZXi8CLy1/wy6s odZD07UWkeAE+FTntS/R3pfyCJsRnJSEj0Yv3gvUcjZ3BgN+NsFh413luM3x+DvQ3bpFpyphNwxL G1b68BYwlTIILoNeS57aOR2436J/pkTkKoTB6/pQpmkRoNm2/AjoC/sOWUWVPAgxGG+ZVlOtTpjm TTtWTvCzPYXrsyQbT4aVFj/PCIv5Y/DNOIy6X+/lrzn/KD5//yi9jY1xs1n1ouIfz39aIUixl8Xf Mm9vs1Rq2jqkyn6JNhUwABSww4ghKMTR2HymTjc/iCgGAqxZXMrbRzpo3tPOjulYI75GU/24R0Lm XDF6HXNt9BSYlITOxGFpuBYQP5jvWTcL+1vLfvk007ktLjsz5spwPYjaBX8ADM6EagQJAX9Q987p X64lpPdTa+J9Yc0lDHrpTzdST6t/IXDLb3FJK5rGong//JvMxT6mj6LlHAu70mxIHg1x+o2NPkPz 28NjHNSoCNRVG3SGh0Ys80qKXMHLN7qNcKGoi9yXSqZHvJuqfdoJti//6Vn2xqQxQPfF09JCq8l0 C3IL6XdNjSieN4LJlrfXimIuBwqw1e3Vlnd4P6oVOmwMpzKzdJwnb79q0NcX0Gh8YqI75zEhoM4I dCDtCGn8094x60APS1NSZsjbB6QBZ5uqr/gL11lIDaav/RV8XnYcE9uwgOKSbci8DacVlgAzWpul LanESQksjD69qgSLctCR8JuQOs6Fz9vqohXYLxXXsUpF1rQ6AchK9X8rQI7GpewRgJRTqxVClTLj K3KEukrkjD1wlMS5Mx7DvSO8rQMKOqVDrFc8JKz8qH3gDKa6j02YxXcC2jFQMOE2l3XwNZkt17Go s6Ks7n/RJtLMz5ND0wQ3uqGiBLya2doWbRqMTHCGiUlpwE+f7X3cH9hz9rQ9pTkpDsdnBYMVtoKN FtGgJC5Rtqu61mdyZvlyF5rOcm8XCuyjoLj4w4a8lgHT7veka72ruLWWphu009936T04EedLbR7U fj81VXhpDPjRYSOAEC1ukPLU+9skScmF+fOIcRe67SyHO2yWqWoZhbrY0AYcZOH/e31IjJ5pk4lm Fuh/BTMMMqjF308sl3EG+v/U1t3jLtTRXPXRXGRlYmMD53nr4EGMp9Ia/xEuiOwOFbf+5AP52xTG NWplroXU+7oieCnD/1RFTNj0RaSFmhXbK2gQNFh6V8XLlPcuHEDJaON+HUGfD12fFz8ivO10BxVK MosgKJ2nCuFCtVC9D50qkPArtUyACHSqZjzQaCHjgM51I/K3iO4cqWjvKWcz64LJ9d3Dy/VIDiJQ f+f1FkuC07B0NuHwnh/O3BdLtS63BnwZbYMhjD41aLpvi0lrLr1ZvLYqprjPNkOZfWCW6sBNrI/0 e24e/P48On7ApzD92dWdDTEc9Z/SRBHXePYQcp5vX7cXvtTMPWKgK+xSatPZ5ucV/9oDDCGIV3vG wwzPF/k5gvAAAxKiimdG1nKRZsVVKSL1WX02fo/+cTGhZYCX2x+GYmmSWsW64/VBnKcWBcGJZ5d5 jOXbmwbgs/wjyEJR/GksxnUi+jT1SLLthqECGIrLldVn7aQaTQyPhm47T/kFV1l9+u6YejB9/LBo 690SJqfMvoFsqy5PvD3mSmArhj/SyboxLWRlIjpre/2+dd54Dm2vXwrU9axZuePclYBq+IQAPiGi Nlt/QYP+n9Lzkg== `protect end_protected
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- This file was created from template <PoCRoot>/src/common/my_config.template.vhdl. -- -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "VC707"; -- VC707 - Xilinx Virtex 7 reference design board: XC7V485T constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- For internal use only constant MY_VERBOSE : boolean := true; end package;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- This file was created from template <PoCRoot>/src/common/my_config.template.vhdl. -- -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "VC707"; -- VC707 - Xilinx Virtex 7 reference design board: XC7V485T constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- For internal use only constant MY_VERBOSE : boolean := true; end package;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Fri Sep 22 23:00:45 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_xbar_1_stub.vhdl -- Design : zqynq_lab_1_design_xbar_1 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 8 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 8 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 95 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 5 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 2 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[95:0],m_axi_awprot[8:0],m_axi_awvalid[2:0],m_axi_awready[2:0],m_axi_wdata[95:0],m_axi_wstrb[11:0],m_axi_wvalid[2:0],m_axi_wready[2:0],m_axi_bresp[5:0],m_axi_bvalid[2:0],m_axi_bready[2:0],m_axi_araddr[95:0],m_axi_arprot[8:0],m_axi_arvalid[2:0],m_axi_arready[2:0],m_axi_rdata[95:0],m_axi_rresp[5:0],m_axi_rvalid[2:0],m_axi_rready[2:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_14_axi_crossbar,Vivado 2017.2"; begin end;
-------------------------------------------------------------------------------- -- Gideon's Logic Architectures - Copyright 2014 -- Entity: usb_harness -- Date:2015-02-14 -- Author: Gideon -- Description: Harness for USB Host Controller with memory controller -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.io_bus_pkg.all; use work.mem_bus_pkg.all; entity usb_harness_nano is port ( clocks_stopped : in boolean := false ); end entity; architecture arch of usb_harness_nano is signal sys_clock : std_logic := '1'; signal sys_clock_2x : std_logic := '1'; signal sys_reset : std_logic; signal clock : std_logic := '0'; signal reset : std_logic; signal sys_io_req : t_io_req; signal sys_io_resp : t_io_resp; signal sys_mem_req : t_mem_req_32; signal sys_mem_resp : t_mem_resp_32; signal ulpi_nxt : std_logic; signal ulpi_stp : std_logic; signal ulpi_dir : std_logic; signal ulpi_data : std_logic_vector(7 downto 0); signal SDRAM_CLK : std_logic; signal SDRAM_CKE : std_logic; signal SDRAM_CSn : std_logic := '1'; signal SDRAM_RASn : std_logic := '1'; signal SDRAM_CASn : std_logic := '1'; signal SDRAM_WEn : std_logic := '1'; signal SDRAM_DQM : std_logic := '0'; signal SDRAM_A : std_logic_vector(12 downto 0); signal SDRAM_BA : std_logic_vector(1 downto 0); signal SDRAM_DQ : std_logic_vector(7 downto 0) := (others => 'Z'); begin sys_clock <= not sys_clock after 10 ns when not clocks_stopped; sys_clock_2x <= not sys_clock_2x after 5 ns when not clocks_stopped; sys_reset <= '1', '0' after 50 ns; clock <= not clock after 8.333 ns when not clocks_stopped; reset <= '1', '0' after 250 ns; i_io_bus_bfm: entity work.io_bus_bfm generic map ( g_name => "io" ) port map ( clock => sys_clock, req => sys_io_req, resp => sys_io_resp ); i_host: entity work.usb_host_nano generic map ( g_simulation => true ) port map ( clock => clock, reset => reset, ulpi_nxt => ulpi_nxt, ulpi_dir => ulpi_dir, ulpi_stp => ulpi_stp, ulpi_data => ulpi_data, sys_clock => sys_clock, sys_reset => sys_reset, sys_mem_req => sys_mem_req, sys_mem_resp=> sys_mem_resp, sys_io_req => sys_io_req, sys_io_resp => sys_io_resp ); i_ulpi_phy: entity work.ulpi_master_bfm generic map ( g_given_name => "device" ) port map ( clock => clock, reset => reset, ulpi_nxt => ulpi_nxt, ulpi_stp => ulpi_stp, ulpi_dir => ulpi_dir, ulpi_data => ulpi_data ); i_device: entity work.usb_device_model; i_mem_ctrl: entity work.ext_mem_ctrl_v5 generic map ( g_simulation => true ) port map ( clock => sys_clock, clk_2x => sys_clock_2x, reset => sys_reset, inhibit => '0', is_idle => open, req => sys_mem_req, resp => sys_mem_resp, SDRAM_CLK => SDRAM_CLK, SDRAM_CKE => SDRAM_CKE, SDRAM_CSn => SDRAM_CSn, SDRAM_RASn => SDRAM_RASn, SDRAM_CASn => SDRAM_CASn, SDRAM_WEn => SDRAM_WEn, SDRAM_DQM => SDRAM_DQM, SDRAM_BA => SDRAM_BA, SDRAM_A => SDRAM_A, SDRAM_DQ => SDRAM_DQ ); ram: entity work.dram_8 generic map( g_cas_latency => 3, g_burst_len_r => 4, g_burst_len_w => 4, g_column_bits => 10, g_row_bits => 13, g_bank_bits => 2 ) port map( CLK => SDRAM_CLK, CKE => SDRAM_CKE, A => SDRAM_A, BA => SDRAM_BA, CSn => SDRAM_CSn, RASn => SDRAM_RASn, CASn => SDRAM_CASn, WEn => SDRAM_WEn, DQM => SDRAM_DQM, DQ => SDRAM_DQ ); end arch;
library ieee; use ieee.std_logic_1164.all; entity gen_NAND_bit is generic ( width : integer := 4 ); port ( input : std_logic_vector(width - 1 downto 0); output : out std_logic ); end gen_NAND_bit; architecture Behavior of gen_NAND_bit is begin P0 : process (input) variable result : std_logic; begin result := '0'; L1 : for n in width - 1 downto 0 loop if input(n) = '0' then result := '1'; exit L1; end if; end loop L1; output <= result; end process P0; end Behavior;
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_stbs_set.vhd -- -- Description: -- This file implements a module to count the number of strobe bits that -- are asserted active high on the input strobe bus. This module does not -- support sparse strobe assertions (asserted strobes must be contiguous -- with each other). -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_stbs_set.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.0 $ -- Date: $1/19/2011$ -- -- History: -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from AXI DataMover v2_00_a axi_datamvore_stbs_set.vhd -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_master_burst_stbs_set is generic ( C_STROBE_WIDTH : Integer range 1 to 32 := 8 -- Specifies the width (in bits) ob the input strobe bus. ); port ( tstrb_in : in std_logic_vector(C_STROBE_WIDTH-1 downto 0); -- Input Strobe bus num_stbs_asserted : Out std_logic_vector(7 downto 0) -- Indicates the number of asserted tstrb_in bits ); end entity axi_master_burst_stbs_set; architecture implementation of axi_master_burst_stbs_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function ------------------------------------------------------------------- -- Function -- -- Function Name: funct_8bit_stbs_set -- -- Function Description: -- Implements an 8-bit lookup table for calculating the number -- of asserted bits within an 8-bit strobe vector. -- -- Note that this function assumes that asserted strobes are -- contiguous with each other (no sparse strobe assertions). -- ------------------------------------------------------------------- function funct_8bit_stbs_set (strb_8 : std_logic_vector(7 downto 0)) return unsigned is Constant ASSERTED_VALUE_WIDTH : integer := 4;-- 4 bits needed Variable lvar_num_set : Integer range 0 to 8 := 0; begin case strb_8 is ------- 1 bit -------------------------- when "00000001" | "00000010" | "00000100" | "00001000" | "00010000" | "00100000" | "01000000" | "10000000" => lvar_num_set := 1; ------- 2 bit -------------------------- when "00000011" | "00000110" | "00001100" | "00011000" | "00110000" | "01100000" | "11000000" => lvar_num_set := 2; ------- 3 bit -------------------------- when "00000111" | "00001110" | "00011100" | "00111000" | "01110000" | "11100000" => lvar_num_set := 3; ------- 4 bit -------------------------- when "00001111" | "00011110" | "00111100" | "01111000" | "11110000" => lvar_num_set := 4; ------- 5 bit -------------------------- when "00011111" | "00111110" | "01111100" | "11111000" => lvar_num_set := 5; ------- 6 bit -------------------------- when "00111111" | "01111110" | "11111100" => lvar_num_set := 6; ------- 7 bit -------------------------- when "01111111" | "11111110" => lvar_num_set := 7; ------- 8 bit -------------------------- when "11111111" => lvar_num_set := 8; ------- all zeros or sparse strobes ------ When others => lvar_num_set := 0; end case; Return (TO_UNSIGNED(lvar_num_set, ASSERTED_VALUE_WIDTH)); end function funct_8bit_stbs_set; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant BITS_FOR_STBS_ASSERTED : integer := 8; -- increments of 8 bits Constant NUM_ZEROS_WIDTH : integer := BITS_FOR_STBS_ASSERTED; -- Signals signal sig_strb_input : std_logic_vector(C_STROBE_WIDTH-1 downto 0) := (others => '0'); signal sig_stbs_asserted : std_logic_vector(BITS_FOR_STBS_ASSERTED-1 downto 0) := (others => '0'); begin --(architecture implementation) num_stbs_asserted <= sig_stbs_asserted; sig_strb_input <= tstrb_in ; ------------------------------------------------------------------------- ---------------- Asserted TSTRB calculation logic --------------------- ------------------------------------------------------------------------- ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1_STRB -- -- If Generate Description: -- 1-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_1_STRB : if (C_STROBE_WIDTH = 1) generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_1BIT_STRB -- -- Process Description: -- -- ------------------------------------------------------------- IMP_1BIT_STRB : process (sig_strb_input) begin -- Concatonate the strobe to the ls bit of -- the asserted value sig_stbs_asserted <= "0000000" & sig_strb_input(0); end process IMP_1BIT_STRB; end generate GEN_1_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2_STRB -- -- If Generate Description: -- 2-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_2_STRB : if (C_STROBE_WIDTH = 2) generate signal lsig_num_set : integer range 0 to 2 := 0; signal lsig_strb_vect : std_logic_vector(1 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_2BIT_STRB -- -- Process Description: -- Calculates the number of strobes set fo the 2-bit -- strobe case -- ------------------------------------------------------------- IMP_2BIT_STRB : process (lsig_strb_vect) begin case lsig_strb_vect is when "01" | "10" => lsig_num_set <= 1; when "11" => lsig_num_set <= 2; when others => lsig_num_set <= 0; end case; end process IMP_2BIT_STRB; sig_stbs_asserted <= STD_LOGIC_VECTOR(TO_UNSIGNED(lsig_num_set, BITS_FOR_STBS_ASSERTED)); end generate GEN_2_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4_STRB -- -- If Generate Description: -- 4-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_4_STRB : if (C_STROBE_WIDTH = 4) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= "0000" & sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_4_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8_STRB -- -- If Generate Description: -- 8-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_8_STRB : if (C_STROBE_WIDTH = 8) generate signal lsig_strb_vect : std_logic_vector(7 downto 0) := (others => '0'); begin lsig_strb_vect <= sig_strb_input; -- make and 8-bit vector -- for the function call sig_stbs_asserted <= STD_LOGIC_VECTOR(RESIZE(funct_8bit_stbs_set(lsig_strb_vect), BITS_FOR_STBS_ASSERTED)); end generate GEN_8_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16_STRB -- -- If Generate Description: -- 16-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_16_STRB : if (C_STROBE_WIDTH = 16) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_16_STRB; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32_STRB -- -- If Generate Description: -- 32-bit strobe bus width case -- -- ------------------------------------------------------------ GEN_32_STRB : if (C_STROBE_WIDTH = 32) generate Constant RESULT_BIT_WIDTH : integer := 8; signal lsig_strb_vect1 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect2 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect3 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_strb_vect4 : std_logic_vector(7 downto 0) := (others => '0'); signal lsig_num_in_stbs1 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs2 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs3 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_in_stbs4 : unsigned(3 downto 0) := (others => '0'); signal lsig_num_total : unsigned(RESULT_BIT_WIDTH-1 downto 0) := (others => '0'); begin lsig_strb_vect1 <= sig_strb_input(7 downto 0); -- make and 8-bit vector -- for the function call lsig_strb_vect2 <= sig_strb_input(15 downto 8); -- make and 8-bit vector -- for the function call lsig_strb_vect3 <= sig_strb_input(23 downto 16); -- make and 8-bit vector -- for the function call lsig_strb_vect4 <= sig_strb_input(31 downto 24); -- make and 8-bit vector -- for the function call lsig_num_in_stbs1 <= funct_8bit_stbs_set(lsig_strb_vect1) ; lsig_num_in_stbs2 <= funct_8bit_stbs_set(lsig_strb_vect2) ; lsig_num_in_stbs3 <= funct_8bit_stbs_set(lsig_strb_vect3) ; lsig_num_in_stbs4 <= funct_8bit_stbs_set(lsig_strb_vect4) ; lsig_num_total <= RESIZE(lsig_num_in_stbs1 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs2 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs3 , RESULT_BIT_WIDTH) + RESIZE(lsig_num_in_stbs4 , RESULT_BIT_WIDTH); sig_stbs_asserted <= STD_LOGIC_VECTOR(lsig_num_total); end generate GEN_32_STRB; end implementation;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.NUMERIC_STD.all; LIBRARY unisim; USE unisim.VCOMPONENTS.all; ENTITY adc_fco_align_ctrl IS PORT( adc_clk_div : IN std_logic; fco_serdes : IN std_logic_vector (7 DOWNTO 0); bitslip : OUT std_logic; serdes_ce : OUT std_logic; serdes_rst : OUT std_logic ); END ENTITY adc_fco_align_ctrl ; -- ARCHITECTURE rtl OF adc_fco_align_ctrl IS CONSTANT fco_pattern_c : std_logic_vector(7 DOWNTO 0) := "11110000"; -- CONSTANT fco_pattern_c : std_logic_vector(7 DOWNTO 0) := "00001111"; SIGNAL pattern_match : std_logic := '0'; SIGNAL timer : unsigned(1 DOWNTO 0) := "00"; SIGNAL fco_align_ce : std_logic := '0'; SIGNAL serdes_init : std_logic := '0'; BEGIN serdes_rst <= not serdes_init; serdes_ce <= serdes_init; PROCESS(adc_clk_div) BEGIN IF adc_clk_div'EVENT AND adc_clk_div = '1' THEN -- SERDES control... serdes_init <= '1'; -- timer counting 16-bits... fco_align_ce <= '0'; timer <= timer + 1; IF timer = 3 THEN fco_align_ce <= '1'; END IF; -- Pattern comparator... IF fco_serdes = fco_pattern_c THEN pattern_match <= '1'; ELSE pattern_match <= '0'; END IF; bitslip <= '0'; -- default IF fco_align_ce = '1' THEN IF pattern_match = '0' THEN bitslip <= '1'; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE rtl;
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTER BANK TO BE USED IN PIPELINE DEVICE THAT USES GENERAL PURPOSE REGISTERS FOR PIPELINE USE -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity RegisterBank is Port ( RAddr : in STD_LOGIC_VECTOR (3 downto 0); -- RBddr : in STD_LOGIC_VECTOR (3 downto 0); -- RWddr : in STD_LOGIC_VECTOR (3 downto 0); DATAIN : in STD_LOGIC_VECTOR (15 downto 0); clk : in STD_LOGIC; R : in STD_LOGIC; W : in STD_LOGIC; RAout : out STD_LOGIC_VECTOR (15 downto 0); -- RBout : out STD_LOGIC_VECTOR (15 downto 0)); -- end RegisterBank; architecture Behavioral of RegisterBank is signal R0dat, R1dat, R2dat, R3dat, R4dat, R5dat, R6dat, R7dat, R8dat, R9dat, R10dat, R11dat, R12dat, R13dat, R14dat, R15dat : STD_LOGIC_VECTOR(15 downto 0) := x"0000"; begin process(clk) -- Synchronous register bank begin if(rising_edge(clk) and R = '1') then -- Synchronous data read when read line enabled on rising edge (before write back) case RAddr is when x"0" => RAout <= R0dat; when x"1" => RAout <= R1dat; when x"2" => RAout <= R2dat; when x"3" => RAout <= R3dat; when x"4" => RAout <= R4dat; when x"5" => RAout <= R5dat; when x"6" => RAout <= R6dat; when x"7" => RAout <= R7dat; when x"8" => RAout <= R8dat; when x"9" => RAout <= R9dat; when x"A" => RAout <= R10dat; when x"B" => RAout <= R11dat; when x"C" => RAout <= R12dat; when x"D" => RAout <= R13dat; when x"E" => RAout <= R14dat; when x"F" => RAout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; case RBddr is when x"0" => RBout <= R0dat; when x"1" => RBout <= R1dat; when x"2" => RBout <= R2dat; when x"3" => RBout <= R3dat; when x"4" => RBout <= R4dat; when x"5" => RBout <= R5dat; when x"6" => RBout <= R6dat; when x"7" => RBout <= R7dat; when x"8" => RBout <= R8dat; when x"9" => RBout <= R9dat; when x"A" => RBout <= R10dat; when x"B" => RBout <= R11dat; when x"C" => RBout <= R12dat; when x"D" => RBout <= R13dat; when x"E" => RBout <= R14dat; when x"F" => RBout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; if(falling_edge(clk) and W = '1') then -- Synchronous data latching when write line enabled (after data read) case RWddr is when x"0" => R0dat <= DATAIN; when x"1" => R1dat <= DATAIN; when x"2" => R2dat <= DATAIN; when x"3" => R3dat <= DATAIN; when x"4" => R4dat <= DATAIN; when x"5" => R5dat <= DATAIN; when x"6" => R6dat <= DATAIN; when x"7" => R7dat <= DATAIN; when x"8" => R8dat <= DATAIN; when x"9" => R9dat <= DATAIN; when x"A" => R10dat <= DATAIN; when x"B" => R11dat <= DATAIN; when x"C" => R12dat <= DATAIN; when x"D" => R13dat <= DATAIN; when x"E" => R14dat <= DATAIN; when x"F" => R15dat <= DATAIN; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTER BANK TO BE USED IN PIPELINE DEVICE THAT USES GENERAL PURPOSE REGISTERS FOR PIPELINE USE -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity RegisterBank is Port ( RAddr : in STD_LOGIC_VECTOR (3 downto 0); -- RBddr : in STD_LOGIC_VECTOR (3 downto 0); -- RWddr : in STD_LOGIC_VECTOR (3 downto 0); DATAIN : in STD_LOGIC_VECTOR (15 downto 0); clk : in STD_LOGIC; R : in STD_LOGIC; W : in STD_LOGIC; RAout : out STD_LOGIC_VECTOR (15 downto 0); -- RBout : out STD_LOGIC_VECTOR (15 downto 0)); -- end RegisterBank; architecture Behavioral of RegisterBank is signal R0dat, R1dat, R2dat, R3dat, R4dat, R5dat, R6dat, R7dat, R8dat, R9dat, R10dat, R11dat, R12dat, R13dat, R14dat, R15dat : STD_LOGIC_VECTOR(15 downto 0) := x"0000"; begin process(clk) -- Synchronous register bank begin if(rising_edge(clk) and R = '1') then -- Synchronous data read when read line enabled on rising edge (before write back) case RAddr is when x"0" => RAout <= R0dat; when x"1" => RAout <= R1dat; when x"2" => RAout <= R2dat; when x"3" => RAout <= R3dat; when x"4" => RAout <= R4dat; when x"5" => RAout <= R5dat; when x"6" => RAout <= R6dat; when x"7" => RAout <= R7dat; when x"8" => RAout <= R8dat; when x"9" => RAout <= R9dat; when x"A" => RAout <= R10dat; when x"B" => RAout <= R11dat; when x"C" => RAout <= R12dat; when x"D" => RAout <= R13dat; when x"E" => RAout <= R14dat; when x"F" => RAout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; case RBddr is when x"0" => RBout <= R0dat; when x"1" => RBout <= R1dat; when x"2" => RBout <= R2dat; when x"3" => RBout <= R3dat; when x"4" => RBout <= R4dat; when x"5" => RBout <= R5dat; when x"6" => RBout <= R6dat; when x"7" => RBout <= R7dat; when x"8" => RBout <= R8dat; when x"9" => RBout <= R9dat; when x"A" => RBout <= R10dat; when x"B" => RBout <= R11dat; when x"C" => RBout <= R12dat; when x"D" => RBout <= R13dat; when x"E" => RBout <= R14dat; when x"F" => RBout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; if(falling_edge(clk) and W = '1') then -- Synchronous data latching when write line enabled (after data read) case RWddr is when x"0" => R0dat <= DATAIN; when x"1" => R1dat <= DATAIN; when x"2" => R2dat <= DATAIN; when x"3" => R3dat <= DATAIN; when x"4" => R4dat <= DATAIN; when x"5" => R5dat <= DATAIN; when x"6" => R6dat <= DATAIN; when x"7" => R7dat <= DATAIN; when x"8" => R8dat <= DATAIN; when x"9" => R9dat <= DATAIN; when x"A" => R10dat <= DATAIN; when x"B" => R11dat <= DATAIN; when x"C" => R12dat <= DATAIN; when x"D" => R13dat <= DATAIN; when x"E" => R14dat <= DATAIN; when x"F" => R15dat <= DATAIN; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTER BANK TO BE USED IN PIPELINE DEVICE THAT USES GENERAL PURPOSE REGISTERS FOR PIPELINE USE -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity RegisterBank is Port ( RAddr : in STD_LOGIC_VECTOR (3 downto 0); -- RBddr : in STD_LOGIC_VECTOR (3 downto 0); -- RWddr : in STD_LOGIC_VECTOR (3 downto 0); DATAIN : in STD_LOGIC_VECTOR (15 downto 0); clk : in STD_LOGIC; R : in STD_LOGIC; W : in STD_LOGIC; RAout : out STD_LOGIC_VECTOR (15 downto 0); -- RBout : out STD_LOGIC_VECTOR (15 downto 0)); -- end RegisterBank; architecture Behavioral of RegisterBank is signal R0dat, R1dat, R2dat, R3dat, R4dat, R5dat, R6dat, R7dat, R8dat, R9dat, R10dat, R11dat, R12dat, R13dat, R14dat, R15dat : STD_LOGIC_VECTOR(15 downto 0) := x"0000"; begin process(clk) -- Synchronous register bank begin if(rising_edge(clk) and R = '1') then -- Synchronous data read when read line enabled on rising edge (before write back) case RAddr is when x"0" => RAout <= R0dat; when x"1" => RAout <= R1dat; when x"2" => RAout <= R2dat; when x"3" => RAout <= R3dat; when x"4" => RAout <= R4dat; when x"5" => RAout <= R5dat; when x"6" => RAout <= R6dat; when x"7" => RAout <= R7dat; when x"8" => RAout <= R8dat; when x"9" => RAout <= R9dat; when x"A" => RAout <= R10dat; when x"B" => RAout <= R11dat; when x"C" => RAout <= R12dat; when x"D" => RAout <= R13dat; when x"E" => RAout <= R14dat; when x"F" => RAout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; case RBddr is when x"0" => RBout <= R0dat; when x"1" => RBout <= R1dat; when x"2" => RBout <= R2dat; when x"3" => RBout <= R3dat; when x"4" => RBout <= R4dat; when x"5" => RBout <= R5dat; when x"6" => RBout <= R6dat; when x"7" => RBout <= R7dat; when x"8" => RBout <= R8dat; when x"9" => RBout <= R9dat; when x"A" => RBout <= R10dat; when x"B" => RBout <= R11dat; when x"C" => RBout <= R12dat; when x"D" => RBout <= R13dat; when x"E" => RBout <= R14dat; when x"F" => RBout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; if(falling_edge(clk) and W = '1') then -- Synchronous data latching when write line enabled (after data read) case RWddr is when x"0" => R0dat <= DATAIN; when x"1" => R1dat <= DATAIN; when x"2" => R2dat <= DATAIN; when x"3" => R3dat <= DATAIN; when x"4" => R4dat <= DATAIN; when x"5" => R5dat <= DATAIN; when x"6" => R6dat <= DATAIN; when x"7" => R7dat <= DATAIN; when x"8" => R8dat <= DATAIN; when x"9" => R9dat <= DATAIN; when x"A" => R10dat <= DATAIN; when x"B" => R11dat <= DATAIN; when x"C" => R12dat <= DATAIN; when x"D" => R13dat <= DATAIN; when x"E" => R14dat <= DATAIN; when x"F" => R15dat <= DATAIN; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19.08.2016 14:48:09 -- Design Name: -- Module Name: Switches_LEDS - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( switches_1 : in STD_LOGIC_VECTOR(7 downto 0); switches_2 : in STD_LOGIC_VECTOR(3 downto 0); LEDS_1 : out STD_LOGIC_VECTOR(7 downto 0); LEDS_2 : out STD_LOGIC_VECTOR(3 downto 0); clk : in STD_LOGIC ); end counter; architecture Behavioral of counter is signal counter_1 : STD_LOGIC_VECTOR(6 downto 0); signal counter_2 : STD_LOGIC_VECTOR(9 downto 0); signal counter_3 : STD_LOGIC_VECTOR(9 downto 0); signal LED_state : STD_LOGIC_VECTOR(3 downto 0); -- Reset signals signal reset : STD_LOGIC; begin --Reset block reset_proc: process(clk) begin if rising_edge(clk) then if switches_2(0) = '1' then reset <= '1'; else reset <= '0'; end if; end if; end process; --End of reset block --Counter block counter_1_proc: process(clk) begin if rising_edge(clk) and reset = '0' then counter_1 <= counter_1+1; if counter_1 = STD_LOGIC_VECTOR(to_unsigned(100,7)) or reset = '1' then counter_1 <= (others=>'0'); end if; end if; end process; counter_2_proc: process(clk) begin if rising_edge(clk) then if counter_1 = STD_LOGIC_VECTOR(to_unsigned(100,7)) then counter_2 <= counter_2 + 1; end if; if counter_2 = STD_LOGIC_VECTOR(to_unsigned(1000,10)) or reset = '1' then counter_2 <= (others=>'0'); end if; end if; end process; counter_3_proc: process(clk) begin if rising_edge(clk) if counter_2 = STD_LOGIC_VECTOR(to_unsigned(1000,10)) then counter_3 <= counter_3 + 1; end if; if counter_3 = STD_LOGIC_VECTOR(to_unsigned(1000,10)) or reset = '1'then counter_3 <= (others =>'0') end if; end if; end process; --End of counter block --Display 1 second on each LED LED_proc: process(clk) begin if rising_edge(clk) then if reset= '1' then LED_state <= "1000"; end if; if counter_3 = STD_LOGIC_VECTOR(to_unsigned(1000,10)) then --Assign LEDds to internal LED state LEDS_1(7 downto 4) <= LED_state(3 downto 0); -- Shift register to move along LED chain LED_state(3 downto 0) <= LED_state(0) & LED_state(3 downto 1); end if; --Set lower bits for counter as this is all the counter will reach LEDS_1(3 downto 0) <= counter_3(5 downto 2); end if; end process; --Switch off LEDS on board, comment if you want to use these LEDs elesewhere LEDS_2 <= (others=>'0'); end Behavioral;
-- generated with romgen v3.0.1r4 by MikeJ truhy and eD library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity fpgautils is port ( CLK : in std_logic; ADDR : in std_logic_vector(11 downto 0); DATA : out std_logic_vector(7 downto 0) ); end; architecture RTL of fpgautils is function romgen_str2bv (str : string) return bit_vector is variable result : bit_vector (str'length*4-1 downto 0); begin for i in 0 to str'length-1 loop case str(str'high-i) is when '0' => result(i*4+3 downto i*4) := x"0"; when '1' => result(i*4+3 downto i*4) := x"1"; when '2' => result(i*4+3 downto i*4) := x"2"; when '3' => result(i*4+3 downto i*4) := x"3"; when '4' => result(i*4+3 downto i*4) := x"4"; when '5' => result(i*4+3 downto i*4) := x"5"; when '6' => result(i*4+3 downto i*4) := x"6"; when '7' => result(i*4+3 downto i*4) := x"7"; when '8' => result(i*4+3 downto i*4) := x"8"; when '9' => result(i*4+3 downto i*4) := x"9"; when 'A' => result(i*4+3 downto i*4) := x"A"; when 'B' => result(i*4+3 downto i*4) := x"B"; when 'C' => result(i*4+3 downto i*4) := x"C"; when 'D' => result(i*4+3 downto i*4) := x"D"; when 'E' => result(i*4+3 downto i*4) := x"E"; when 'F' => result(i*4+3 downto i*4) := x"F"; when others => null; end case; end loop; return result; end romgen_str2bv; attribute INIT_00 : string; attribute INIT_01 : string; attribute INIT_02 : string; attribute INIT_03 : string; attribute INIT_04 : string; attribute INIT_05 : string; attribute INIT_06 : string; attribute INIT_07 : string; attribute INIT_08 : string; attribute INIT_09 : string; attribute INIT_0A : string; attribute INIT_0B : string; attribute INIT_0C : string; attribute INIT_0D : string; attribute INIT_0E : string; attribute INIT_0F : string; attribute INIT_10 : string; attribute INIT_11 : string; attribute INIT_12 : string; attribute INIT_13 : string; attribute INIT_14 : string; attribute INIT_15 : string; attribute INIT_16 : string; attribute INIT_17 : string; attribute INIT_18 : string; attribute INIT_19 : string; attribute INIT_1A : string; attribute INIT_1B : string; attribute INIT_1C : string; attribute INIT_1D : string; attribute INIT_1E : string; attribute INIT_1F : string; attribute INIT_20 : string; attribute INIT_21 : string; attribute INIT_22 : string; attribute INIT_23 : string; attribute INIT_24 : string; attribute INIT_25 : string; attribute INIT_26 : string; attribute INIT_27 : string; attribute INIT_28 : string; attribute INIT_29 : string; attribute INIT_2A : string; attribute INIT_2B : string; attribute INIT_2C : string; attribute INIT_2D : string; attribute INIT_2E : string; attribute INIT_2F : string; attribute INIT_30 : string; attribute INIT_31 : string; attribute INIT_32 : string; attribute INIT_33 : string; attribute INIT_34 : string; attribute INIT_35 : string; attribute INIT_36 : string; attribute INIT_37 : string; attribute INIT_38 : string; attribute INIT_39 : string; attribute INIT_3A : string; attribute INIT_3B : string; attribute INIT_3C : string; attribute INIT_3D : string; attribute INIT_3E : string; attribute INIT_3F : string; component RAMB16_S4 --pragma translate_off generic ( INIT_00 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_01 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_02 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_03 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_04 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_05 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_06 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_07 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_08 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_09 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0A : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0B : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0C : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0D : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0E : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_0F : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_10 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_11 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_12 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_13 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_14 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_15 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_16 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_17 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_18 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_19 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1A : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1B : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1C : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1D : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1E : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_1F : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_20 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_21 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_22 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_23 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_24 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_25 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_26 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_27 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_28 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_29 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2A : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2B : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2C : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2D : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2E : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_2F : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_30 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_31 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_32 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_33 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_34 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_35 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_36 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_37 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_38 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_39 : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3A : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3B : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3C : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3D : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3E : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000"; INIT_3F : bit_vector (255 downto 0) := x"0000000000000000000000000000000000000000000000000000000000000000" ); --pragma translate_on port ( DO : out std_logic_vector (3 downto 0); ADDR : in std_logic_vector (11 downto 0); CLK : in std_logic; DI : in std_logic_vector (3 downto 0); EN : in std_logic; SSR : in std_logic; WE : in std_logic ); end component; signal rom_addr : std_logic_vector(11 downto 0); begin p_addr : process(ADDR) begin rom_addr <= (others => '0'); rom_addr(11 downto 0) <= ADDR; end process; rom0 : if true generate attribute INIT_00 of inst : label is "C58602C58C46020904602250DD353470A860E951A00BD85051400CD888E4F2F0"; attribute INIT_01 of inst : label is "2B4831C676439C2613237441FCE45441FC05D345F373E934345FE93734512F60"; attribute INIT_02 of inst : label is "4E5CCE42143C0E42143C0E4E5CCE42143C0000E541204512C0854A0817676255"; attribute INIT_03 of inst : label is "603C94501706710035652575155505652108C02108C0000EBE12CCE42143C00E"; attribute INIT_04 of inst : label is "8514D95860095850955154505FFDF9508A888FDC82085820951B0020400A02E0"; attribute INIT_05 of inst : label is "88FFDF9D595885A5A0AA6A72958A5A0AA6A7285E035554025455620469524D41"; attribute INIT_06 of inst : label is "808080808080808080808080808080808080808080808080808080808080088A"; attribute INIT_07 of inst : label is "8080808080808080808080808080808080808080808080808080808080808080"; attribute INIT_08 of inst : label is "8080808080808080808080808080808080808080808080808080808080808080"; attribute INIT_09 of inst : label is "8080808080808080808080808080808080808080808080808080808080808080"; attribute INIT_0A of inst : label is "3210DCFE89AB01235476BA98EFCDBA98EFCD01235476DCFE89AB674532108080"; attribute INIT_0B of inst : label is "98BA76542301AB89FEDC1032456710324567AB89FEDC76542301CDEF98BA6745"; attribute INIT_0C of inst : label is "765498BACDEF45671032FEDCAB89FEDCAB894567103298BACDEF23017654CDEF"; attribute INIT_0D of inst : label is "DCFE32106745EFCDBA985476012354760123EFCDBA983210674589ABDCFE2301"; attribute INIT_0E of inst : label is "5652108C0E2CD0D89019D1D8029D3928D890D0D9029D1D02BD392AD293D089AB"; attribute INIT_0F of inst : label is "0D2D75820D3D85A69686766C0E5D5C5B509A50995D9859975096535554545553"; attribute INIT_10 of inst : label is "5652108C00203555802545562046D0D419019D1D00551545051E01B0040FDC82"; attribute INIT_11 of inst : label is "2D005030860A8029D1D02109029D1D94840035152505ADA141404E5371015550"; attribute INIT_12 of inst : label is "4E500DA7108200582015A0A42143710A036E0269524D21D0D8514D958602D490"; attribute INIT_13 of inst : label is "108C01ECA0A32300DA71095885A5A0AA6A72958A5A0AA6A72858202582035A0A"; attribute INIT_14 of inst : label is "EF3710FD082005658201555A0DF2607108204575A0BE120D127F207102108C02"; attribute INIT_15 of inst : label is "C900ADAEEE7E9DD127F20DA97100ADA4542F21DAE7101099F30A0F9EF980D296"; attribute INIT_16 of inst : label is "5AAD59FFD2955DA9FFD5955D09FFD59AAD59FFD2955DA9FFD59880C50B088095"; attribute INIT_17 of inst : label is "FFD4555D09FFD59AAD59FFD2955DA9FFD590035092509808D0A020000D09FFD4"; attribute INIT_18 of inst : label is "0FCCFED8980C508088096790058C4602888FFDF9D57009353616108D0A822101"; attribute INIT_19 of inst : label is "185710F00CD888FD0508F40600E9508905F4009508F40600CDF40090002FD001"; attribute INIT_1A of inst : label is "9A09149000ADA4C000430831C60DA00043041FCE40DA002511045120DAA35C0D"; attribute INIT_1B of inst : label is "956585800023509150925050989DB988D0982DA981D09509088091B9108B0924"; attribute INIT_1C of inst : label is "59065850C0008FD0790F40098208582095F4009820F9A00A9956049858000275"; attribute INIT_1D of inst : label is "8889083041A0F988418410608F4060418C09541208584100557945D901C30759"; attribute INIT_1E of inst : label is "F03F41C50792F0C5E25B1360792F041FC61A10792F03931216D5055558094545"; attribute INIT_1F of inst : label is "0393120322BF04F30393120322AC04F203931203226504F10393120322460792"; attribute INIT_20 of inst : label is "44349059E260261023DDF418A045834100C5E25B10904583410041FC619804F4"; attribute INIT_21 of inst : label is "F31BA052E3603F44377036045C7F803FD0322A403E2603717E9052E36026103F"; attribute INIT_22 of inst : label is "31010FD540E2F319503C130E2F314203C130E2F311503C130E2F31B603C130E2"; attribute INIT_23 of inst : label is "390E552330B512102F49EFD0B5123042F70E2F3136008450E2F31EA046450E2F"; attribute INIT_24 of inst : label is "DF412400E360531BB02E2605317E00E2605311B0949C9450661210E552330B51"; attribute INIT_25 of inst : label is "F2B3942908F2DF34401281CB0422E16037F4E97039DF41D101E16037F4E97039"; attribute INIT_26 of inst : label is "301B010E1608F2535FDD008F23FA2604E2603717FC0DF2B394B80DF2B394BB0D"; attribute INIT_27 of inst : label is "2E360DF243E203E260DF243F606E260116C13F501E1608F28266037E1605D218"; attribute INIT_28 of inst : label is "5E0DF413940EF93F200908F2CFF4000CE08F2CFF40006E039312250530001D04"; attribute INIT_29 of inst : label is "0EF930B1049450EF930B5049450EF9302F0752540EF930100DF413940EF93F20"; attribute INIT_2A of inst : label is "EBE5FF01E160949C94507FCC97350DF257042F6417550949C945033F2B904945"; attribute INIT_2B of inst : label is "D0C70A2B80407910B9B004C059D069F4CC9D0D092BDB92AD5929DB928D190E7F"; attribute INIT_2C of inst : label is "B80B6CB40F0E1EB009B40D4C0400B8050008E1EB00920F97009DAC0D1CDBCC8C"; attribute INIT_2D of inst : label is "B0C0F4E5BBCA20A01E59D2C65898B6CB90DDC408C501090090900C0014002004"; attribute INIT_2E of inst : label is "0E5090B08E109F0C70708009109EB0708F09009E60006480B0E10708C0647009"; attribute INIT_2F of inst : label is "5002C5446880854468A204654888EB00F620E509B0C885000F6B0E5F09080F62"; attribute INIT_30 of inst : label is "0FE86510FEFEDCBA9800A0CDDA02C835CDD25C5DC7072860E10B0E10BA060E10"; attribute INIT_31 of inst : label is "09409309209DDDDDDDDDBDDDBBBBBBBBBBB26868F0E2CA2FBB9444EDC78B10C1"; attribute INIT_32 of inst : label is "096097084095097083094097082093097081092090C09B09A099098097096095"; attribute INIT_33 of inst : label is "FFFF00708B09C09708A09B09708909A097088099097087098097086097097085"; attribute INIT_34 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_35 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_36 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_37 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_38 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_39 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3A of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3B of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3C of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3D of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3E of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3F of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; begin inst : RAMB16_S4 --pragma translate_off generic map ( INIT_00 => romgen_str2bv(inst'INIT_00), INIT_01 => romgen_str2bv(inst'INIT_01), INIT_02 => romgen_str2bv(inst'INIT_02), INIT_03 => romgen_str2bv(inst'INIT_03), INIT_04 => romgen_str2bv(inst'INIT_04), INIT_05 => romgen_str2bv(inst'INIT_05), INIT_06 => romgen_str2bv(inst'INIT_06), INIT_07 => romgen_str2bv(inst'INIT_07), INIT_08 => romgen_str2bv(inst'INIT_08), INIT_09 => romgen_str2bv(inst'INIT_09), INIT_0A => romgen_str2bv(inst'INIT_0A), INIT_0B => romgen_str2bv(inst'INIT_0B), INIT_0C => romgen_str2bv(inst'INIT_0C), INIT_0D => romgen_str2bv(inst'INIT_0D), INIT_0E => romgen_str2bv(inst'INIT_0E), INIT_0F => romgen_str2bv(inst'INIT_0F), INIT_10 => romgen_str2bv(inst'INIT_10), INIT_11 => romgen_str2bv(inst'INIT_11), INIT_12 => romgen_str2bv(inst'INIT_12), INIT_13 => romgen_str2bv(inst'INIT_13), INIT_14 => romgen_str2bv(inst'INIT_14), INIT_15 => romgen_str2bv(inst'INIT_15), INIT_16 => romgen_str2bv(inst'INIT_16), INIT_17 => romgen_str2bv(inst'INIT_17), INIT_18 => romgen_str2bv(inst'INIT_18), INIT_19 => romgen_str2bv(inst'INIT_19), INIT_1A => romgen_str2bv(inst'INIT_1A), INIT_1B => romgen_str2bv(inst'INIT_1B), INIT_1C => romgen_str2bv(inst'INIT_1C), INIT_1D => romgen_str2bv(inst'INIT_1D), INIT_1E => romgen_str2bv(inst'INIT_1E), INIT_1F => romgen_str2bv(inst'INIT_1F), INIT_20 => romgen_str2bv(inst'INIT_20), INIT_21 => romgen_str2bv(inst'INIT_21), INIT_22 => romgen_str2bv(inst'INIT_22), INIT_23 => romgen_str2bv(inst'INIT_23), INIT_24 => romgen_str2bv(inst'INIT_24), INIT_25 => romgen_str2bv(inst'INIT_25), INIT_26 => romgen_str2bv(inst'INIT_26), INIT_27 => romgen_str2bv(inst'INIT_27), INIT_28 => romgen_str2bv(inst'INIT_28), INIT_29 => romgen_str2bv(inst'INIT_29), INIT_2A => romgen_str2bv(inst'INIT_2A), INIT_2B => romgen_str2bv(inst'INIT_2B), INIT_2C => romgen_str2bv(inst'INIT_2C), INIT_2D => romgen_str2bv(inst'INIT_2D), INIT_2E => romgen_str2bv(inst'INIT_2E), INIT_2F => romgen_str2bv(inst'INIT_2F), INIT_30 => romgen_str2bv(inst'INIT_30), INIT_31 => romgen_str2bv(inst'INIT_31), INIT_32 => romgen_str2bv(inst'INIT_32), INIT_33 => romgen_str2bv(inst'INIT_33), INIT_34 => romgen_str2bv(inst'INIT_34), INIT_35 => romgen_str2bv(inst'INIT_35), INIT_36 => romgen_str2bv(inst'INIT_36), INIT_37 => romgen_str2bv(inst'INIT_37), INIT_38 => romgen_str2bv(inst'INIT_38), INIT_39 => romgen_str2bv(inst'INIT_39), INIT_3A => romgen_str2bv(inst'INIT_3A), INIT_3B => romgen_str2bv(inst'INIT_3B), INIT_3C => romgen_str2bv(inst'INIT_3C), INIT_3D => romgen_str2bv(inst'INIT_3D), INIT_3E => romgen_str2bv(inst'INIT_3E), INIT_3F => romgen_str2bv(inst'INIT_3F) ) --pragma translate_on port map ( DO => DATA(3 downto 0), ADDR => rom_addr, CLK => CLK, DI => "0000", EN => '1', SSR => '0', WE => '0' ); end generate; rom1 : if true generate attribute INIT_00 of inst : label is "4444056C54080AA32080A58A3B5808EBCCED2C0BF1A3BEFF0D13A3BCE85AFAB4"; attribute INIT_01 of inst : label is "4FA45444BA554450A4543A4444440A4444559A55458A4458A554445BA45443A5"; attribute INIT_02 of inst : label is "444323554553035545530344432355455300003454524544305CCA334452A444"; attribute INIT_03 of inst : label is "525445524454FD26882A881A882A881AC32CB2C32CB200034444323554553003"; attribute INIT_04 of inst : label is "88A858A8A0A88880A888A888ABF8120148497FE4F028AF028AA120AAD26E3323"; attribute INIT_05 of inst : label is "65BF802FA886888AF1C8600A8A48AF1C8600A8ADD8C8AED8C8A8E0D8E88A858B"; attribute INIT_06 of inst : label is "71AC9F4235E8538EF92417CABD60DB0671AC9F4235E8538EF92417CABD606A6A"; attribute INIT_07 of inst : label is "71AC9F4235E8538EF92417CABD60DB0671AC9F4235E8538EF92417CABD60DB06"; attribute INIT_08 of inst : label is "71AC9F4235E8538EF92417CABD60DB0671AC9F4235E8538EF92417CABD60DB06"; attribute INIT_09 of inst : label is "71AC9F4235E8538EF92417CABD60DB0671AC9F4235E8538EF92417CABD60DB06"; attribute INIT_0A of inst : label is "333333333333222222222222222211111111111111110000000000000000DB06"; attribute INIT_0B of inst : label is "6666666666667777777777777777444444444444444455555555555555553333"; attribute INIT_0C of inst : label is "8888888888889999999999999999AAAAAAAAAAAAAAAABBBBBBBBBBBBBBBB6666"; attribute INIT_0D of inst : label is "DDDDDDDDDDDDCCCCCCCCCCCCCCCCFFFFFFFFFFFFFFFFEEEEEEEEEEEEEEEE8888"; attribute INIT_0E of inst : label is "81AC32CB2F54BB86FF02BBA46008AA008AA6BBAFF02BBA6008AA0089AA92DDDD"; attribute INIT_0F of inst : label is "2BB85AF02BB85A52525252C82585858580A580A583A580A580A584A583A582A5"; attribute INIT_10 of inst : label is "81AC32CB26ED8C8AED8C8A8E0D8EBB88BFF02BBA0A888A888AA02A12AD2FE4F0"; attribute INIT_11 of inst : label is "0A0A2FFD8FDC0D02BBA0A1DFF02BBA88880A888A888AE00454424445FD2882A8"; attribute INIT_12 of inst : label is "4442200FD2F028AF028AE2355455FD2CD8ECD8E88A8589BBA88A858A8AB0804B"; attribute INIT_13 of inst : label is "32CB2A04E234542200FD2886888AF1C8600A8A48AF1C8600A8AF028AF028AE23"; attribute INIT_14 of inst : label is "444FD2FE2F02881AF02882AE244542FD2F02881AE2444424454455FD2C32CB2C"; attribute INIT_15 of inst : label is "8B0AE0022244444454455005FD26E004455444004FD21F5CFE2E232425224544"; attribute INIT_16 of inst : label is "AAA85ABF80AA58AABF80AA588ABF80AAA85ABF80AA58AABF80A7204FD9CC209A"; attribute INIT_17 of inst : label is "BF88AA58AABF80AAA85ABF80AA58AABF80A0A88AA880AFD8FDC0A0AA083ABF88"; attribute INIT_18 of inst : label is "2FF6BF80A204FD0CC209A3B0AC54080A665BF802FACDBC8A8E8EDDCFDC0A898B"; attribute INIT_19 of inst : label is "454FD2C1A3BEECFE2FDCFF20FA8BFD0CEAFF22AFDEFF203A3BFF22A0A0AFE2AF"; attribute INIT_1A of inst : label is "B309A8B0A6E00323333224544420033332244444420033333324544200354454"; attribute INIT_1B of inst : label is "8A888A2020A88BA88AA88880A2283A2280A2283A2280AFD6CC209A1BFDC309A8"; attribute INIT_1C of inst : label is "A0D8C8A6CDAEEFE2A32FF22AF028AF028AFF22AF021282F2C8A0D8C8A2028A88"; attribute INIT_1D of inst : label is "19CFDC0F8BEFFCCC8388B6FDCFF20F8BC0D8C8B1D8CC8B0A88AA888AAF40D8C8"; attribute INIT_1E of inst : label is "425444440445424445444E8044542544444AA044542445444D7CD8886A0A8886"; attribute INIT_1F of inst : label is "24454424442103232445442444D503232445442444E5032324454424441F0445"; attribute INIT_20 of inst : label is "445B4033235255423444454920444454524445444FB044445452544444450323"; attribute INIT_21 of inst : label is "4444903323525444551035254444425442444EB0323525444B10332352554254"; attribute INIT_22 of inst : label is "44BF044442454444F04444245444FE04444245444BC044442454445104444245"; attribute INIT_23 of inst : label is "FE04445452444100545444424449404545245444B203344245444CF033442454"; attribute INIT_24 of inst : label is "4454430323524442B0323524449B032352444EF0554445524247604445452444"; attribute INIT_25 of inst : label is "454544BF05444445D03554CE0443235255444452444454780323525544445244"; attribute INIT_26 of inst : label is "45C60332352544455446905445446003235254449404454544C7044545441304"; attribute INIT_27 of inst : label is "3235244545CE032352445455203235244444597032352544554E033235244544"; attribute INIT_28 of inst : label is "810445454424454455740544444525569054444452556004454454555255BB03"; attribute INIT_29 of inst : label is "2444555A05444244455540544424445519045444244455060445454424454455"; attribute INIT_2A of inst : label is "4445FF03235255444552544445F104454524544545F405544455255454905444"; attribute INIT_2B of inst : label is "0DA220AA221F0C1F1C13EA1F1C1F0CFF40ABE88A008AA008FA008AA008EA0454"; attribute INIT_2C of inst : label is "A72A24AC2E1D9F622AAC2F44E80AA72095CCD9F62640316192CF146F14F04A14"; attribute INIT_2D of inst : label is "398CDADAAF4AE22B0202F94E82A1A24AC2F74FDCA728098092AB080AE88AE1EA"; attribute INIT_2E of inst : label is "9D8566F18D92A4AA92FDC8B980BF62FDC7B980BF625AE82AFBF720D803EA393C"; attribute INIT_2F of inst : label is "05B02E8E8D062EAEA6AE2E8E8D40FF26DC0BD85EA44660D8EDA0DDA4A1186DE0"; attribute INIT_30 of inst : label is "10000000710000000006F9A2DC0E69E8A5BE8A4BA221A9FBF72FBF72F82F9F72"; attribute INIT_31 of inst : label is "09809809809FFFFFFFFFAFFFAAAAAAAAAAACCCDDDCAE69DD2566245313232211"; attribute INIT_32 of inst : label is "B980BFDC8B980BFDC8B980BFDC8B980BFDC8B980B68098098098098098098098"; attribute INIT_33 of inst : label is "FFFF06FDC8B980BFDC8B980BFDC8B980BFDC8B980BFDC8B980BFDC8B980BFDC8"; attribute INIT_34 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_35 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_36 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_37 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_38 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_39 of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3A of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3B of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3C of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3D of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3E of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; attribute INIT_3F of inst : label is "FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF"; begin inst : RAMB16_S4 --pragma translate_off generic map ( INIT_00 => romgen_str2bv(inst'INIT_00), INIT_01 => romgen_str2bv(inst'INIT_01), INIT_02 => romgen_str2bv(inst'INIT_02), INIT_03 => romgen_str2bv(inst'INIT_03), INIT_04 => romgen_str2bv(inst'INIT_04), INIT_05 => romgen_str2bv(inst'INIT_05), INIT_06 => romgen_str2bv(inst'INIT_06), INIT_07 => romgen_str2bv(inst'INIT_07), INIT_08 => romgen_str2bv(inst'INIT_08), INIT_09 => romgen_str2bv(inst'INIT_09), INIT_0A => romgen_str2bv(inst'INIT_0A), INIT_0B => romgen_str2bv(inst'INIT_0B), INIT_0C => romgen_str2bv(inst'INIT_0C), INIT_0D => romgen_str2bv(inst'INIT_0D), INIT_0E => romgen_str2bv(inst'INIT_0E), INIT_0F => romgen_str2bv(inst'INIT_0F), INIT_10 => romgen_str2bv(inst'INIT_10), INIT_11 => romgen_str2bv(inst'INIT_11), INIT_12 => romgen_str2bv(inst'INIT_12), INIT_13 => romgen_str2bv(inst'INIT_13), INIT_14 => romgen_str2bv(inst'INIT_14), INIT_15 => romgen_str2bv(inst'INIT_15), INIT_16 => romgen_str2bv(inst'INIT_16), INIT_17 => romgen_str2bv(inst'INIT_17), INIT_18 => romgen_str2bv(inst'INIT_18), INIT_19 => romgen_str2bv(inst'INIT_19), INIT_1A => romgen_str2bv(inst'INIT_1A), INIT_1B => romgen_str2bv(inst'INIT_1B), INIT_1C => romgen_str2bv(inst'INIT_1C), INIT_1D => romgen_str2bv(inst'INIT_1D), INIT_1E => romgen_str2bv(inst'INIT_1E), INIT_1F => romgen_str2bv(inst'INIT_1F), INIT_20 => romgen_str2bv(inst'INIT_20), INIT_21 => romgen_str2bv(inst'INIT_21), INIT_22 => romgen_str2bv(inst'INIT_22), INIT_23 => romgen_str2bv(inst'INIT_23), INIT_24 => romgen_str2bv(inst'INIT_24), INIT_25 => romgen_str2bv(inst'INIT_25), INIT_26 => romgen_str2bv(inst'INIT_26), INIT_27 => romgen_str2bv(inst'INIT_27), INIT_28 => romgen_str2bv(inst'INIT_28), INIT_29 => romgen_str2bv(inst'INIT_29), INIT_2A => romgen_str2bv(inst'INIT_2A), INIT_2B => romgen_str2bv(inst'INIT_2B), INIT_2C => romgen_str2bv(inst'INIT_2C), INIT_2D => romgen_str2bv(inst'INIT_2D), INIT_2E => romgen_str2bv(inst'INIT_2E), INIT_2F => romgen_str2bv(inst'INIT_2F), INIT_30 => romgen_str2bv(inst'INIT_30), INIT_31 => romgen_str2bv(inst'INIT_31), INIT_32 => romgen_str2bv(inst'INIT_32), INIT_33 => romgen_str2bv(inst'INIT_33), INIT_34 => romgen_str2bv(inst'INIT_34), INIT_35 => romgen_str2bv(inst'INIT_35), INIT_36 => romgen_str2bv(inst'INIT_36), INIT_37 => romgen_str2bv(inst'INIT_37), INIT_38 => romgen_str2bv(inst'INIT_38), INIT_39 => romgen_str2bv(inst'INIT_39), INIT_3A => romgen_str2bv(inst'INIT_3A), INIT_3B => romgen_str2bv(inst'INIT_3B), INIT_3C => romgen_str2bv(inst'INIT_3C), INIT_3D => romgen_str2bv(inst'INIT_3D), INIT_3E => romgen_str2bv(inst'INIT_3E), INIT_3F => romgen_str2bv(inst'INIT_3F) ) --pragma translate_on port map ( DO => DATA(7 downto 4), ADDR => rom_addr, CLK => CLK, DI => "0000", EN => '1', SSR => '0', WE => '0' ); end generate; end RTL;
-- rgb_gen_tb.vhd -- Jan Viktorin <[email protected]> -- Copyright (C) 2011, 2012 Jan Viktorin library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity rgb_gen_tb is end entity; architecture testbench of rgb_gen_tb is signal clk : std_logic; signal rst : std_logic; signal gen_r : std_logic_vector(7 downto 0); signal gen_g : std_logic_vector(7 downto 0); signal gen_b : std_logic_vector(7 downto 0); signal gen_de : std_logic; signal gen_hs : std_logic; signal gen_vs : std_logic; signal horiz : integer; signal vert : integer; signal x_hs : std_logic; signal x_vs : std_logic; signal x_de : std_logic; begin gen_i : entity work.rgb_gen port map ( CLK => clk, RST => rst, R => gen_r, G => gen_g, B => gen_b, DE => gen_de, HS => gen_hs, VS => gen_vs ); clkgen_i : entity work.clkgen port map ( CLK => clk, RST => rst ); horizp : process(CLK, RST, gen_hs, gen_vs) variable init : boolean := false; begin if rising_edge(CLK) then if RST = '1' or (gen_vs = '0' and not init) then horiz <= 0; elsif gen_vs = '1' and not init then init := true; horiz <= (horiz + 1) mod 800; else horiz <= (horiz + 1) mod 800; end if; end if; end process; vertp : process(CLK, RST, horiz) begin if rising_edge(CLK) then if RST = '1' then vert <= 0; elsif horiz = 799 then vert <= (vert + 1) mod 525; end if; end if; end process; x_hs <= '0' when horiz < 96 else '1'; x_vs <= '0' when vert >= 33 + 480 + 10 else '1'; x_de <= '1' when horiz >= 96 + 48 and horiz < 96 + 48 + 640 and vert >= 33 and vert < 33 + 480 else '0'; checkp : process(CLK, RST, gen_hs, x_hs, gen_vs, x_vs, gen_de, x_de) variable init : boolean := false; begin if rising_edge(CLK) then if RST = '1' then init := false; elsif gen_hs = '1' and gen_vs = '1' then init := true; end if; if RST = '0' and init then assert gen_hs = x_hs report "Invalid horizontal synchronization" severity failure; assert gen_vs = x_vs report "Invalid vertical synchronization" severity failure; assert gen_de = x_de report "Invalid data enable" severity failure; end if; end if; end process; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2786.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity BUS is end BUS; ENTITY c13s09b00x00p99n01i02786ent IS END c13s09b00x00p99n01i02786ent; ARCHITECTURE c13s09b00x00p99n01i02786arch OF c13s09b00x00p99n01i02786ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02786 - Reserved word BUS can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02786arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2786.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity BUS is end BUS; ENTITY c13s09b00x00p99n01i02786ent IS END c13s09b00x00p99n01i02786ent; ARCHITECTURE c13s09b00x00p99n01i02786arch OF c13s09b00x00p99n01i02786ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02786 - Reserved word BUS can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02786arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2786.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity BUS is end BUS; ENTITY c13s09b00x00p99n01i02786ent IS END c13s09b00x00p99n01i02786ent; ARCHITECTURE c13s09b00x00p99n01i02786arch OF c13s09b00x00p99n01i02786ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02786 - Reserved word BUS can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02786arch;
-- file: pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1___192.000______0.000______50.0______102.845_____87.180 -- CLK_OUT2___100.000______0.000______50.0______115.831_____87.180 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary_____________100____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity pll is port (-- Clock in ports CLK_IN : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; CLK_OUT2 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end pll; architecture xilinx of pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "pll,clk_wiz_v3_6,{component_name=pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=2,clkin1_period=10.000,clkin2_period=10.000,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkfboutb_unused : std_logic; signal clkout0 : std_logic; signal clkout0b_unused : std_logic; signal clkout1 : std_logic; signal clkout1b_unused : std_logic; signal clkout2_unused : std_logic; signal clkout2b_unused : std_logic; signal clkout3_unused : std_logic; signal clkout3b_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; signal clkout6_unused : std_logic; -- Dynamic programming unused signals signal do_unused : std_logic_vector(15 downto 0); signal drdy_unused : std_logic; -- Dynamic phase shift unused signals signal psdone_unused : std_logic; -- Unused status signals signal clkfbstopped_unused : std_logic; signal clkinstopped_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1_buf : IBUFG port map (O => clkin1, I => CLK_IN); -- Clocking primitive -------------------------------------- -- Instantiation of the MMCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => 12.000, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 6.250, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 12, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 10.000, REF_JITTER1 => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => clkout0, CLKOUT0B => clkout0b_unused, CLKOUT1 => clkout1, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout, CLKIN1 => clkin1, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => LOCKED, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => RESET); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); clkout2_buf : BUFG port map (O => CLK_OUT2, I => clkout1); end xilinx;
-- file: pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1___192.000______0.000______50.0______102.845_____87.180 -- CLK_OUT2___100.000______0.000______50.0______115.831_____87.180 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary_____________100____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity pll is port (-- Clock in ports CLK_IN : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; CLK_OUT2 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end pll; architecture xilinx of pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "pll,clk_wiz_v3_6,{component_name=pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=2,clkin1_period=10.000,clkin2_period=10.000,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkfboutb_unused : std_logic; signal clkout0 : std_logic; signal clkout0b_unused : std_logic; signal clkout1 : std_logic; signal clkout1b_unused : std_logic; signal clkout2_unused : std_logic; signal clkout2b_unused : std_logic; signal clkout3_unused : std_logic; signal clkout3b_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; signal clkout6_unused : std_logic; -- Dynamic programming unused signals signal do_unused : std_logic_vector(15 downto 0); signal drdy_unused : std_logic; -- Dynamic phase shift unused signals signal psdone_unused : std_logic; -- Unused status signals signal clkfbstopped_unused : std_logic; signal clkinstopped_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1_buf : IBUFG port map (O => clkin1, I => CLK_IN); -- Clocking primitive -------------------------------------- -- Instantiation of the MMCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => 12.000, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 6.250, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 12, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 10.000, REF_JITTER1 => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => clkout0, CLKOUT0B => clkout0b_unused, CLKOUT1 => clkout1, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout, CLKIN1 => clkin1, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => LOCKED, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => RESET); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); clkout2_buf : BUFG port map (O => CLK_OUT2, I => clkout1); end xilinx;
-- file: pll.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1___192.000______0.000______50.0______102.845_____87.180 -- CLK_OUT2___100.000______0.000______50.0______115.831_____87.180 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary_____________100____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity pll is port (-- Clock in ports CLK_IN : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; CLK_OUT2 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end pll; architecture xilinx of pll is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "pll,clk_wiz_v3_6,{component_name=pll,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=2,clkin1_period=10.000,clkin2_period=10.000,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkfboutb_unused : std_logic; signal clkout0 : std_logic; signal clkout0b_unused : std_logic; signal clkout1 : std_logic; signal clkout1b_unused : std_logic; signal clkout2_unused : std_logic; signal clkout2b_unused : std_logic; signal clkout3_unused : std_logic; signal clkout3b_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; signal clkout6_unused : std_logic; -- Dynamic programming unused signals signal do_unused : std_logic_vector(15 downto 0); signal drdy_unused : std_logic; -- Dynamic phase shift unused signals signal psdone_unused : std_logic; -- Unused status signals signal clkfbstopped_unused : std_logic; signal clkinstopped_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1_buf : IBUFG port map (O => clkin1, I => CLK_IN); -- Clocking primitive -------------------------------------- -- Instantiation of the MMCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => 12.000, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 6.250, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 12, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 10.000, REF_JITTER1 => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => clkout0, CLKOUT0B => clkout0b_unused, CLKOUT1 => clkout1, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout, CLKIN1 => clkin1, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => LOCKED, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => RESET); -- Output buffering ------------------------------------- clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkout0); clkout2_buf : BUFG port map (O => CLK_OUT2, I => clkout1); end xilinx;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
LIBRARY ieee; LIBRARY work; LIBRARY lpm; USE lpm.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** ALTERA FLOATING POINT DATAPATH COMPILER *** --*** *** --*** HCC_MUL54USS.VHD *** --*** *** --*** Function: 6 pipeline stage unsigned 54 *** --*** bit multiplier (synthesizable) *** --*** *** --*** 14/07/07 ML *** --*** *** --*** (c) 2007 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY hcc_mul54uss IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1); mulcc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1) ); END hcc_mul54uss; ARCHITECTURE syn of hcc_mul54uss IS signal muloneaa, mulonebb : STD_LOGIC_VECTOR (36 DOWNTO 1); signal multwoaa, multwobb, multhraa, multhrbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulforaa, mulforbb, mulfivaa, mulfivbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal mulsixaa, mulsixbb : STD_LOGIC_VECTOR (18 DOWNTO 1); signal muloneout : STD_LOGIC_VECTOR (72 DOWNTO 1); signal multwoout, multhrout, mulforout, mulfivout, mulsixout : STD_LOGIC_VECTOR (36 DOWNTO 1); signal vecone, vectwo, vecthr, vecfor, vecfiv : STD_LOGIC_VECTOR (72 DOWNTO 1); signal vecsix, vecsev, vecegt, vecnin, vecten : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecone, carvecone : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvectwo, carvectwo : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumvecthr, carvecthr : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumoneff, caroneff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal sumtwoff, cartwoff : STD_LOGIC_VECTOR (72 DOWNTO 1); signal resultnode : STD_LOGIC_VECTOR (64 DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1); component altmult_add GENERIC ( addnsub_multiplier_aclr1 : STRING; addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_aclr_a0 : STRING; input_aclr_b0 : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_aclr : STRING; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_aclr_a : STRING; signed_aclr_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); clock0 : IN STD_LOGIC ; aclr3 : IN STD_LOGIC ; ena0 : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0) ); end component; -- identical component to that above, but fixed at 18x18, latency 2 -- mul18usus generated by Quartus component hcc_mul18usus PORT ( aclr3 : IN STD_LOGIC := '0'; clock0 : IN STD_LOGIC := '1'; dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); datab_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0'); ena0 : IN STD_LOGIC := '1'; result : OUT STD_LOGIC_VECTOR (35 DOWNTO 0) ); end component; COMPONENT lpm_add_sub GENERIC ( lpm_direction : STRING; lpm_hint : STRING; lpm_pipeline : NATURAL; lpm_type : STRING; lpm_width : NATURAL ); PORT ( dataa : IN STD_LOGIC_VECTOR (63 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (63 DOWNTO 0); clken : IN STD_LOGIC ; aclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; result : OUT STD_LOGIC_VECTOR (63 DOWNTO 0) ); END COMPONENT; BEGIN gza: FOR k IN 1 TO 36 GENERATE zerovec(k) <= '0'; END GENERATE; muloneaa <= mulaa(36 DOWNTO 1); mulonebb <= mulbb(36 DOWNTO 1); multwoaa <= mulaa(54 DOWNTO 37); multwobb <= mulbb(18 DOWNTO 1); multhraa <= mulaa(54 DOWNTO 37); multhrbb <= mulbb(36 DOWNTO 19); mulforaa <= mulbb(54 DOWNTO 37); mulforbb <= mulaa(18 DOWNTO 1); mulfivaa <= mulbb(54 DOWNTO 37); mulfivbb <= mulaa(36 DOWNTO 19); mulsixaa <= mulbb(54 DOWNTO 37); mulsixbb <= mulaa(54 DOWNTO 37); -- {C,A) * {D,B} -- CAA -- DBB -- AA*BB 36x36=72, latency 3 mulone : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 36, width_b => 36, width_result => 72 ) PORT MAP ( dataa => muloneaa, datab => mulonebb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => muloneout ); -- Blo*C 18*18 = 36, latency = 2 multwo: hcc_mul18usus PORT MAP ( dataa_0 => multwoaa, datab_0 => multwobb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multwoout ); -- Bhi*C 18*18 = 36, latency = 2 multhr: hcc_mul18usus PORT MAP ( dataa_0 => multhraa, datab_0 => multhrbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => multhrout ); -- Alo*D 18*18 = 36, latency = 2 mulfor: hcc_mul18usus PORT MAP ( dataa_0 => mulforaa, datab_0 => mulforbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulforout ); -- Ahi*D 18*18 = 36, latency = 2 mulfiv: hcc_mul18usus PORT MAP ( dataa_0 => mulfivaa, datab_0 => mulfivbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulfivout ); -- C*D 18*18 = 36, latency = 3 mulsix : altmult_add GENERIC MAP ( addnsub_multiplier_aclr1 => "ACLR3", addnsub_multiplier_pipeline_aclr1 => "ACLR3", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "CLOCK0", dedicated_multiplier_circuitry => "AUTO", input_aclr_a0 => "ACLR3", input_aclr_b0 => "ACLR3", input_register_a0 => "CLOCK0", input_register_b0 => "CLOCK0", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "Stratix II", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR3", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_aclr => "ACLR3", output_register => "CLOCK0", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_aclr_a => "ACLR3", signed_aclr_b => "ACLR3", signed_pipeline_aclr_a => "ACLR3", signed_pipeline_aclr_b => "ACLR3", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "CLOCK0", signed_register_b => "CLOCK0", width_a => 18, width_b => 18, width_result => 36 ) PORT MAP ( dataa => mulsixaa, datab => mulsixbb, clock0 => sysclk, aclr3 => reset, ena0 => enable, result => mulsixout ); vecone <= zerovec(36 DOWNTO 1) & multwoout; vectwo <= zerovec(18 DOWNTO 1) & multhrout & zerovec(18 DOWNTO 1); vecthr <= zerovec(36 DOWNTO 1) & mulforout; vecfor <= zerovec(18 DOWNTO 1) & mulfivout & zerovec(18 DOWNTO 1); gva: FOR k IN 1 TO 72 GENERATE sumvecone(k) <= vecone(k) XOR vectwo(k) XOR vecthr(k); carvecone(k) <= (vecone(k) AND vectwo(k)) OR (vectwo(k) AND vecthr(k)) OR (vecone(k) AND vecthr(k)); END GENERATE; vecfiv <= vecfor; vecsix <= sumvecone; vecsev <= carvecone(71 DOWNTO 1) & '0'; gvb: FOR k IN 1 TO 72 GENERATE sumvectwo(k) <= vecfiv(k) XOR vecsix(k) XOR vecsev(k); carvectwo(k) <= (vecfiv(k) AND vecsix(k)) OR (vecsix(k) AND vecsev(k)) OR (vecfiv(k) AND vecsev(k)); END GENERATE; paa: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN FOR k IN 1 TO 72 LOOP sumoneff(k) <= '0'; caroneff(k) <= '0'; sumtwoff(k) <= '0'; cartwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF (enable = '1') THEN sumoneff <= sumvectwo; caroneff <= carvectwo(71 DOWNTO 1) & '0'; sumtwoff <= sumvecthr; cartwoff <= carvecthr(71 DOWNTO 1) & '0'; END IF; END IF; END PROCESS; vecegt <= sumoneff; vecnin <= caroneff; vecten <= mulsixout & muloneout(72 DOWNTO 37); gvc: FOR k IN 1 TO 72 GENERATE sumvecthr(k) <= vecegt(k) XOR vecnin(k) XOR vecten(k); carvecthr(k) <= (vecegt(k) AND vecnin(k)) OR (vecnin(k) AND vecten(k)) OR (vecegt(k) AND vecten(k)); END GENERATE; -- according to marcel, 2 pipes = 1 pipe in middle, on on output adder : lpm_add_sub GENERIC MAP ( lpm_direction => "ADD", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_pipeline => 2, lpm_type => "LPM_ADD_SUB", lpm_width => 64 ) PORT MAP ( dataa => sumtwoff(72 DOWNTO 9), datab => cartwoff(72 DOWNTO 9), clken => enable, aclr => reset, clock => sysclk, result => resultnode ); mulcc <= resultnode; END syn;
---------------------------------------------------------------------------- -- This file is a part of the LEON VHDL model -- Copyright (C) 1999 European Space Agency (ESA) -- -- This library is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2 of the License, or (at your option) any later version. -- -- See the file COPYING.LGPL for the full details of the license. ----------------------------------------------------------------------------- -- Entity: tech_fs90 -- File: tech_fs90.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Contains UMC (Farraday Technology) FS90A/B specific pads and -- ram generators ------------------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use work.iface.all; package tech_fs90 is -- sync ram generator component fs90_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in std_logic; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic); end component; -- regfile generator component fs90_regfile generic ( abits : integer := 8; dbits : integer := 32; words : integer := 128); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end component; -- pads component fs90_inpad port (pad : in std_logic; q : out std_logic); end component; component fs90_smpad port (pad : in std_logic; q : out std_logic); end component; component fs90_outpad generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end component; component fs90_toutpadu generic (drive : integer := 1); port (d, en : in std_logic; pad : out std_logic); end component; component fs90_iopad generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_smiopad generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_iopadu generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_iodpad generic (drive : integer := 1); port ( d : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_odpad generic (drive : integer := 1); port ( d : in std_logic; pad : out std_logic); end component; end; ------------------------------------------------------------------ -- behavioural pad models -------------------------------------------- ------------------------------------------------------------------ -- Only needed for simulation, not synthesis. -- pragma translate_off -- input pad library IEEE; use IEEE.std_logic_1164.all; entity uyfaa is port ( o : out std_logic; i : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end; architecture rtl of uyfaa is signal inode : std_logic; begin inode <= to_x01(i) after 1 ns; inode <= 'H' when pu = '1' else 'L' when pd = '1' else 'Z'; o <= to_x01(inode); end; -- output pad library IEEE; use IEEE.std_logic_1164.all; entity vyfa2gsa is port ( o : out std_logic; i : in std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic); end; architecture rtl of vyfa2gsa is begin o <= to_x01(i) after 2 ns when e = '1' else 'Z' after 2 ns; end; -- bidirectional pad library IEEE; use IEEE.std_logic_1164.all; entity wyfa2gsa is port ( o : out std_logic; i : in std_logic; io : inout std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end; architecture rtl of wyfa2gsa is begin io <= to_x01(i) after 2 ns when e = '1' else 'Z' after 2 ns; io <= 'H' when pu = '1' else 'L' when pd = '1' else 'Z'; o <= to_x01(io); end; ------------------------------------------------------------------ -- behavioural ram models ---------------------------------------- ------------------------------------------------------------------ -- synchronous ram library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use work.iface.all; entity fs90_syncram_sim is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector((abits -1) downto 0); clk : in std_logic; datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); cselect : in std_logic; oenable : in std_logic; write : in std_logic ); end; architecture behavioral of fs90_syncram_sim is type mem is array(0 to (2**abits -1)) of std_logic_vector((dbits -1) downto 0); signal memarr : mem; begin main : process(clk, memarr) variable do : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clk) then do := (others => 'X'); if cselect = '1' then if (write = '0') and not is_x(address) then memarr(conv_integer(unsigned(address))) <= datain; end if; if (write = '1') and not is_x(address) then do := memarr(conv_integer(unsigned(address))); end if; end if; if oenable = '1' then dataout <= do; else dataout <= (others => 'Z'); end if; end if; end process; end; -- 2-port ram LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity fs90_dpram_ss is generic ( abits : integer := 8; dbits : integer := 32; words : integer := 256 ); port ( data: in std_logic_vector (dbits -1 downto 0); rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); wren : in std_logic; clka, clkb : in std_logic; sela, selb : in std_logic; oe : in std_logic; q: out std_logic_vector (dbits -1 downto 0) ); end; architecture behav of fs90_dpram_ss is type mem is array(0 to (2**abits -1)) of std_logic_vector((dbits -1) downto 0); signal memarr : mem; begin main : process(clka, clkb, memarr) variable do : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clka) then do := (others => 'X'); if sela = '1' then if ((wren = '1') or (rdaddress /= wraddress)) and not is_x(rdaddress) then do := memarr(conv_integer(unsigned(rdaddress))); end if; end if; if oe = '1' then q <= do; else q <= (others => 'Z'); end if; end if; if rising_edge(clkb) then if (selb = '1') and (wren = '0') and not is_x(wraddress) then memarr(conv_integer(unsigned(wraddress))) <= data; end if; end if; end process; end; LIBRARY ieee; use IEEE.std_logic_1164.all; package tech_fs90_sim is component fs90_syncram_sim generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector((abits -1) downto 0); clk : in std_logic; datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); cselect : in std_logic; oenable : in std_logic; write : in std_logic ); end component; component fs90_dpram_ss generic ( abits : integer := 8; dbits : integer := 32; words : integer := 256 ); port ( data: in std_logic_vector (dbits -1 downto 0); rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); wren : in std_logic; clka, clkb : in std_logic; sela, selb : in std_logic; oe : in std_logic; q: out std_logic_vector (dbits -1 downto 0) ); end component; end; -- Syncronous SRAM -- Address, control and data signals latched on rising CK. -- Write enable (WEB) active low. library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SA108019 is -- 128x25 port (A0, A1, A2, A3, A4, A5, A6, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24: out std_logic ); end; architecture behavioral of SA108019 is signal din, dout : std_logic_vector(24 downto 0); signal addr : std_logic_vector(6 downto 0); begin addr <= a6&a5&a4&a3&a2&a1&a0; din <= di24&di23&di22&di21&di20&di19&di18&di17&di16&di15&di14&di13&di12& di11&di10&di9&di8&di7&di6&di5&di4&di3&di2&di1&di0; do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); syncram0 : fs90_syncram_sim generic map ( abits => 7, dbits => 25) port map ( addr, ck, din, dout, cs, oe, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SU004020 is -- 512x32 port (A0, A1, A2, A3, A4, A5, A6, A7, A8, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end; architecture behavioral of SU004020 is signal din, dout : std_logic_vector(31 downto 0); signal addr : std_logic_vector(8 downto 0); begin addr <= a8&a7&a6&a5&a4&a3&a2&a1&a0; din <= di31&di30&di29&di28&di27&di26&di25&di24&di23&di22&di21&di20&di19& di18&di17&di16&di15&di14&di13&di12&di11&di10&di9&di8&di7&di6&di5& di4&di3&di2&di1&di0; do31 <= dout(31); do30 <= dout(30); do29 <= dout(29); do28 <= dout(28); do27 <= dout(27); do26 <= dout(26); do25 <= dout(25); do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); syncram0 : fs90_syncram_sim generic map ( abits => 9, dbits => 32) port map ( addr, ck, din, dout, cs, oe, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SW204420 is port (A0, A1, A2, A3, A4, A5, A6, A7, B0, B1, B2, B3, B4, B5, B6, B7, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CKA, CKB, CSA, CSB, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end; architecture behavioral of SW204420 is signal din, dout : std_logic_vector(31 downto 0); signal addra, addrb : std_logic_vector(7 downto 0); begin addra <= a7&a6&a5&a4&a3&a2&a1&a0; addrb <= b7&b6&b5&b4&b3&b2&b1&b0; din <= di31&di30&di29&di28&di27&di26&di25&di24&di23&di22&di21&di20&di19& di18&di17&di16&di15&di14&di13&di12&di11&di10&di9&di8&di7&di6&di5& di4&di3&di2&di1&di0; do31 <= dout(31); do30 <= dout(30); do29 <= dout(29); do28 <= dout(28); do27 <= dout(27); do26 <= dout(26); do25 <= dout(25); do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); dpram0 : fs90_dpram_ss generic map ( abits => 8, dbits => 32) port map ( din, addra, addrb, web, cka, ckb, csa, csb, oe, dout); end; -- pragma translate_on -- component declarations from true tech library LIBRARY ieee; use IEEE.std_logic_1164.all; package tech_fs90_syn is -- 128x25 sync ram component SA108019 port (A0, A1, A2, A3, A4, A5, A6, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24: out std_logic ); end component; -- 512x32 sync ram component SU004020 port (A0, A1, A2, A3, A4, A5, A6, A7, A8, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end component; -- 2-port sync ram component SW204420 port (A0, A1, A2, A3, A4, A5, A6, A7, B0, B1, B2, B3, B4, B5, B6, B7, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CKA, CKB, CSA, CSB, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end component; -- in-pad component uyfaa port ( o : out std_logic; i : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end component; -- out-pad component vyfa2gsa port ( o : out std_logic; i : in std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic); end component; -- io-pad component wyfa2gsa port ( o : out std_logic; i : in std_logic; io : inout std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end component; end; ------------------------------------------------------------------ -- sync ram generator -------------------------------------------- ------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_syncram is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in std_logic; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic ); end; architecture rtl of fs90_syncram is signal wr : std_logic; signal a : std_logic_vector(19 downto 0); signal d, o : std_logic_vector(34 downto 0); constant synopsys_bug : std_logic_vector(37 downto 0) := (others => '0'); signal we, vcc : std_logic; begin vcc <= '1'; wr <= not write; a(abits -1 downto 0) <= address; a(abits+1 downto abits) <= synopsys_bug(abits+1 downto abits); d(dbits -1 downto 0) <= datain; d(dbits+1 downto dbits) <= synopsys_bug(dbits+1 downto dbits); dataout <= o(dbits -1 downto 0); a7d25 : if (abits <= 7) and (dbits <= 25) generate id0 : SA108019 port map ( a(0), a(1), a(2), a(3), a(4), a(5), a(6), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), clk, enable, vcc, wr, o(0), o(1), o(2), o(3), o(4), o(5), o(6), o(7), o(8), o(9), o(10), o(11), o(12), o(13), o(14), o(15), o(16), o(17), o(18), o(19), o(20), o(21), o(22), o(23), o(24)); end generate; a9d32 : if (abits = 9) and (dbits = 32) generate id0 : SU004020 port map ( a(0), a(1), a(2), a(3), a(4), a(5), a(6), a(7), a(8), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clk, enable, vcc, wr, o(0), o(1), o(2), o(3), o(4), o(5), o(6), o(7), o(8), o(9), o(10), o(11), o(12), o(13), o(14), o(15), o(16), o(17), o(18), o(19), o(20), o(21), o(22), o(23), o(24), o(25), o(26), o(27), o(28), o(29), o(30), o(31)); end generate; end rtl; ------------------------------------------------------------------ -- regfile generator -------------------------------------------- ------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.config.all; use work.iface.all; use work.tech_fs90_syn.all; entity fs90_regfile is generic ( abits : integer := 8; dbits : integer := 32; words : integer := 128 ); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end; architecture rtl of fs90_regfile is signal d, q1, q2 : std_logic_vector(39 downto 0); signal vcc, wen : std_logic; signal ra1, ra2, wa : std_logic_vector(12 downto 0); begin wen <= not rfi.wren; vcc <= '1'; ra1(abits-1 downto 0) <= rfi.rd1addr; ra1(12 downto abits) <= (others => '0'); ra2(abits-1 downto 0) <= rfi.rd2addr; ra2(12 downto abits) <= (others => '0'); wa(abits-1 downto 0) <= rfi.wraddr; wa(12 downto abits) <= (others => '0'); rfo.data1 <= q1(dbits-1 downto 0); rfo.data2 <= q2(dbits-1 downto 0); d(RDBITS-1 downto 0) <= rfi.wrdata; dp136x32 : if (words = 136) and (dbits = 32) generate u0: SW204420 port map ( ra1(0), ra1(1), ra1(2), ra1(3), ra1(4), ra1(5), ra1(6), ra1(7), wa(0), wa(1), wa(2), wa(3), wa(4), wa(5), wa(6), wa(7), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clkn, clkn, rfi.ren1, rfi.wren, vcc, wen, q1(0), q1(1), q1(2), q1(3), q1(4), q1(5), q1(6), q1(7), q1(8), q1(9), q1(10), q1(11), q1(12), q1(13), q1(14), q1(15), q1(16), q1(17), q1(18), q1(19), q1(20), q1(21), q1(22), q1(23), q1(24), q1(25), q1(26), q1(27), q1(28), q1(29), q1(30), q1(31)); u1: SW204420 port map ( ra2(0), ra2(1), ra2(2), ra2(3), ra2(4), ra2(5), ra2(6), ra2(7), wa(0), wa(1), wa(2), wa(3), wa(4), wa(5), wa(6), wa(7), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clkn, clkn, rfi.ren2, rfi.wren, vcc, wen, q2(0), q2(1), q2(2), q2(3), q2(4), q2(5), q2(6), q2(7), q2(8), q2(9), q2(10), q2(11), q2(12), q2(13), q2(14), q2(15), q2(16), q2(17), q2(18), q2(19), q2(20), q2(21), q2(22), q2(23), q2(24), q2(25), q2(26), q2(27), q2(28), q2(29), q2(30), q2(31)); end generate; end; ------------------------------------------------------------------ -- mapping generic pads on tech pads --------------------------------- ------------------------------------------------------------------ -- input pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_inpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of fs90_inpad is signal gnd : std_logic; begin gnd <= '0'; i0 : uyfaa port map (q, pad, gnd, gnd, gnd); end; -- input schmitt pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_smpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of fs90_smpad is signal gnd, vcc : std_logic; begin gnd <= '0'; vcc <= '1'; i0 : uyfaa port map (q, pad, gnd, gnd, vcc); end; -- output pads library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_outpad is generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end; architecture syn of fs90_outpad is signal gnd, vcc : std_logic; begin gnd <= '0'; vcc <= '1'; d1 : if drive = 1 generate u0 : vyfa2gsa port map (pad, d, vcc, vcc, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, gnd, vcc, gnd); end generate; d4 : if drive > 3 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, vcc, vcc, gnd); end generate; end; -- tri-state output pads with pull-up library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_toutpadu is generic (drive : integer := 1); port (d, en : in std_logic; pad : out std_logic); end; architecture syn of fs90_toutpadu is signal gnd, vcc, q, ipad, eni : std_logic; begin gnd <= '0'; vcc <= '1'; pad <= ipad; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, ipad, eni, vcc, gnd, gnd, gnd, vcc, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, vcc, gnd, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, gnd, vcc, gnd, vcc, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, vcc, vcc, gnd, vcc, gnd, gnd); end generate; end; -- bidirectional pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_iopad is generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_iopad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, gnd); end generate; end; -- bidirectional schmitt pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_smiopad is generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_smiopad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, vcc); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, vcc); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, vcc); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, vcc); end generate; end; -- bidirectional pad with open-drain library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_iodpad is generic (drive : integer := 1); port ( d : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_iodpad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not d; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, gnd); end generate; end; -- output pad with open-drain library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_odpad is generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end; architecture syn of fs90_odpad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not d; d1 : if drive = 1 generate u0 : vyfa2gsa port map (pad, gnd, eni, vcc, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, gnd, vcc, gnd); end generate; d4 : if drive > 3 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, vcc, vcc, gnd); end generate; end;
---------------------------------------------------------------------------- -- This file is a part of the LEON VHDL model -- Copyright (C) 1999 European Space Agency (ESA) -- -- This library is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2 of the License, or (at your option) any later version. -- -- See the file COPYING.LGPL for the full details of the license. ----------------------------------------------------------------------------- -- Entity: tech_fs90 -- File: tech_fs90.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Contains UMC (Farraday Technology) FS90A/B specific pads and -- ram generators ------------------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use work.iface.all; package tech_fs90 is -- sync ram generator component fs90_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in std_logic; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic); end component; -- regfile generator component fs90_regfile generic ( abits : integer := 8; dbits : integer := 32; words : integer := 128); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end component; -- pads component fs90_inpad port (pad : in std_logic; q : out std_logic); end component; component fs90_smpad port (pad : in std_logic; q : out std_logic); end component; component fs90_outpad generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end component; component fs90_toutpadu generic (drive : integer := 1); port (d, en : in std_logic; pad : out std_logic); end component; component fs90_iopad generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_smiopad generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_iopadu generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_iodpad generic (drive : integer := 1); port ( d : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_odpad generic (drive : integer := 1); port ( d : in std_logic; pad : out std_logic); end component; end; ------------------------------------------------------------------ -- behavioural pad models -------------------------------------------- ------------------------------------------------------------------ -- Only needed for simulation, not synthesis. -- pragma translate_off -- input pad library IEEE; use IEEE.std_logic_1164.all; entity uyfaa is port ( o : out std_logic; i : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end; architecture rtl of uyfaa is signal inode : std_logic; begin inode <= to_x01(i) after 1 ns; inode <= 'H' when pu = '1' else 'L' when pd = '1' else 'Z'; o <= to_x01(inode); end; -- output pad library IEEE; use IEEE.std_logic_1164.all; entity vyfa2gsa is port ( o : out std_logic; i : in std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic); end; architecture rtl of vyfa2gsa is begin o <= to_x01(i) after 2 ns when e = '1' else 'Z' after 2 ns; end; -- bidirectional pad library IEEE; use IEEE.std_logic_1164.all; entity wyfa2gsa is port ( o : out std_logic; i : in std_logic; io : inout std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end; architecture rtl of wyfa2gsa is begin io <= to_x01(i) after 2 ns when e = '1' else 'Z' after 2 ns; io <= 'H' when pu = '1' else 'L' when pd = '1' else 'Z'; o <= to_x01(io); end; ------------------------------------------------------------------ -- behavioural ram models ---------------------------------------- ------------------------------------------------------------------ -- synchronous ram library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use work.iface.all; entity fs90_syncram_sim is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector((abits -1) downto 0); clk : in std_logic; datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); cselect : in std_logic; oenable : in std_logic; write : in std_logic ); end; architecture behavioral of fs90_syncram_sim is type mem is array(0 to (2**abits -1)) of std_logic_vector((dbits -1) downto 0); signal memarr : mem; begin main : process(clk, memarr) variable do : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clk) then do := (others => 'X'); if cselect = '1' then if (write = '0') and not is_x(address) then memarr(conv_integer(unsigned(address))) <= datain; end if; if (write = '1') and not is_x(address) then do := memarr(conv_integer(unsigned(address))); end if; end if; if oenable = '1' then dataout <= do; else dataout <= (others => 'Z'); end if; end if; end process; end; -- 2-port ram LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity fs90_dpram_ss is generic ( abits : integer := 8; dbits : integer := 32; words : integer := 256 ); port ( data: in std_logic_vector (dbits -1 downto 0); rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); wren : in std_logic; clka, clkb : in std_logic; sela, selb : in std_logic; oe : in std_logic; q: out std_logic_vector (dbits -1 downto 0) ); end; architecture behav of fs90_dpram_ss is type mem is array(0 to (2**abits -1)) of std_logic_vector((dbits -1) downto 0); signal memarr : mem; begin main : process(clka, clkb, memarr) variable do : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clka) then do := (others => 'X'); if sela = '1' then if ((wren = '1') or (rdaddress /= wraddress)) and not is_x(rdaddress) then do := memarr(conv_integer(unsigned(rdaddress))); end if; end if; if oe = '1' then q <= do; else q <= (others => 'Z'); end if; end if; if rising_edge(clkb) then if (selb = '1') and (wren = '0') and not is_x(wraddress) then memarr(conv_integer(unsigned(wraddress))) <= data; end if; end if; end process; end; LIBRARY ieee; use IEEE.std_logic_1164.all; package tech_fs90_sim is component fs90_syncram_sim generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector((abits -1) downto 0); clk : in std_logic; datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); cselect : in std_logic; oenable : in std_logic; write : in std_logic ); end component; component fs90_dpram_ss generic ( abits : integer := 8; dbits : integer := 32; words : integer := 256 ); port ( data: in std_logic_vector (dbits -1 downto 0); rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); wren : in std_logic; clka, clkb : in std_logic; sela, selb : in std_logic; oe : in std_logic; q: out std_logic_vector (dbits -1 downto 0) ); end component; end; -- Syncronous SRAM -- Address, control and data signals latched on rising CK. -- Write enable (WEB) active low. library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SA108019 is -- 128x25 port (A0, A1, A2, A3, A4, A5, A6, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24: out std_logic ); end; architecture behavioral of SA108019 is signal din, dout : std_logic_vector(24 downto 0); signal addr : std_logic_vector(6 downto 0); begin addr <= a6&a5&a4&a3&a2&a1&a0; din <= di24&di23&di22&di21&di20&di19&di18&di17&di16&di15&di14&di13&di12& di11&di10&di9&di8&di7&di6&di5&di4&di3&di2&di1&di0; do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); syncram0 : fs90_syncram_sim generic map ( abits => 7, dbits => 25) port map ( addr, ck, din, dout, cs, oe, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SU004020 is -- 512x32 port (A0, A1, A2, A3, A4, A5, A6, A7, A8, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end; architecture behavioral of SU004020 is signal din, dout : std_logic_vector(31 downto 0); signal addr : std_logic_vector(8 downto 0); begin addr <= a8&a7&a6&a5&a4&a3&a2&a1&a0; din <= di31&di30&di29&di28&di27&di26&di25&di24&di23&di22&di21&di20&di19& di18&di17&di16&di15&di14&di13&di12&di11&di10&di9&di8&di7&di6&di5& di4&di3&di2&di1&di0; do31 <= dout(31); do30 <= dout(30); do29 <= dout(29); do28 <= dout(28); do27 <= dout(27); do26 <= dout(26); do25 <= dout(25); do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); syncram0 : fs90_syncram_sim generic map ( abits => 9, dbits => 32) port map ( addr, ck, din, dout, cs, oe, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SW204420 is port (A0, A1, A2, A3, A4, A5, A6, A7, B0, B1, B2, B3, B4, B5, B6, B7, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CKA, CKB, CSA, CSB, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end; architecture behavioral of SW204420 is signal din, dout : std_logic_vector(31 downto 0); signal addra, addrb : std_logic_vector(7 downto 0); begin addra <= a7&a6&a5&a4&a3&a2&a1&a0; addrb <= b7&b6&b5&b4&b3&b2&b1&b0; din <= di31&di30&di29&di28&di27&di26&di25&di24&di23&di22&di21&di20&di19& di18&di17&di16&di15&di14&di13&di12&di11&di10&di9&di8&di7&di6&di5& di4&di3&di2&di1&di0; do31 <= dout(31); do30 <= dout(30); do29 <= dout(29); do28 <= dout(28); do27 <= dout(27); do26 <= dout(26); do25 <= dout(25); do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); dpram0 : fs90_dpram_ss generic map ( abits => 8, dbits => 32) port map ( din, addra, addrb, web, cka, ckb, csa, csb, oe, dout); end; -- pragma translate_on -- component declarations from true tech library LIBRARY ieee; use IEEE.std_logic_1164.all; package tech_fs90_syn is -- 128x25 sync ram component SA108019 port (A0, A1, A2, A3, A4, A5, A6, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24: out std_logic ); end component; -- 512x32 sync ram component SU004020 port (A0, A1, A2, A3, A4, A5, A6, A7, A8, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end component; -- 2-port sync ram component SW204420 port (A0, A1, A2, A3, A4, A5, A6, A7, B0, B1, B2, B3, B4, B5, B6, B7, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CKA, CKB, CSA, CSB, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end component; -- in-pad component uyfaa port ( o : out std_logic; i : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end component; -- out-pad component vyfa2gsa port ( o : out std_logic; i : in std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic); end component; -- io-pad component wyfa2gsa port ( o : out std_logic; i : in std_logic; io : inout std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end component; end; ------------------------------------------------------------------ -- sync ram generator -------------------------------------------- ------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_syncram is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in std_logic; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic ); end; architecture rtl of fs90_syncram is signal wr : std_logic; signal a : std_logic_vector(19 downto 0); signal d, o : std_logic_vector(34 downto 0); constant synopsys_bug : std_logic_vector(37 downto 0) := (others => '0'); signal we, vcc : std_logic; begin vcc <= '1'; wr <= not write; a(abits -1 downto 0) <= address; a(abits+1 downto abits) <= synopsys_bug(abits+1 downto abits); d(dbits -1 downto 0) <= datain; d(dbits+1 downto dbits) <= synopsys_bug(dbits+1 downto dbits); dataout <= o(dbits -1 downto 0); a7d25 : if (abits <= 7) and (dbits <= 25) generate id0 : SA108019 port map ( a(0), a(1), a(2), a(3), a(4), a(5), a(6), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), clk, enable, vcc, wr, o(0), o(1), o(2), o(3), o(4), o(5), o(6), o(7), o(8), o(9), o(10), o(11), o(12), o(13), o(14), o(15), o(16), o(17), o(18), o(19), o(20), o(21), o(22), o(23), o(24)); end generate; a9d32 : if (abits = 9) and (dbits = 32) generate id0 : SU004020 port map ( a(0), a(1), a(2), a(3), a(4), a(5), a(6), a(7), a(8), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clk, enable, vcc, wr, o(0), o(1), o(2), o(3), o(4), o(5), o(6), o(7), o(8), o(9), o(10), o(11), o(12), o(13), o(14), o(15), o(16), o(17), o(18), o(19), o(20), o(21), o(22), o(23), o(24), o(25), o(26), o(27), o(28), o(29), o(30), o(31)); end generate; end rtl; ------------------------------------------------------------------ -- regfile generator -------------------------------------------- ------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.config.all; use work.iface.all; use work.tech_fs90_syn.all; entity fs90_regfile is generic ( abits : integer := 8; dbits : integer := 32; words : integer := 128 ); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end; architecture rtl of fs90_regfile is signal d, q1, q2 : std_logic_vector(39 downto 0); signal vcc, wen : std_logic; signal ra1, ra2, wa : std_logic_vector(12 downto 0); begin wen <= not rfi.wren; vcc <= '1'; ra1(abits-1 downto 0) <= rfi.rd1addr; ra1(12 downto abits) <= (others => '0'); ra2(abits-1 downto 0) <= rfi.rd2addr; ra2(12 downto abits) <= (others => '0'); wa(abits-1 downto 0) <= rfi.wraddr; wa(12 downto abits) <= (others => '0'); rfo.data1 <= q1(dbits-1 downto 0); rfo.data2 <= q2(dbits-1 downto 0); d(RDBITS-1 downto 0) <= rfi.wrdata; dp136x32 : if (words = 136) and (dbits = 32) generate u0: SW204420 port map ( ra1(0), ra1(1), ra1(2), ra1(3), ra1(4), ra1(5), ra1(6), ra1(7), wa(0), wa(1), wa(2), wa(3), wa(4), wa(5), wa(6), wa(7), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clkn, clkn, rfi.ren1, rfi.wren, vcc, wen, q1(0), q1(1), q1(2), q1(3), q1(4), q1(5), q1(6), q1(7), q1(8), q1(9), q1(10), q1(11), q1(12), q1(13), q1(14), q1(15), q1(16), q1(17), q1(18), q1(19), q1(20), q1(21), q1(22), q1(23), q1(24), q1(25), q1(26), q1(27), q1(28), q1(29), q1(30), q1(31)); u1: SW204420 port map ( ra2(0), ra2(1), ra2(2), ra2(3), ra2(4), ra2(5), ra2(6), ra2(7), wa(0), wa(1), wa(2), wa(3), wa(4), wa(5), wa(6), wa(7), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clkn, clkn, rfi.ren2, rfi.wren, vcc, wen, q2(0), q2(1), q2(2), q2(3), q2(4), q2(5), q2(6), q2(7), q2(8), q2(9), q2(10), q2(11), q2(12), q2(13), q2(14), q2(15), q2(16), q2(17), q2(18), q2(19), q2(20), q2(21), q2(22), q2(23), q2(24), q2(25), q2(26), q2(27), q2(28), q2(29), q2(30), q2(31)); end generate; end; ------------------------------------------------------------------ -- mapping generic pads on tech pads --------------------------------- ------------------------------------------------------------------ -- input pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_inpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of fs90_inpad is signal gnd : std_logic; begin gnd <= '0'; i0 : uyfaa port map (q, pad, gnd, gnd, gnd); end; -- input schmitt pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_smpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of fs90_smpad is signal gnd, vcc : std_logic; begin gnd <= '0'; vcc <= '1'; i0 : uyfaa port map (q, pad, gnd, gnd, vcc); end; -- output pads library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_outpad is generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end; architecture syn of fs90_outpad is signal gnd, vcc : std_logic; begin gnd <= '0'; vcc <= '1'; d1 : if drive = 1 generate u0 : vyfa2gsa port map (pad, d, vcc, vcc, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, gnd, vcc, gnd); end generate; d4 : if drive > 3 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, vcc, vcc, gnd); end generate; end; -- tri-state output pads with pull-up library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_toutpadu is generic (drive : integer := 1); port (d, en : in std_logic; pad : out std_logic); end; architecture syn of fs90_toutpadu is signal gnd, vcc, q, ipad, eni : std_logic; begin gnd <= '0'; vcc <= '1'; pad <= ipad; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, ipad, eni, vcc, gnd, gnd, gnd, vcc, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, vcc, gnd, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, gnd, vcc, gnd, vcc, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, vcc, vcc, gnd, vcc, gnd, gnd); end generate; end; -- bidirectional pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_iopad is generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_iopad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, gnd); end generate; end; -- bidirectional schmitt pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_smiopad is generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_smiopad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, vcc); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, vcc); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, vcc); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, vcc); end generate; end; -- bidirectional pad with open-drain library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_iodpad is generic (drive : integer := 1); port ( d : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_iodpad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not d; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, gnd); end generate; end; -- output pad with open-drain library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_odpad is generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end; architecture syn of fs90_odpad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not d; d1 : if drive = 1 generate u0 : vyfa2gsa port map (pad, gnd, eni, vcc, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, gnd, vcc, gnd); end generate; d4 : if drive > 3 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, vcc, vcc, gnd); end generate; end;
---------------------------------------------------------------------------- -- This file is a part of the LEON VHDL model -- Copyright (C) 1999 European Space Agency (ESA) -- -- This library is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2 of the License, or (at your option) any later version. -- -- See the file COPYING.LGPL for the full details of the license. ----------------------------------------------------------------------------- -- Entity: tech_fs90 -- File: tech_fs90.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Contains UMC (Farraday Technology) FS90A/B specific pads and -- ram generators ------------------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use work.iface.all; package tech_fs90 is -- sync ram generator component fs90_syncram generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in std_logic; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic); end component; -- regfile generator component fs90_regfile generic ( abits : integer := 8; dbits : integer := 32; words : integer := 128); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end component; -- pads component fs90_inpad port (pad : in std_logic; q : out std_logic); end component; component fs90_smpad port (pad : in std_logic; q : out std_logic); end component; component fs90_outpad generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end component; component fs90_toutpadu generic (drive : integer := 1); port (d, en : in std_logic; pad : out std_logic); end component; component fs90_iopad generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_smiopad generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_iopadu generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_iodpad generic (drive : integer := 1); port ( d : in std_logic; q : out std_logic; pad : inout std_logic); end component; component fs90_odpad generic (drive : integer := 1); port ( d : in std_logic; pad : out std_logic); end component; end; ------------------------------------------------------------------ -- behavioural pad models -------------------------------------------- ------------------------------------------------------------------ -- Only needed for simulation, not synthesis. -- pragma translate_off -- input pad library IEEE; use IEEE.std_logic_1164.all; entity uyfaa is port ( o : out std_logic; i : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end; architecture rtl of uyfaa is signal inode : std_logic; begin inode <= to_x01(i) after 1 ns; inode <= 'H' when pu = '1' else 'L' when pd = '1' else 'Z'; o <= to_x01(inode); end; -- output pad library IEEE; use IEEE.std_logic_1164.all; entity vyfa2gsa is port ( o : out std_logic; i : in std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic); end; architecture rtl of vyfa2gsa is begin o <= to_x01(i) after 2 ns when e = '1' else 'Z' after 2 ns; end; -- bidirectional pad library IEEE; use IEEE.std_logic_1164.all; entity wyfa2gsa is port ( o : out std_logic; i : in std_logic; io : inout std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end; architecture rtl of wyfa2gsa is begin io <= to_x01(i) after 2 ns when e = '1' else 'Z' after 2 ns; io <= 'H' when pu = '1' else 'L' when pd = '1' else 'Z'; o <= to_x01(io); end; ------------------------------------------------------------------ -- behavioural ram models ---------------------------------------- ------------------------------------------------------------------ -- synchronous ram library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use work.iface.all; entity fs90_syncram_sim is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector((abits -1) downto 0); clk : in std_logic; datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); cselect : in std_logic; oenable : in std_logic; write : in std_logic ); end; architecture behavioral of fs90_syncram_sim is type mem is array(0 to (2**abits -1)) of std_logic_vector((dbits -1) downto 0); signal memarr : mem; begin main : process(clk, memarr) variable do : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clk) then do := (others => 'X'); if cselect = '1' then if (write = '0') and not is_x(address) then memarr(conv_integer(unsigned(address))) <= datain; end if; if (write = '1') and not is_x(address) then do := memarr(conv_integer(unsigned(address))); end if; end if; if oenable = '1' then dataout <= do; else dataout <= (others => 'Z'); end if; end if; end process; end; -- 2-port ram LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity fs90_dpram_ss is generic ( abits : integer := 8; dbits : integer := 32; words : integer := 256 ); port ( data: in std_logic_vector (dbits -1 downto 0); rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); wren : in std_logic; clka, clkb : in std_logic; sela, selb : in std_logic; oe : in std_logic; q: out std_logic_vector (dbits -1 downto 0) ); end; architecture behav of fs90_dpram_ss is type mem is array(0 to (2**abits -1)) of std_logic_vector((dbits -1) downto 0); signal memarr : mem; begin main : process(clka, clkb, memarr) variable do : std_logic_vector((dbits -1) downto 0); begin if rising_edge(clka) then do := (others => 'X'); if sela = '1' then if ((wren = '1') or (rdaddress /= wraddress)) and not is_x(rdaddress) then do := memarr(conv_integer(unsigned(rdaddress))); end if; end if; if oe = '1' then q <= do; else q <= (others => 'Z'); end if; end if; if rising_edge(clkb) then if (selb = '1') and (wren = '0') and not is_x(wraddress) then memarr(conv_integer(unsigned(wraddress))) <= data; end if; end if; end process; end; LIBRARY ieee; use IEEE.std_logic_1164.all; package tech_fs90_sim is component fs90_syncram_sim generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector((abits -1) downto 0); clk : in std_logic; datain : in std_logic_vector((dbits -1) downto 0); dataout : out std_logic_vector((dbits -1) downto 0); cselect : in std_logic; oenable : in std_logic; write : in std_logic ); end component; component fs90_dpram_ss generic ( abits : integer := 8; dbits : integer := 32; words : integer := 256 ); port ( data: in std_logic_vector (dbits -1 downto 0); rdaddress: in std_logic_vector (abits -1 downto 0); wraddress: in std_logic_vector (abits -1 downto 0); wren : in std_logic; clka, clkb : in std_logic; sela, selb : in std_logic; oe : in std_logic; q: out std_logic_vector (dbits -1 downto 0) ); end component; end; -- Syncronous SRAM -- Address, control and data signals latched on rising CK. -- Write enable (WEB) active low. library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SA108019 is -- 128x25 port (A0, A1, A2, A3, A4, A5, A6, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24: out std_logic ); end; architecture behavioral of SA108019 is signal din, dout : std_logic_vector(24 downto 0); signal addr : std_logic_vector(6 downto 0); begin addr <= a6&a5&a4&a3&a2&a1&a0; din <= di24&di23&di22&di21&di20&di19&di18&di17&di16&di15&di14&di13&di12& di11&di10&di9&di8&di7&di6&di5&di4&di3&di2&di1&di0; do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); syncram0 : fs90_syncram_sim generic map ( abits => 7, dbits => 25) port map ( addr, ck, din, dout, cs, oe, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SU004020 is -- 512x32 port (A0, A1, A2, A3, A4, A5, A6, A7, A8, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end; architecture behavioral of SU004020 is signal din, dout : std_logic_vector(31 downto 0); signal addr : std_logic_vector(8 downto 0); begin addr <= a8&a7&a6&a5&a4&a3&a2&a1&a0; din <= di31&di30&di29&di28&di27&di26&di25&di24&di23&di22&di21&di20&di19& di18&di17&di16&di15&di14&di13&di12&di11&di10&di9&di8&di7&di6&di5& di4&di3&di2&di1&di0; do31 <= dout(31); do30 <= dout(30); do29 <= dout(29); do28 <= dout(28); do27 <= dout(27); do26 <= dout(26); do25 <= dout(25); do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); syncram0 : fs90_syncram_sim generic map ( abits => 9, dbits => 32) port map ( addr, ck, din, dout, cs, oe, web); end behavioral; library ieee; use IEEE.std_logic_1164.all; use work.tech_fs90_sim.all; entity SW204420 is port (A0, A1, A2, A3, A4, A5, A6, A7, B0, B1, B2, B3, B4, B5, B6, B7, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CKA, CKB, CSA, CSB, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end; architecture behavioral of SW204420 is signal din, dout : std_logic_vector(31 downto 0); signal addra, addrb : std_logic_vector(7 downto 0); begin addra <= a7&a6&a5&a4&a3&a2&a1&a0; addrb <= b7&b6&b5&b4&b3&b2&b1&b0; din <= di31&di30&di29&di28&di27&di26&di25&di24&di23&di22&di21&di20&di19& di18&di17&di16&di15&di14&di13&di12&di11&di10&di9&di8&di7&di6&di5& di4&di3&di2&di1&di0; do31 <= dout(31); do30 <= dout(30); do29 <= dout(29); do28 <= dout(28); do27 <= dout(27); do26 <= dout(26); do25 <= dout(25); do24 <= dout(24); do23 <= dout(23); do22 <= dout(22); do21 <= dout(21); do20 <= dout(20); do19 <= dout(19); do18 <= dout(18); do17 <= dout(17); do16 <= dout(16); do15 <= dout(15); do14 <= dout(14); do13 <= dout(13); do12 <= dout(12); do11 <= dout(11); do10 <= dout(10); do9 <= dout(9); do8 <= dout(8); do7 <= dout(7); do6 <= dout(6); do5 <= dout(5); do4 <= dout(4); do3 <= dout(3); do2 <= dout(2); do1 <= dout(1); do0 <= dout(0); dpram0 : fs90_dpram_ss generic map ( abits => 8, dbits => 32) port map ( din, addra, addrb, web, cka, ckb, csa, csb, oe, dout); end; -- pragma translate_on -- component declarations from true tech library LIBRARY ieee; use IEEE.std_logic_1164.all; package tech_fs90_syn is -- 128x25 sync ram component SA108019 port (A0, A1, A2, A3, A4, A5, A6, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24: out std_logic ); end component; -- 512x32 sync ram component SU004020 port (A0, A1, A2, A3, A4, A5, A6, A7, A8, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CK, CS, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end component; -- 2-port sync ram component SW204420 port (A0, A1, A2, A3, A4, A5, A6, A7, B0, B1, B2, B3, B4, B5, B6, B7, DI0, DI1, DI2, DI3, DI4, DI5, DI6, DI7, DI8, DI9, DI10, DI11, DI12, DI13, DI14, DI15, DI16, DI17, DI18, DI19, DI20, DI21, DI22, DI23, DI24, DI25, DI26, DI27, DI28, DI29, DI30, DI31, CKA, CKB, CSA, CSB, OE, WEB : in std_logic; DO0, DO1, DO2, DO3, DO4, DO5, DO6, DO7, DO8, DO9, DO10, DO11, DO12, DO13, DO14, DO15, DO16, DO17, DO18, DO19, DO20, DO21, DO22, DO23, DO24, DO25, DO26, DO27, DO28, DO29, DO30, DO31: out std_logic ); end component; -- in-pad component uyfaa port ( o : out std_logic; i : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end component; -- out-pad component vyfa2gsa port ( o : out std_logic; i : in std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic); end component; -- io-pad component wyfa2gsa port ( o : out std_logic; i : in std_logic; io : inout std_logic; e : in std_logic; e2 : in std_logic; e4 : in std_logic; e8 : in std_logic; sr : in std_logic; pu : in std_logic; pd : in std_logic; smt : in std_logic); end component; end; ------------------------------------------------------------------ -- sync ram generator -------------------------------------------- ------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_syncram is generic ( abits : integer := 10; dbits : integer := 8 ); port ( address : in std_logic_vector(abits -1 downto 0); clk : in std_logic; datain : in std_logic_vector(dbits -1 downto 0); dataout : out std_logic_vector(dbits -1 downto 0); enable : in std_logic; write : in std_logic ); end; architecture rtl of fs90_syncram is signal wr : std_logic; signal a : std_logic_vector(19 downto 0); signal d, o : std_logic_vector(34 downto 0); constant synopsys_bug : std_logic_vector(37 downto 0) := (others => '0'); signal we, vcc : std_logic; begin vcc <= '1'; wr <= not write; a(abits -1 downto 0) <= address; a(abits+1 downto abits) <= synopsys_bug(abits+1 downto abits); d(dbits -1 downto 0) <= datain; d(dbits+1 downto dbits) <= synopsys_bug(dbits+1 downto dbits); dataout <= o(dbits -1 downto 0); a7d25 : if (abits <= 7) and (dbits <= 25) generate id0 : SA108019 port map ( a(0), a(1), a(2), a(3), a(4), a(5), a(6), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), clk, enable, vcc, wr, o(0), o(1), o(2), o(3), o(4), o(5), o(6), o(7), o(8), o(9), o(10), o(11), o(12), o(13), o(14), o(15), o(16), o(17), o(18), o(19), o(20), o(21), o(22), o(23), o(24)); end generate; a9d32 : if (abits = 9) and (dbits = 32) generate id0 : SU004020 port map ( a(0), a(1), a(2), a(3), a(4), a(5), a(6), a(7), a(8), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clk, enable, vcc, wr, o(0), o(1), o(2), o(3), o(4), o(5), o(6), o(7), o(8), o(9), o(10), o(11), o(12), o(13), o(14), o(15), o(16), o(17), o(18), o(19), o(20), o(21), o(22), o(23), o(24), o(25), o(26), o(27), o(28), o(29), o(30), o(31)); end generate; end rtl; ------------------------------------------------------------------ -- regfile generator -------------------------------------------- ------------------------------------------------------------------ LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use work.config.all; use work.iface.all; use work.tech_fs90_syn.all; entity fs90_regfile is generic ( abits : integer := 8; dbits : integer := 32; words : integer := 128 ); port ( rst : in std_logic; clk : in clk_type; clkn : in clk_type; rfi : in rf_in_type; rfo : out rf_out_type); end; architecture rtl of fs90_regfile is signal d, q1, q2 : std_logic_vector(39 downto 0); signal vcc, wen : std_logic; signal ra1, ra2, wa : std_logic_vector(12 downto 0); begin wen <= not rfi.wren; vcc <= '1'; ra1(abits-1 downto 0) <= rfi.rd1addr; ra1(12 downto abits) <= (others => '0'); ra2(abits-1 downto 0) <= rfi.rd2addr; ra2(12 downto abits) <= (others => '0'); wa(abits-1 downto 0) <= rfi.wraddr; wa(12 downto abits) <= (others => '0'); rfo.data1 <= q1(dbits-1 downto 0); rfo.data2 <= q2(dbits-1 downto 0); d(RDBITS-1 downto 0) <= rfi.wrdata; dp136x32 : if (words = 136) and (dbits = 32) generate u0: SW204420 port map ( ra1(0), ra1(1), ra1(2), ra1(3), ra1(4), ra1(5), ra1(6), ra1(7), wa(0), wa(1), wa(2), wa(3), wa(4), wa(5), wa(6), wa(7), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clkn, clkn, rfi.ren1, rfi.wren, vcc, wen, q1(0), q1(1), q1(2), q1(3), q1(4), q1(5), q1(6), q1(7), q1(8), q1(9), q1(10), q1(11), q1(12), q1(13), q1(14), q1(15), q1(16), q1(17), q1(18), q1(19), q1(20), q1(21), q1(22), q1(23), q1(24), q1(25), q1(26), q1(27), q1(28), q1(29), q1(30), q1(31)); u1: SW204420 port map ( ra2(0), ra2(1), ra2(2), ra2(3), ra2(4), ra2(5), ra2(6), ra2(7), wa(0), wa(1), wa(2), wa(3), wa(4), wa(5), wa(6), wa(7), d(0), d(1), d(2), d(3), d(4), d(5), d(6), d(7), d(8), d(9), d(10), d(11), d(12), d(13), d(14), d(15), d(16), d(17), d(18), d(19), d(20), d(21), d(22), d(23), d(24), d(25), d(26), d(27), d(28), d(29), d(30), d(31), clkn, clkn, rfi.ren2, rfi.wren, vcc, wen, q2(0), q2(1), q2(2), q2(3), q2(4), q2(5), q2(6), q2(7), q2(8), q2(9), q2(10), q2(11), q2(12), q2(13), q2(14), q2(15), q2(16), q2(17), q2(18), q2(19), q2(20), q2(21), q2(22), q2(23), q2(24), q2(25), q2(26), q2(27), q2(28), q2(29), q2(30), q2(31)); end generate; end; ------------------------------------------------------------------ -- mapping generic pads on tech pads --------------------------------- ------------------------------------------------------------------ -- input pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_inpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of fs90_inpad is signal gnd : std_logic; begin gnd <= '0'; i0 : uyfaa port map (q, pad, gnd, gnd, gnd); end; -- input schmitt pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_smpad is port (pad : in std_logic; q : out std_logic); end; architecture syn of fs90_smpad is signal gnd, vcc : std_logic; begin gnd <= '0'; vcc <= '1'; i0 : uyfaa port map (q, pad, gnd, gnd, vcc); end; -- output pads library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_outpad is generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end; architecture syn of fs90_outpad is signal gnd, vcc : std_logic; begin gnd <= '0'; vcc <= '1'; d1 : if drive = 1 generate u0 : vyfa2gsa port map (pad, d, vcc, vcc, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, gnd, vcc, gnd); end generate; d4 : if drive > 3 generate u0 : vyfa2gsa port map (pad, d, vcc, gnd, vcc, vcc, gnd); end generate; end; -- tri-state output pads with pull-up library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_toutpadu is generic (drive : integer := 1); port (d, en : in std_logic; pad : out std_logic); end; architecture syn of fs90_toutpadu is signal gnd, vcc, q, ipad, eni : std_logic; begin gnd <= '0'; vcc <= '1'; pad <= ipad; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, ipad, eni, vcc, gnd, gnd, gnd, vcc, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, vcc, gnd, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, gnd, vcc, gnd, vcc, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, ipad, eni, gnd, vcc, vcc, gnd, vcc, gnd, gnd); end generate; end; -- bidirectional pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_iopad is generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_iopad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, gnd); end generate; end; -- bidirectional schmitt pad library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_smiopad is generic (drive : integer := 1); port ( d, en : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_smiopad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not en; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, d, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, vcc); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, vcc); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, vcc); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, d, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, vcc); end generate; end; -- bidirectional pad with open-drain library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_iodpad is generic (drive : integer := 1); port ( d : in std_logic; q : out std_logic; pad : inout std_logic); end; architecture syn of fs90_iodpad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not d; d1 : if drive = 1 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, vcc, gnd, gnd, gnd, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, vcc, gnd, gnd, gnd, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, gnd, vcc, gnd, gnd, gnd, gnd); end generate; d4 : if drive > 3 generate u0 : wyfa2gsa port map (q, gnd, pad, eni, gnd, vcc, vcc, gnd, gnd, gnd, gnd); end generate; end; -- output pad with open-drain library IEEE; use IEEE.std_logic_1164.all; use work.tech_fs90_syn.all; entity fs90_odpad is generic (drive : integer := 1); port (d : in std_logic; pad : out std_logic); end; architecture syn of fs90_odpad is signal gnd, vcc, eni : std_logic; begin gnd <= '0'; vcc <= '1'; eni <= not d; d1 : if drive = 1 generate u0 : vyfa2gsa port map (pad, gnd, eni, vcc, gnd, gnd, gnd); end generate; d2 : if drive = 2 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, vcc, gnd, gnd); end generate; d3 : if drive = 3 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, gnd, vcc, gnd); end generate; d4 : if drive > 3 generate u0 : vyfa2gsa port map (pad, gnd, eni, gnd, vcc, vcc, gnd); end generate; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3088.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s01b00x00p01n01i03088ent IS attribute ill1 : real; signal s1, s2 : integer; attribute ill1 of s1 : signal is 10.0; attribute LAST_EVENT of s2 : signal is 20; -- Failure_here END c05s01b00x00p01n01i03088ent; ARCHITECTURE c05s01b00x00p01n01i03088arch OF c05s01b00x00p01n01i03088ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c05s01b00x00p01n01i03088 - The attribute must be declared before." severity ERROR; wait; END PROCESS TESTING; END c05s01b00x00p01n01i03088arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3088.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s01b00x00p01n01i03088ent IS attribute ill1 : real; signal s1, s2 : integer; attribute ill1 of s1 : signal is 10.0; attribute LAST_EVENT of s2 : signal is 20; -- Failure_here END c05s01b00x00p01n01i03088ent; ARCHITECTURE c05s01b00x00p01n01i03088arch OF c05s01b00x00p01n01i03088ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c05s01b00x00p01n01i03088 - The attribute must be declared before." severity ERROR; wait; END PROCESS TESTING; END c05s01b00x00p01n01i03088arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3088.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s01b00x00p01n01i03088ent IS attribute ill1 : real; signal s1, s2 : integer; attribute ill1 of s1 : signal is 10.0; attribute LAST_EVENT of s2 : signal is 20; -- Failure_here END c05s01b00x00p01n01i03088ent; ARCHITECTURE c05s01b00x00p01n01i03088arch OF c05s01b00x00p01n01i03088ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c05s01b00x00p01n01i03088 - The attribute must be declared before." severity ERROR; wait; END PROCESS TESTING; END c05s01b00x00p01n01i03088arch;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- 8080 compatible microprocessor core, synchronous top level with clock enable -- Different timing than the original 8080 -- Inputs needs to be synchronous and outputs may glitch -- -- Version : 0242 -- -- Copyright (c) 2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- STACK status output not supported -- -- File history : -- -- 0237 : First version -- -- 0238 : Updated for T80 interface change -- -- 0240 : Updated for T80 interface change -- -- 0242 : Updated for T80 interface change -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T8080se is generic( Mode : integer := 2; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB T2Write : integer := 0 -- 0 => WR_n active in T3, /=0 => WR_n active in T2 ); port( RESET_n : in std_logic; CLK : in std_logic; CLKEN : in std_logic; READY : in std_logic; HOLD : in std_logic; INT : in std_logic; INTE : out std_logic; DBIN : out std_logic; SYNC : out std_logic; VAIT : out std_logic; HLDA : out std_logic; WR_n : out std_logic; A : out std_logic_vector(15 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0) ); end T8080se; architecture rtl of T8080se is signal IntCycle_n : std_logic; signal NoRead : std_logic; signal Write : std_logic; signal IORQ : std_logic; signal INT_n : std_logic; signal HALT_n : std_logic; signal BUSRQ_n : std_logic; signal BUSAK_n : std_logic; signal DO_i : std_logic_vector(7 downto 0); signal DI_Reg : std_logic_vector(7 downto 0); signal MCycle : std_logic_vector(2 downto 0); signal TState : std_logic_vector(2 downto 0); signal One : std_logic; begin INT_n <= not INT; BUSRQ_n <= HOLD; HLDA <= not BUSAK_n; SYNC <= '1' when TState = "001" else '0'; VAIT <= '1' when TState = "010" else '0'; One <= '1'; DO(0) <= not IntCycle_n when TState = "001" else DO_i(0); -- INTA DO(1) <= Write when TState = "001" else DO_i(1); -- WO_n DO(2) <= DO_i(2); -- STACK not supported !!!!!!!!!! DO(3) <= not HALT_n when TState = "001" else DO_i(3); -- HLTA DO(4) <= IORQ and Write when TState = "001" else DO_i(4); -- OUT DO(5) <= DO_i(5) when TState /= "001" else '1' when MCycle = "001" else '0'; -- M1 DO(6) <= IORQ and not Write when TState = "001" else DO_i(6); -- INP DO(7) <= not IORQ and not Write and IntCycle_n when TState = "001" else DO_i(7); -- MEMR u0 : T80 generic map( Mode => Mode, IOWait => 0) port map( CEN => CLKEN, M1_n => open, IORQ => IORQ, NoRead => NoRead, Write => Write, RFSH_n => open, HALT_n => HALT_n, WAIT_n => READY, INT_n => INT_n, NMI_n => One, RESET_n => RESET_n, BUSRQ_n => One, BUSAK_n => BUSAK_n, CLK_n => CLK, A => A, DInst => DI, DI => DI_Reg, DO => DO_i, MC => MCycle, TS => TState, IntCycle_n => IntCycle_n, IntE => INTE); process (RESET_n, CLK) begin if RESET_n = '0' then DBIN <= '0'; WR_n <= '1'; DI_Reg <= "00000000"; elsif CLK'event and CLK = '1' then if CLKEN = '1' then DBIN <= '0'; WR_n <= '1'; if MCycle = "001" then if TState = "001" or (TState = "010" and READY = '0') then DBIN <= IntCycle_n; end if; else if (TState = "001" or (TState = "010" and READY = '0')) and NoRead = '0' and Write = '0' then DBIN <= '1'; end if; if T2Write = 0 then if TState = "010" and Write = '1' then WR_n <= '0'; end if; else if (TState = "001" or (TState = "010" and READY = '0')) and Write = '1' then WR_n <= '0'; end if; end if; end if; if TState = "010" and READY = '1' then DI_Reg <= DI; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- 8080 compatible microprocessor core, synchronous top level with clock enable -- Different timing than the original 8080 -- Inputs needs to be synchronous and outputs may glitch -- -- Version : 0242 -- -- Copyright (c) 2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- STACK status output not supported -- -- File history : -- -- 0237 : First version -- -- 0238 : Updated for T80 interface change -- -- 0240 : Updated for T80 interface change -- -- 0242 : Updated for T80 interface change -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T8080se is generic( Mode : integer := 2; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB T2Write : integer := 0 -- 0 => WR_n active in T3, /=0 => WR_n active in T2 ); port( RESET_n : in std_logic; CLK : in std_logic; CLKEN : in std_logic; READY : in std_logic; HOLD : in std_logic; INT : in std_logic; INTE : out std_logic; DBIN : out std_logic; SYNC : out std_logic; VAIT : out std_logic; HLDA : out std_logic; WR_n : out std_logic; A : out std_logic_vector(15 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0) ); end T8080se; architecture rtl of T8080se is signal IntCycle_n : std_logic; signal NoRead : std_logic; signal Write : std_logic; signal IORQ : std_logic; signal INT_n : std_logic; signal HALT_n : std_logic; signal BUSRQ_n : std_logic; signal BUSAK_n : std_logic; signal DO_i : std_logic_vector(7 downto 0); signal DI_Reg : std_logic_vector(7 downto 0); signal MCycle : std_logic_vector(2 downto 0); signal TState : std_logic_vector(2 downto 0); signal One : std_logic; begin INT_n <= not INT; BUSRQ_n <= HOLD; HLDA <= not BUSAK_n; SYNC <= '1' when TState = "001" else '0'; VAIT <= '1' when TState = "010" else '0'; One <= '1'; DO(0) <= not IntCycle_n when TState = "001" else DO_i(0); -- INTA DO(1) <= Write when TState = "001" else DO_i(1); -- WO_n DO(2) <= DO_i(2); -- STACK not supported !!!!!!!!!! DO(3) <= not HALT_n when TState = "001" else DO_i(3); -- HLTA DO(4) <= IORQ and Write when TState = "001" else DO_i(4); -- OUT DO(5) <= DO_i(5) when TState /= "001" else '1' when MCycle = "001" else '0'; -- M1 DO(6) <= IORQ and not Write when TState = "001" else DO_i(6); -- INP DO(7) <= not IORQ and not Write and IntCycle_n when TState = "001" else DO_i(7); -- MEMR u0 : T80 generic map( Mode => Mode, IOWait => 0) port map( CEN => CLKEN, M1_n => open, IORQ => IORQ, NoRead => NoRead, Write => Write, RFSH_n => open, HALT_n => HALT_n, WAIT_n => READY, INT_n => INT_n, NMI_n => One, RESET_n => RESET_n, BUSRQ_n => One, BUSAK_n => BUSAK_n, CLK_n => CLK, A => A, DInst => DI, DI => DI_Reg, DO => DO_i, MC => MCycle, TS => TState, IntCycle_n => IntCycle_n, IntE => INTE); process (RESET_n, CLK) begin if RESET_n = '0' then DBIN <= '0'; WR_n <= '1'; DI_Reg <= "00000000"; elsif CLK'event and CLK = '1' then if CLKEN = '1' then DBIN <= '0'; WR_n <= '1'; if MCycle = "001" then if TState = "001" or (TState = "010" and READY = '0') then DBIN <= IntCycle_n; end if; else if (TState = "001" or (TState = "010" and READY = '0')) and NoRead = '0' and Write = '0' then DBIN <= '1'; end if; if T2Write = 0 then if TState = "010" and Write = '1' then WR_n <= '0'; end if; else if (TState = "001" or (TState = "010" and READY = '0')) and Write = '1' then WR_n <= '0'; end if; end if; end if; if TState = "010" and READY = '1' then DI_Reg <= DI; end if; end if; end if; end process; end;
-- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- -- Ver 300 started tidyup -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- -- 8080 compatible microprocessor core, synchronous top level with clock enable -- Different timing than the original 8080 -- Inputs needs to be synchronous and outputs may glitch -- -- Version : 0242 -- -- Copyright (c) 2002 Daniel Wallner ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- STACK status output not supported -- -- File history : -- -- 0237 : First version -- -- 0238 : Updated for T80 interface change -- -- 0240 : Updated for T80 interface change -- -- 0242 : Updated for T80 interface change -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T80_Pack.all; entity T8080se is generic( Mode : integer := 2; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB T2Write : integer := 0 -- 0 => WR_n active in T3, /=0 => WR_n active in T2 ); port( RESET_n : in std_logic; CLK : in std_logic; CLKEN : in std_logic; READY : in std_logic; HOLD : in std_logic; INT : in std_logic; INTE : out std_logic; DBIN : out std_logic; SYNC : out std_logic; VAIT : out std_logic; HLDA : out std_logic; WR_n : out std_logic; A : out std_logic_vector(15 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0) ); end T8080se; architecture rtl of T8080se is signal IntCycle_n : std_logic; signal NoRead : std_logic; signal Write : std_logic; signal IORQ : std_logic; signal INT_n : std_logic; signal HALT_n : std_logic; signal BUSRQ_n : std_logic; signal BUSAK_n : std_logic; signal DO_i : std_logic_vector(7 downto 0); signal DI_Reg : std_logic_vector(7 downto 0); signal MCycle : std_logic_vector(2 downto 0); signal TState : std_logic_vector(2 downto 0); signal One : std_logic; begin INT_n <= not INT; BUSRQ_n <= HOLD; HLDA <= not BUSAK_n; SYNC <= '1' when TState = "001" else '0'; VAIT <= '1' when TState = "010" else '0'; One <= '1'; DO(0) <= not IntCycle_n when TState = "001" else DO_i(0); -- INTA DO(1) <= Write when TState = "001" else DO_i(1); -- WO_n DO(2) <= DO_i(2); -- STACK not supported !!!!!!!!!! DO(3) <= not HALT_n when TState = "001" else DO_i(3); -- HLTA DO(4) <= IORQ and Write when TState = "001" else DO_i(4); -- OUT DO(5) <= DO_i(5) when TState /= "001" else '1' when MCycle = "001" else '0'; -- M1 DO(6) <= IORQ and not Write when TState = "001" else DO_i(6); -- INP DO(7) <= not IORQ and not Write and IntCycle_n when TState = "001" else DO_i(7); -- MEMR u0 : T80 generic map( Mode => Mode, IOWait => 0) port map( CEN => CLKEN, M1_n => open, IORQ => IORQ, NoRead => NoRead, Write => Write, RFSH_n => open, HALT_n => HALT_n, WAIT_n => READY, INT_n => INT_n, NMI_n => One, RESET_n => RESET_n, BUSRQ_n => One, BUSAK_n => BUSAK_n, CLK_n => CLK, A => A, DInst => DI, DI => DI_Reg, DO => DO_i, MC => MCycle, TS => TState, IntCycle_n => IntCycle_n, IntE => INTE); process (RESET_n, CLK) begin if RESET_n = '0' then DBIN <= '0'; WR_n <= '1'; DI_Reg <= "00000000"; elsif CLK'event and CLK = '1' then if CLKEN = '1' then DBIN <= '0'; WR_n <= '1'; if MCycle = "001" then if TState = "001" or (TState = "010" and READY = '0') then DBIN <= IntCycle_n; end if; else if (TState = "001" or (TState = "010" and READY = '0')) and NoRead = '0' and Write = '0' then DBIN <= '1'; end if; if T2Write = 0 then if TState = "010" and Write = '1' then WR_n <= '0'; end if; else if (TState = "001" or (TState = "010" and READY = '0')) and Write = '1' then WR_n <= '0'; end if; end if; end if; if TState = "010" and READY = '1' then DI_Reg <= DI; end if; end if; end if; end process; end;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Comment about the Driver here entity Driver is port( x : in std_logic; F : out std_logic ); end Driver; architecture gate_level of Driver is begin if newx(x downto (x-3))="0000" then F <= '1'; else F <= not(x(2) xor x(2)); --XNOR gate with 2 inputs end if; end gate_level;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Comment about the Driver here entity Driver is port( x : in std_logic; F : out std_logic ); end Driver; architecture gate_level of Driver is begin if newx(x downto (x-3))="0000" then F <= '1'; else F <= not(x(2) xor x(2)); --XNOR gate with 2 inputs end if; end gate_level;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Comment about the Driver here entity Driver is port( x : in std_logic; F : out std_logic ); end Driver; architecture gate_level of Driver is begin if newx(x downto (x-3))="0000" then F <= '1'; else F <= not(x(2) xor x(2)); --XNOR gate with 2 inputs end if; end gate_level;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1160.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s06b00x00p02n01i01160ent IS END c06s06b00x00p02n01i01160ent; ARCHITECTURE c06s06b00x00p02n01i01160arch OF c06s06b00x00p02n01i01160ent IS BEGIN TESTING: PROCESS type I1 is range 1 to 3; type A1 is array (I1) of BOOLEAN; BEGIN if (1|2|3=>TRUE)'LOW = 1 then -- SYNTAX ERROR: AGGREGATE NOT ALLOWED AS PREFIX OF -- ATTRIBUTE NAME -- return; null ; end if; assert FALSE report "***FAILED TEST: /c06s06b00x00p02n01i01160 - Prefix of an attribute name cannot be an aggregate." severity ERROR; wait; END PROCESS TESTING; END c06s06b00x00p02n01i01160arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1160.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s06b00x00p02n01i01160ent IS END c06s06b00x00p02n01i01160ent; ARCHITECTURE c06s06b00x00p02n01i01160arch OF c06s06b00x00p02n01i01160ent IS BEGIN TESTING: PROCESS type I1 is range 1 to 3; type A1 is array (I1) of BOOLEAN; BEGIN if (1|2|3=>TRUE)'LOW = 1 then -- SYNTAX ERROR: AGGREGATE NOT ALLOWED AS PREFIX OF -- ATTRIBUTE NAME -- return; null ; end if; assert FALSE report "***FAILED TEST: /c06s06b00x00p02n01i01160 - Prefix of an attribute name cannot be an aggregate." severity ERROR; wait; END PROCESS TESTING; END c06s06b00x00p02n01i01160arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1160.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s06b00x00p02n01i01160ent IS END c06s06b00x00p02n01i01160ent; ARCHITECTURE c06s06b00x00p02n01i01160arch OF c06s06b00x00p02n01i01160ent IS BEGIN TESTING: PROCESS type I1 is range 1 to 3; type A1 is array (I1) of BOOLEAN; BEGIN if (1|2|3=>TRUE)'LOW = 1 then -- SYNTAX ERROR: AGGREGATE NOT ALLOWED AS PREFIX OF -- ATTRIBUTE NAME -- return; null ; end if; assert FALSE report "***FAILED TEST: /c06s06b00x00p02n01i01160 - Prefix of an attribute name cannot be an aggregate." severity ERROR; wait; END PROCESS TESTING; END c06s06b00x00p02n01i01160arch;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY REG2 IS PORT( CLK : IN STD_LOGIC; CLR : IN STD_LOGIC; LID : IN STD_LOGIC_VECTOR(2 DOWNTO 0); I : IN STD_LOGIC_VECTOR(15 DOWNTO 0); O : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END REG2; ARCHITECTURE main OF REG2 IS BEGIN PROCESS(CLK, CLR) VARIABLE DATA : STD_LOGIC_VECTOR(15 DOWNTO 0); BEGIN IF(CLR = '1') THEN DATA := x"0000"; O <= x"0000"; ELSIF(CLK'EVENT AND CLK = '1') THEN IF(LID(0) = '1') THEN DATA := I; ELSIF(LID(1) = '1') THEN DATA := DATA + x"1"; ELSIF(LID(2) = '1') THEN DATA := DATA - x"1"; END IF; END IF; O <= DATA; END PROCESS; END main;
library ieee; use ieee.std_logic_1164.all; entity NOR32 is port( x: in std_logic_vector(31 downto 0); y: out std_logic ); end NOR32; architecture Structural of NOR32 is begin y <= '1' when x = x"00000000" else '0'; end Structural;
package pack is function foo(x : in integer) return real; end package; package body pack is type real_vector is array (integer range <>) of real; function get_results return real_vector is begin return ( 52.6, 16.7, 1.832, 0.623, 762.236 ); end function; constant results : real_vector := get_results; function foo(x : in integer) return real is begin return results(x); end function; type int_vector is array (integer range <>) of integer; subtype int_vector4 is int_vector(1 to 4); constant blah : int_vector4 := ( 0, 1, 6, 6 ); constant blah2 : int_vector4 := blah; end package body; ------------------------------------------------------------------------------- use work.pack.all; entity const2 is end entity; architecture test of const2 is function get_it return integer is begin return integer(foo(integer'left + 1)); end function; function get_bits return bit_vector is begin return "110101"; end function; constant some_bits : bit_vector := get_bits; constant a_bit : bit := some_bits(2); begin process is begin assert get_it = 16; assert some_bits(some_bits'right) = '1'; assert a_bit = '0'; wait; end process; end architecture;
package pack is function foo(x : in integer) return real; end package; package body pack is type real_vector is array (integer range <>) of real; function get_results return real_vector is begin return ( 52.6, 16.7, 1.832, 0.623, 762.236 ); end function; constant results : real_vector := get_results; function foo(x : in integer) return real is begin return results(x); end function; type int_vector is array (integer range <>) of integer; subtype int_vector4 is int_vector(1 to 4); constant blah : int_vector4 := ( 0, 1, 6, 6 ); constant blah2 : int_vector4 := blah; end package body; ------------------------------------------------------------------------------- use work.pack.all; entity const2 is end entity; architecture test of const2 is function get_it return integer is begin return integer(foo(integer'left + 1)); end function; function get_bits return bit_vector is begin return "110101"; end function; constant some_bits : bit_vector := get_bits; constant a_bit : bit := some_bits(2); begin process is begin assert get_it = 16; assert some_bits(some_bits'right) = '1'; assert a_bit = '0'; wait; end process; end architecture;
package pack is function foo(x : in integer) return real; end package; package body pack is type real_vector is array (integer range <>) of real; function get_results return real_vector is begin return ( 52.6, 16.7, 1.832, 0.623, 762.236 ); end function; constant results : real_vector := get_results; function foo(x : in integer) return real is begin return results(x); end function; type int_vector is array (integer range <>) of integer; subtype int_vector4 is int_vector(1 to 4); constant blah : int_vector4 := ( 0, 1, 6, 6 ); constant blah2 : int_vector4 := blah; end package body; ------------------------------------------------------------------------------- use work.pack.all; entity const2 is end entity; architecture test of const2 is function get_it return integer is begin return integer(foo(integer'left + 1)); end function; function get_bits return bit_vector is begin return "110101"; end function; constant some_bits : bit_vector := get_bits; constant a_bit : bit := some_bits(2); begin process is begin assert get_it = 16; assert some_bits(some_bits'right) = '1'; assert a_bit = '0'; wait; end process; end architecture;
package pack is function foo(x : in integer) return real; end package; package body pack is type real_vector is array (integer range <>) of real; function get_results return real_vector is begin return ( 52.6, 16.7, 1.832, 0.623, 762.236 ); end function; constant results : real_vector := get_results; function foo(x : in integer) return real is begin return results(x); end function; type int_vector is array (integer range <>) of integer; subtype int_vector4 is int_vector(1 to 4); constant blah : int_vector4 := ( 0, 1, 6, 6 ); constant blah2 : int_vector4 := blah; end package body; ------------------------------------------------------------------------------- use work.pack.all; entity const2 is end entity; architecture test of const2 is function get_it return integer is begin return integer(foo(integer'left + 1)); end function; function get_bits return bit_vector is begin return "110101"; end function; constant some_bits : bit_vector := get_bits; constant a_bit : bit := some_bits(2); begin process is begin assert get_it = 16; assert some_bits(some_bits'right) = '1'; assert a_bit = '0'; wait; end process; end architecture;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local WRITE, READ, CHECK, POLL_UNTIL); constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_ADDR_MAX_LENGTH : natural := 32; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; -- VVC dedicated fields addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); max_polls : integer; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( operation => NO_OPERATION, -- Default unless overwritten by a common operation addr => (others => '0'), data => (others => '0'), max_polls => 1, alert_level => failure, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_command_type, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - These are used for storing the result of the read/receive BFM commands issued by the VVC, -- - so that the result can be transported from the VVC to the sequencer via a -- a fetch_result() call as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result matches the return value of read/receive procedure in the BFM. --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local WRITE, READ, CHECK, POLL_UNTIL); constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_ADDR_MAX_LENGTH : natural := 32; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; -- VVC dedicated fields addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); max_polls : integer; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( operation => NO_OPERATION, -- Default unless overwritten by a common operation addr => (others => '0'), data => (others => '0'), max_polls => 1, alert_level => failure, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_command_type, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - These are used for storing the result of the read/receive BFM commands issued by the VVC, -- - so that the result can be transported from the VVC to the sequencer via a -- a fetch_result() call as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result matches the return value of read/receive procedure in the BFM. --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local WRITE, READ, CHECK, POLL_UNTIL); constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_ADDR_MAX_LENGTH : natural := 32; constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; -- VVC dedicated fields addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); max_polls : integer; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( operation => NO_OPERATION, -- Default unless overwritten by a common operation addr => (others => '0'), data => (others => '0'), max_polls => 1, alert_level => failure, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_command_type, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - These are used for storing the result of the read/receive BFM commands issued by the VVC, -- - so that the result can be transported from the VVC to the sequencer via a -- a fetch_result() call as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result matches the return value of read/receive procedure in the BFM. --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; --library PoC; --use PoC.utils.all; library L_PicoBlaze; use L_PicoBlaze.pb.all; package SoFPGA_sim is type T_PB_FUNCTIONS is ( UNKNOWN, p0_ERROR_BLOCK, p0__push_arg0, p0__pop_arg0, p0__push_arg1, p0__pop_arg1, p0__push_arg2, p0__pop_arg2, p0__push_arg3, p0__pop_arg3, p0__push_arg03, p0__push_arg30, p0__pop_arg03, p0__pop_arg30, p0__push_tmp0, p0__pop_tmp0, p0__push_tmp1, p0__pop_tmp1, p0__push_tmp2, p0__pop_tmp2, p0__push_tmp3, p0__pop_tmp3, p0__push_tmp03, p0__push_tmp30, p0__pop_tmp03, p0__pop_tmp30, p0__get0_arg0, p0__put0_arg0, p0__get1_arg0, p0__put1_arg0, p0__get2_arg0, p0__put2_arg0, p0__get3_arg0, p0__put3_arg0, p0__get1_arg1, p0__put1_arg1, p0__get2_arg2, p0__put2_arg2, p0__get3_arg3, p0__put3_arg3, p0__get_arg03, p0__put_arg03, p0__put_arg30, p0__get0_tmp0, p0__put0_tmp0, p0__get1_tmp0, p0__put1_tmp0, p0__get2_tmp0, p0__put2_tmp0, p0__get3_tmp0, p0__put3_tmp0, p0__get1_tmp1, p0__put1_tmp1, p0__get2_tmp2, p0__put2_tmp2, p0__get3_tmp3, p0__put3_tmp3, p0__get_tmp03, p0__put_tmp03, p0_sleep_n_cy, p0_sleep_1_us, p0_sleep_n_us, p0_sleep_1_ms, p0_sleep_n_ms, p0_sleep_1_s, p0_sleep_loop, p0__Str_ByteToAscii, p0__Str_ByteToAscii2, p0__Str_ByteToDecimal, p0__Str_DoubleByteToDecimal, p0__Str_QuadByteToDecimal, p0_uart_reset, p0_uart_enableraw, p0_uart_disableraw, p0__UART_WriteChar, p0__UART_WriteDoubleChar, p0__UART_WriteTripleChar, p0__UART_WriteQuadChar, p0__UART_WriteRegLaR, p0__UART_WriteNewline, p0__UART_WriteHorizontalLine, p0__UART_WriteString, p0__UART_WriteLine, p0_uart_doubleident, p0_uart_quadident, p0_uart_readchar, p0_uart_readchar_block, p0_UART_WaitBufferNotFull, p0_UART_WaitBufferHalfFree, p0_UART_WaitBufferEmpty, p0_ISR_UART, p0__io_IIC_CheckAddress, p0__io_IIC_WriteByte, p0__io_IIC_ReadByte, p0__io_IIC_WriteRegister, p0__io_IIC_WriteDoubleRegister, p0__io_IIC_ReadRegister, p0__io_IIC_ReadDoubleRegister, p0__io_BBIO_IIC_EnableRaw, p0__io_BBIO_IIC_DisableRaw, p0__io_BBIO_IIC_Initialize, p0__io_BBIO_IIC_SendStartCond, p0__io_BBIO_IIC_SendStopCond, p0__io_BBIO_IIC_SendByte, p0__io_BBIO_IIC_SendAck, p0__io_BBIO_IIC_SendNAck, p0__io_BBIO_IIC_ReceiveByte, p0__io_BBIO_IIC_ReceiveAck, p0__io_BBIO_IIC_Abort, p0_BBIO_IIC_ClockToZ, p0_BBIO_IIC_ClockToLow, p0_BBIO_IIC_DataToZ, p0_BBIO_IIC_DataToLow, p0_BBIO_IIC_ReceiveBit, p0_BBIO_IIC_ClockPulse, p0_BBIO_IIC_Delay_Xus, p0_ISR_Timer, p0__dev_Mult32_Mult8, p0__dev_Mult32_Mult16, p0__dev_Mult32_Mult24, p0__dev_Mult32_Mult32, p0__dev_Div32_Wait, p0__dev_Div32_Div8_Begin, p0__dev_Div32_Div8_End, p0__dev_Div32_Div16_Begin, p0__dev_Div32_Div16_End, p0__dev_Div32_Div24_Begin, p0__dev_Div32_Div32_End, p0__dev_Div32_Div32_Begin, p0__dev_Div32_Div32_End, p0_ISR_Div32, p0__dev_ConvBCD24_Wait, p0__dev_ConvBCD24_Begin, p0__dev_ConvBCD24_End, p0__ISR_ConvBCD24, p0_ISR_GPIO, p0_ISR_BBIO, p0__dev_Term_Initialize, p0__dev_Term_CursorUp, p0__dev_Term_CursorDown, p0__dev_Term_CursorForward, p0__dev_Term_CursorBackward, p0__dev_Term_CursorNextLine, p0__dev_Term_CursorPreLine, p0__dev_Term_SetColumn, p0__dev_Term_GoToHome, p0__dev_Term_SetPosition, p0__dev_Term_ClearScreen, p0__dev_Term_ClearLine, p0__dev_Term_ScrollUp, p0__dev_Term_ScrollDown, p0__dev_Term_TextColor_Reset, p0__dev_Term_TextColor_Default, p0__dev_Term_TextColor_Black, p0__dev_Term_TextColor_Red, p0__dev_Term_TextColor_Green, p0__dev_Term_TextColor_Yellow, p0__dev_Term_TextColor_Blue, p0__dev_Term_TextColor_Magenta, p0__dev_Term_TextColor_Cyan, p0__dev_Term_TextColor_Gray, p0__dev_Term_TextColor_White, p0_dev_Term_EscSequence, p0_IIC_scan_devicemap, p0__tui_IIC_Dump_RegMap, p0_BootUp, p0__FatalError, p0__Initialize, p0_main, p0_sendok_I2C, p0_senderr_I2C, p0__Pager_PageX_Call_Table1, p0__Pager_PageX_Call_Table2, p0__Pager_Page0_HandleInterrupt, p0_main_isr ); function InstructionPointer2FunctionName(PageNumber : STD_LOGIC_VECTOR(2 downto 0); InstAdr : T_PB_ADDRESS) return T_PB_FUNCTIONS; end; package body SoFPGA_sim is function InstructionPointer2FunctionName(PageNumber : STD_LOGIC_VECTOR(2 downto 0); InstAdr : T_PB_ADDRESS) return T_PB_FUNCTIONS is variable InstructionPointer : UNSIGNED(InstAdr'range); begin InstructionPointer := unsigned(InstAdr); if ((x"000" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0_ERROR_BLOCK; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_arg1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_arg1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_arg2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_arg2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_arg3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_arg3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_arg03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_arg30; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_arg03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_arg30; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_tmp1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_tmp1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_tmp2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_tmp2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_tmp3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_tmp3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_tmp03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__push_tmp30; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_tmp03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__pop_tmp30; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get0_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put0_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get1_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put1_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get2_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put2_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get3_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put3_arg0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get1_arg1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put1_arg1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get2_arg2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put2_arg2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get3_arg3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put3_arg3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get_arg03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put_arg03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put_arg30; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get0_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put0_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get1_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put1_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get2_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put2_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get3_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put3_tmp0; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get1_tmp1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put1_tmp1; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get2_tmp2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put2_tmp2; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get3_tmp3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put3_tmp3; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__get_tmp03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0__put_tmp03; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"010")) then return p0_sleep_n_cy; elsif ((x"010" <= InstructionPointer) and (InstructionPointer < x"015")) then return p0_sleep_1_us; elsif ((x"015" <= InstructionPointer) and (InstructionPointer < x"015")) then return p0_sleep_n_us; elsif ((x"015" <= InstructionPointer) and (InstructionPointer < x"015")) then return p0_sleep_1_ms; elsif ((x"015" <= InstructionPointer) and (InstructionPointer < x"015")) then return p0_sleep_n_ms; elsif ((x"015" <= InstructionPointer) and (InstructionPointer < x"018")) then return p0_sleep_1_s; elsif ((x"018" <= InstructionPointer) and (InstructionPointer < x"01D")) then return p0_sleep_loop; elsif ((x"01D" <= InstructionPointer) and (InstructionPointer < x"01D")) then return p0__Str_ByteToAscii; elsif ((x"01D" <= InstructionPointer) and (InstructionPointer < x"01D")) then return p0__Str_ByteToAscii2; elsif ((x"01D" <= InstructionPointer) and (InstructionPointer < x"01D")) then return p0__Str_ByteToDecimal; elsif ((x"01D" <= InstructionPointer) and (InstructionPointer < x"01D")) then return p0__Str_DoubleByteToDecimal; elsif ((x"01D" <= InstructionPointer) and (InstructionPointer < x"01D")) then return p0__Str_QuadByteToDecimal; elsif ((x"01D" <= InstructionPointer) and (InstructionPointer < x"01F")) then return p0_uart_reset; elsif ((x"01F" <= InstructionPointer) and (InstructionPointer < x"023")) then return p0_uart_enableraw; elsif ((x"023" <= InstructionPointer) and (InstructionPointer < x"023")) then return p0_uart_disableraw; elsif ((x"023" <= InstructionPointer) and (InstructionPointer < x"026")) then return p0__UART_WriteChar; elsif ((x"026" <= InstructionPointer) and (InstructionPointer < x"02A")) then return p0__UART_WriteDoubleChar; elsif ((x"02A" <= InstructionPointer) and (InstructionPointer < x"02A")) then return p0__UART_WriteTripleChar; elsif ((x"02A" <= InstructionPointer) and (InstructionPointer < x"031")) then return p0__UART_WriteQuadChar; elsif ((x"031" <= InstructionPointer) and (InstructionPointer < x"031")) then return p0__UART_WriteRegLaR; elsif ((x"031" <= InstructionPointer) and (InstructionPointer < x"034")) then return p0__UART_WriteNewline; elsif ((x"034" <= InstructionPointer) and (InstructionPointer < x"034")) then return p0__UART_WriteHorizontalLine; elsif ((x"034" <= InstructionPointer) and (InstructionPointer < x"034")) then return p0__UART_WriteString; elsif ((x"034" <= InstructionPointer) and (InstructionPointer < x"034")) then return p0__UART_WriteLine; elsif ((x"034" <= InstructionPointer) and (InstructionPointer < x"034")) then return p0_uart_doubleident; elsif ((x"034" <= InstructionPointer) and (InstructionPointer < x"034")) then return p0_uart_quadident; elsif ((x"034" <= InstructionPointer) and (InstructionPointer < x"034")) then return p0_uart_readchar; elsif ((x"034" <= InstructionPointer) and (InstructionPointer < x"03A")) then return p0_uart_readchar_block; elsif ((x"03A" <= InstructionPointer) and (InstructionPointer < x"03F")) then return p0_UART_WaitBufferNotFull; elsif ((x"03F" <= InstructionPointer) and (InstructionPointer < x"045")) then return p0_UART_WaitBufferHalfFree; elsif ((x"045" <= InstructionPointer) and (InstructionPointer < x"045")) then return p0_UART_WaitBufferEmpty; elsif ((x"045" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0_ISR_UART; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0__io_IIC_CheckAddress; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0__io_IIC_WriteByte; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0__io_IIC_ReadByte; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0__io_IIC_WriteRegister; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0__io_IIC_WriteDoubleRegister; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0__io_IIC_ReadRegister; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"04D")) then return p0__io_IIC_ReadDoubleRegister; elsif ((x"04D" <= InstructionPointer) and (InstructionPointer < x"051")) then return p0__io_BBIO_IIC_EnableRaw; elsif ((x"051" <= InstructionPointer) and (InstructionPointer < x"051")) then return p0__io_BBIO_IIC_DisableRaw; elsif ((x"051" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_Initialize; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_SendStartCond; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_SendStopCond; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_SendByte; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_SendAck; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_SendNAck; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_ReceiveByte; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_ReceiveAck; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0__io_BBIO_IIC_Abort; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0_BBIO_IIC_ClockToZ; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0_BBIO_IIC_ClockToLow; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0_BBIO_IIC_DataToZ; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0_BBIO_IIC_DataToLow; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0_BBIO_IIC_ReceiveBit; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0_BBIO_IIC_ClockPulse; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"054")) then return p0_BBIO_IIC_Delay_Xus; elsif ((x"054" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0_ISR_Timer; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Mult32_Mult8; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Mult32_Mult16; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Mult32_Mult24; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Mult32_Mult32; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Wait; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div8_Begin; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div8_End; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div16_Begin; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div16_End; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div24_Begin; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div32_End; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div32_Begin; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"055")) then return p0__dev_Div32_Div32_End; elsif ((x"055" <= InstructionPointer) and (InstructionPointer < x"056")) then return p0_ISR_Div32; elsif ((x"056" <= InstructionPointer) and (InstructionPointer < x"056")) then return p0__dev_ConvBCD24_Wait; elsif ((x"056" <= InstructionPointer) and (InstructionPointer < x"056")) then return p0__dev_ConvBCD24_Begin; elsif ((x"056" <= InstructionPointer) and (InstructionPointer < x"056")) then return p0__dev_ConvBCD24_End; elsif ((x"056" <= InstructionPointer) and (InstructionPointer < x"057")) then return p0__ISR_ConvBCD24; elsif ((x"057" <= InstructionPointer) and (InstructionPointer < x"058")) then return p0_ISR_GPIO; elsif ((x"058" <= InstructionPointer) and (InstructionPointer < x"058")) then return p0_ISR_BBIO; elsif ((x"058" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_Initialize; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_CursorUp; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_CursorDown; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_CursorForward; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_CursorBackward; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_CursorNextLine; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_CursorPreLine; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_SetColumn; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_GoToHome; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"060")) then return p0__dev_Term_SetPosition; elsif ((x"060" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_ClearScreen; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_ClearLine; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_ScrollUp; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_ScrollDown; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Reset; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Default; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Black; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Red; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Green; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Yellow; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Blue; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Magenta; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Cyan; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_Gray; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"064")) then return p0__dev_Term_TextColor_White; elsif ((x"064" <= InstructionPointer) and (InstructionPointer < x"067")) then return p0_dev_Term_EscSequence; elsif ((x"067" <= InstructionPointer) and (InstructionPointer < x"067")) then return p0_IIC_scan_devicemap; elsif ((x"067" <= InstructionPointer) and (InstructionPointer < x"067")) then return p0__tui_IIC_Dump_RegMap; elsif ((x"067" <= InstructionPointer) and (InstructionPointer < x"07B")) then return p0_BootUp; elsif ((x"07B" <= InstructionPointer) and (InstructionPointer < x"07B")) then return p0__FatalError; elsif ((x"07B" <= InstructionPointer) and (InstructionPointer < x"088")) then return p0__Initialize; elsif ((x"088" <= InstructionPointer) and (InstructionPointer < x"0AC")) then return p0_main; elsif ((x"0AC" <= InstructionPointer) and (InstructionPointer < x"0DE")) then return p0_sendok_I2C; elsif ((x"0DE" <= InstructionPointer) and (InstructionPointer < x"FB0")) then return p0_senderr_I2C; elsif ((x"FB0" <= InstructionPointer) and (InstructionPointer < x"FC5")) then return p0__Pager_PageX_Call_Table1; elsif ((x"FC5" <= InstructionPointer) and (InstructionPointer < x"FDA")) then return p0__Pager_PageX_Call_Table2; elsif ((x"FDA" <= InstructionPointer) and (InstructionPointer < x"FE0")) then return p0__Pager_Page0_HandleInterrupt; elsif ((x"FE0" <= InstructionPointer) and (InstructionPointer < x"FFF")) then return p0_main_isr; else return UNKNOWN; end if; end function; end package body;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity multiplexer is port( i0 : in std_logic_vector(31 downto 0); i1 : in std_logic_vector(31 downto 0); i2 : in std_logic_vector(31 downto 0); i3 : in std_logic_vector(31 downto 0); sel : in std_logic_vector( 1 downto 0); o : out std_logic_vector(31 downto 0) ); end multiplexer; architecture synth of multiplexer is begin process(i0, i1, i2, i3, sel) begin case sel is when "00" => o <= i0; when "01" => o <= i1; when "10" => o <= i2; when "11" => o <= i3; when others => end case; end process; end synth;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XVsO2yqxkwukTlllOn9r2YFvQqzUiy48/orH8u9vgz/yp3gdcH6Z7QIsny8tnVuyYVfDN3xHNQSP ZBqRlAk7vg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G3dc59jE/g9mtfsBmUJpeoHtG1oiTk9X6hpCWoLcEtHbXzrFkSJfY9lU8w/n755hq4O+nNWzJVUX wVVmSyumBoVkin18a2gUGyvkbIWqkbQAv7keCDUoK7RqViKd6uwwRmzPi2nx4VgeBRAP/9p5KEPR UiUCkOdZfbEEfIYS+mA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ro/UU7PnLADjtyzOlOP8waMmJmPHAmHmK8nV48iaUFVhFKy/Mokvr6jh6kGGtW+gwJcEM6ccLpOk d2J1kC0ztrTyjOFGofFmmNxUCYjPV9pcRoQ/T0lIBx9qTfucb2+CyFoM1/MOIiEhFL1agd8ErkFn 2+LsRKJ/Gl9oTR4NPYE3tgdpGGT+0p5xq3NTLpGVKgRPE/18h2ukAOn1aZJkLkC/G9HrckxJpbKd cb0vZSi7Rv06SGket2yzR36jvCqSgqKnFlQW+CX90JRbfvvBBxIFMkwsREkTrqDGtniglu6Yl/rP C0kVerVtuwZ+jMNo5C/od2Fxz+7kuAh9VzCzqw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EhKBjNXu3mek6PkrS0g2ZPilo1H/mH+sxvgEHwDRWXx55bXqprU060n7i7BgQZI63fnQEoCRaPms m1lFTUKLHnVsRkyxWZb3ANMwy20GXgzMgYOU1lwGXbpd7Lp4gefysm2dIgvieN65a5pUr2oYkPh1 NC19S4yrp2y7UU49p+E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CV6BN7YiqT0kUWjsnLp3WqBSNY3PRk14hT7gW9wLTKoxQ2NTgRKLSUMWb3+bRsvr3DiJTufrl84N MycLseYka148znnMSuxvijEXz3Vo6mpgjjlMSj2W1z9jjZephpN/1kSU8TBwbmGNqWO/SQY+Aqxd 78h1cC4hg8cl0gkKZDhCDXHT4kOSXjCclHbDwB3ImJBI7Fn7cbFFixga34FB4xsMfUvXK5UQiSpg +K5jJ3uCehTX3T2OqhhHu7pPCr8evPBopemQw5mMgd6k3OuiW81JSLrkYPsU/5hG2rCsNlzfLjki mgqrocUmzSc4yiD8m0uDbTRyMmpijhJ4G++DtQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33520) `protect data_block Pli5VRS/06nlgbalYaY+4fBIHlSNoKxk0//1Znxtgs7VOsONCFRhmLf49YvTbgOwBBzK0jWVn1sM nYVk86FsO3XbxTI89RVuvH3kHwSHdJ1peVcaRkEJnjVnq8BIEHmFKK/4DXm9IF/AtL8cLa7Ppft4 apcvCu0XI439o4xsyUUj0xVPE7CaOSf/ZHGqkeG6n1cq1FdR49F3FyqhTzgDHgQbYJA1fzDSrcBW LQpbQIkYC6NWlSLHJQz/G4Snp6hGASIbUY/GexdasLukwlxOyYXZCazx6c8l7PYXuy5M+PvV1FEZ hNvBbUpm1qa+2jV/TjoqWlwkUu4xSxLPtXZInIFU4iw/g3EDRqDk3jYmdq0Srx7sK6uyUOinnZRb WOn84OFiyCfqzXn1HTIBp/DI8VjVuj3pnHOk6uEo8Da9I7Hq8WtghoBhxnymOAFy6zq62sti6Pbs nsFX2iOjs9RaJBGrimGEoKDoDKg8gP6LhwQYpwSWFRrIRycTp77gqRlLaTnWzczTGYvpS6caBuYZ zyC3M6sxgTHktrSr0Ab6iPw04BarZcH89NCjRViAjfNpIna5cZijXDCPmsOYHJqnwnaY0qQg4T6B I8q6kogVBkuh+ze1BA7gN3dqV4p2sO1kuBtK6Iymg25rOQpzGKuMdnVdXCNg+xm1/EEhPwO5OnDy cSkV4q753H1X+WBq7b5rTLI82ffoFqRJvsJqS4NmER0D43Nvrw7LDFQZrrlkaRnhuhNYI05HLCWB 20BoAckqMov9cUDXjUGwoD6/LwCF/NIBrU2GBb5e5ILwoTTYykaSXAC6OCOr/CGFxivbLNDkVtEv 71fmqstI2ja9qZzIp+6JSOU+RzEIt56nSp8w1rifPEK0oengae3Uql/Ut5c04CTJu61w6jWUMwaS ssPSKZ5LdmTNToUNbMy+Q93rIDR50Vs4J24MGJoA7N2sSjyJi1fJV9CWia9xLiiKN9ofEft7jaky XKwu9+NLCdPskgPJ7QImCW53LaSpOia0UpmSUo+0l4oO5epOU4BtJsI/mGVCRoExCtviuureNAIe r14lw/8rX48Q5JWNfpFOSJMmnFT2k6jpScdCB4ZtlH8lkpi5XaJVt3soTE1lD+Sga8I0TUnQ5m7E no4Cs4bT4XIeQsWRyRY7XNm/hfcySS9qFNR/18VWi3nodqDSgAIyWD+IS/xKCz5nc0wbRJE79qxq 3p1xDbYG4/8mUwvKQYv4usTLv7zZ+OFaiWEuacefjSmORJ9UeYTZ1X6E5n7/ZLyeZHleiDdDDBsg U98s4N5JIkJuRgTjFljveAeZL+IIc6WJVVai0d6aKHleTBSEbyGrc1FWcBg8vuhs6ATE5wLzJNlB NuP3uIMuuVXQJBChqhtqoeAdwOec1xytKr7OWOSj83y0KpPKgehIUUEbsk42u9aOoTbG8GofU9zI MdD01KURYAl2txussh18pkxHwwOaX8z0YZp7fw9DpSkG/aEsJea8N4vg8LkfUd9mELtiPXxazrNb PzeuSycNGe8Scf+uKdQI8g6lqMgBPrkJAvp0u38UySmdYUpE4Uh5LXeG/GproWWcSR5e2eockLgJ DurftwM8lK4ItvNAFAlOfInyNWUcX6tr5ILnPHuYuqL9TAyH9LLZBW/+iYLke60erIgaQsGYXRPo evM2Nlo7iOuNIAdNQAyxImMz6dgWD540BJclDC+KCVRadAys6d8XBlfkQdXAb3xHFrnCTYA3gPGC EUsD0JtjZafcjYHZVeCjPZIED6UiEz4+YZ/RJ1qLPAIvic47B3mozp1S/qpx3QKxKFRQpUr7hO+A BmFXVbJkWRzsLBXiqK4PtNthXlWiR4o6Z59Tey5uOAkvu/uteRP9vhJcpwQR2CsuaWJLI89oawRp IJamF099BI+LSVtnMm1Z4GlWIE2Waj7MKQvIwZ//y1E6CczoaSemIMAGwX1TDY1GcfnHFBdCU2HD iHOCxoJl5M205OxORzNIlqlFZt/qfQDfn0skfutPCbb3h5ILdz0brzYprVHf3I2QY5GAVNDr0Lcg tq+Btnm6eudkcHfi2azzATkfGgBnA5QwNly88mt810oQP+daUgYTw9+trdXOpGXPKUdbBp7b/fjH w+VN7/dUYKKRk6vzvmk3YukCBNGp+Z0crKXQKqrVHKxKJ5jJ9SPJ4Lr/VCx0cS5nE1lMZ0Cdt/bo FtZknQXsw3rLYq9+UlsugYOz7VsFlLgnuJKxCrR5R+zVARmi5fjU5kcxb7MNIYQy2lhYehXu8E3D MSlau1ryonKyPyCdBW7I5QbJvCJPPO7qgWVlqhD1G/BEy3foIJfVocrtcL2+gOVfahLBbR2pxE0/ djVhF32tpXu0R0kfBWkrrHWYHYIkBz18C1qEiMZWTTpDMWapOH926KZDlk0G4YnutBxGe7PqyvlR cjIywvrasuw9cqWzKpREVrQ6TOmOSTyXaLjrfzD6RpUGlaNDNAS+5mKPIqmQYDFBDWInq0Wr4LaD RcvGrKkNpPhksPkQqyVi9+Yri2RmK2ElkDeO+vAN/D3+vRuw7pWkpiz7vRbOyfSE6I4SOA2Ya6Gw 5w3v/TmwF4o0FRm/2HHiqmH5kp6y55Ik2yVEkUSLZG6gEL0cMLRlqjJJirdUz/FGrzP90scFqTti Wr+HHqRCSJfASANWnA2D7uNi2oUxPqNDgwoCSFSCYUkwaBM2F67M96IJBZaDv1y+2WWfArxvroKu rx9+7hgylGSnixG4tGo6C8c4IfJBjmwrLtihflhKMpldGZsELGezfvEGFGk9jKcwOOddCj+oWgnp mUKQ6YiKuX73A5n7W5WrbyfaWJuyDiqvgRoYOR4yYlLL+epJ/aUqZ9AO8b6jNZgQCClMtawvLneH SJMPG7PgO9O6s7KZZehthIO4ajd+Whf1aVAW8tmddg06ONJh5ddlBCW5E7MZA/ZZ1X5C0Lkx4g7u AlX49SSxwNp6+/0p9rHUf7nbDFg0DCoxX+BBkKGwD+6tQ7LxcmZ8zV7LCfeS2QfyHJfTJLgtuABx mjZJ27CgCvGswxMpjphA9qwv2IiMBs1T/gyHXQ9cKEEVQmDfvSi//vutnEzld6egKyobcTYxTM4r bIXn+2FSg9cjqwLMfmVDoYA2CD2OPcpqkNeLog3vgpVAyeu34k8T8CbJ/PRuxQ/Nwz2v1xFVt4g1 WIb0xbllphX+8LtSIlRysGm+5OaozOw0GruNwdhtsVJqsS/oERkPGcjX0Sa8ayqWzdGS3mCqPzU7 iatXpY+Bf5INPCU0NH9/Ja/jNTDxhR51nNHh1a6C24j3KvIenViiLDkj0zNJe/dmN/HZcf7zciQW rze7jVv/EJam5gwIrJAXO4Dv8FxOytwOVRvDbqVFRjUXPDwTXOmnEyQtRJhjIQZpoXK8SEZeAKDN 5l/jKnFrppNtwOlBmQ58Iv0vKy/s9OpvDWh//0RvVQACpyMzCJ+CJnavsxHk0XHQowq9RXKzs8sD 3hOOdULmRDZiD1ZK0NzEhKWp3KIM4/dXyja4sBRqKX33LCIGZ1Dhs0wWCd0ZmmNd+4bKp+eSlgtE 6GNj8ht9tDbShh+JGd9O8mvsZLtjI5tx8PKk/jTx23rvRvgc7Z2HLum3LpwlHLWVwo0KAoCvlMRf wOw3RBStw0v6J8tXmuVTwP+Wz6Du7YGxFeP4/LMhDvIC2cQZcDd1FM83ZRVjLpJKmtXN18rQTg4j hVjEwYnsj0uNRiEn6rTZmjMyAgCWE6lOXsJdgp0zv5EuXm1skChJYCe4XJ3f0k4mVA0OKwEMqxvG EHbzN8+IuoPdq8rMkNlYzdG9ax14Yio889pg4apSpOsGn1Hgxw5Egy1reVNPT7dBNDTYeYoOzpEz Z0UenXWLpvOd1ZBK8Y+7eXLQCgCPSqaOydTPlvC2J+TVUNcIlClP5sXVsodvXX41kwvUMU8duBC/ BpUIHrINnD11wju6fYU65gmqhAckkeA+pfKFk2G+iMR6h0YdBmFi8HYQ7K9woON8G7zapfez3d5A xuns/WsdOkxlPzwgHWi2TyR7lwoveLXKfG3XlsZSYYxPo99VK1mIHih4sTA2oNRTBXDpjwhz4R4s oeGYzK1eNNrhY+oT1ecPNyuVG1aHl3xqQ7W+QtNbE3xFvJVtm1JCjH8Oj0LQO3sIk64JhjoB9jav BvSHM5A5Co/GnfnX31yMFxiPHfer96EoNgVcaW0fbUzsnYfwLvfJ6Osn3XoHwOatTDHJcDETHPQu sVOKUmyOBGrhhlJCk5Y0kURlCB8VWk9ls/xOKvbUIqXBKdO9dN8Q4EvUwMd1kepxcUKDXspIvurt AKVSN54CbteddhHKf8gAgStQZNvJ/rnrbRQw2Yei1IALdMATRBIEwReLjUoMxEdXIH9SBKIweP+F rWXovZoLi+MuQoAs0zVWyq4V3hxYi6a/cJ2GPLqWknaDpaAtQxSIweUKBms31qPcxS5rWDrgoIPb 3cOMvtTDusYDB9OCC7USCv1JItIowqO+iZ6zlHnfKa6oozTbxlOrChpEcPPllxN2vLzN6I83C/VM VAq1a4yJZdMuQpr6lc6FGMg2DdslWZ0NdMxTXlBnDcm92RbhpwwFdtj6q8TkbV7h1y3xROrVLg/L Qqkzn0mAMuiTbOT8fBwf3xVejRgkHdJzzz/Mj2eJWsDPSepXxAd5cc2ThMDPR88Cw03Kozkef5l6 RpLY24yTA2eUW/IHzRs38vnN0me7ILBq7JB+r538rBmlm3S+q82/jVmI0NFDBfKHEDQMLcwd+kni 3BhTqJ51qZia0YNRIh4Ai/Bxs5UfQyta+9M8xBxj5NlBwoNXbNdF9dZUAcysRjTGQIlymg+7kbOZ 9jQPkAr9W0Rjr8O39Ot244UH2SDgJ+Sm2M0Kac/d50k/cvdgDN2gT+rUex9doeIvQxSfhqz+obTo xeCepoFU0W2l3iitd9Y+ffwTMxGXo6zl199gf8oEKe7UdGhDJJQvs0dvybxZPNd/GSd+giRi/tqQ Fbn+CyqG7WqBRcV63LYEvGcdSBoCBEkCrzZXgAyyECsoa3ICNLJNdWHyoaFWOz2l/KDUMBUD5f0A erBYO0kbsl1kTVr0ayHv7LHXbjkkH3cD/O36wiS6knTWL4nI3rVt8rGN1fZbJu1Ue23KhL5FP1hi JDcWwPYPehl+P4dH5783fzFYKgk1up8DxjcXrqbSWAu4wQGjFqOD6WiV/QjaYG0JHwI06drM0Tz4 ea/FqYS85BhuTXnc3HQasredyl1x9G/jAK0VnmNacnt1gJIIRI/M1twoFtSr/mB7bm/8YquCttVY wM3U9SkdLBuk4Xzfwdtf+aRz5nqFizJxXHparicR/4Rr3tHhYOFT2HNmoeWxv+n4KsjgeaPTTrlW fsGwlCfNoIGmfhau/JPtbgSdd9e72QOzmFLowVv+o5yoVzoKUWvWwJOYzTEHepl8nEQgOyH3L4H+ bFAuoZFbRYZRzUQFjC9jmwJzdY3Bzagl2nP/kLZO+0WQIeTowzom+wh+h4QbWsVC2J6ELIO/i5vV g1SB2sGiHcjxczgT7KDNfSzOMMbsfhC8t/tmJCQgwSQ6FOFQZI62k0xYVI0wbKXU8SuBza3s4qMX r2YHpa8Bp6jetwI69R+z6fuuqaBK8Sxe9smYhJcF96rGFGNz0S7gOKn6q8hdgzm0PFh40UiNAAcx 7E3BLfaLWfUqZKrkNTnvGWIQtiF6SCCpk6xr0tCiAgUYe4ScomymrdpH07lyNiZ5K38nJNAG5eZq nE9ZR1u7YgOadJuFTg5ejO42zDF0DuCxFIrtZuzZwue3bLgDJPtU11cBJhDKeruxR+wZ7ape6rb7 eX1A0Do7QHT67Ce4RuNcp8z/FflM5BzivsHQUjKUfQxFsiRsYB45BoiKPFUp6KU0UWdp9vtPEzkA Ky12rhPxKKZV9m6KxPUIxEKoOm3BVQwT+nBoWO0lvLLyvKddyo/pUQUxkt+E6xZwGvAXgffBIr38 99P7ZDvDjiY/y0i4AN/FboSWKF84Nkvvf3LZV6VhQidPXQcebXZArU/Di/JJM/R4er8yj7Iu7z6O h6iUvJnEkSKKPmCnlPmOtQ5StOHJka29qY/fO7xThSLkJHpztArxT+KP++vPyEWX5c8F2+CS9EcL v59wsfeiifTH+zQbl1IO/eqFcpxy/fy3HKpxTFi6o9j/LP1szgi496CNNbnnZFn7iO3p57HIFMrE 82tJt8Dcq+KxnApgWCCp3po1NM2rNxqjDMNOnSM+9QbQZUlxQjSm9QhFFufCOROdipRGG23SABJZ kHJAybCmjIP2IPXpvnSWV6juAMqAzcXX5XOMpiqLu2mgFpvvLUoLMC7wRz7OR4rXMnC/Cyvsyomt r+Prpf1SDch4cUcB8F0ovbFfpafU4GD9xtev8yQ9zKQIfvTGIGw+XUO8vGnncNa/cAr0HfNjyAca Tmaz3jNa6CrTzuUGYBnERuHg+fKmEBETIWDVwS0QRcZqta+lSIHQiaOylemzV9yoyxLu4HgMvtnR HRDqzMosovqDDNUuR5swmlUZsT+HvJ8vP+CpDukrDt1jYKLbG3Ks5Lz0xLyRJirNoBeAfxIMQYhc E7BwfyGlgCyn6V8VzNvLaYN3CkyQ3bAF+AHhjl94FuxBUVvO54Z0HLwgJZtgkmjWMCDZIv50X4hd e4YZ90p+gX4K/vYPMeuaVg/GL5aChUviORMuPmVzaNZrfsVPIhi1lH2o9qx42LRVAX71gLPwnk6b nspk9Hu2B2DRpynQ2ATFsS0JLN9RdicDeyf87zbwOefQoQ40z/HMk3OkkR3RF31vx7wjLNZXxkQD n0Km+fSPXRR5OruLY28vQTSnM3Ve3qiPiWpksiRvNI+Qo3PD8f5dNO8Pvb76N/oZHSePG2zaT+pV V/GK4ICEm/aO9b7UyzEPwgIRm3MJfzvJ/IfV6bqr2pWGyEGNEyiGWcXWqwNF1PfL3fwZQgMH2GiN oh2GxiEwk5/XYh0y7589XJEKXfVZ9zFDkk7S78jrxd12bjWetyxXcEc+lDiUi4STKQ0F5aojGfl4 P3jzIv+XVzEbOOmTXODKVznrfzdjeOKKkEHWdElykwJI+YqQEE5jZp9jVKLb0VQN27W0xNbpdmWO hoq4u9Y122DlLZr+TTsH/2PNm+GvUpAy9EFXybvghApXS2Fz7Ey/ET2qEUhX1ebK2w7oz+g88WWF 2pqE8T/4qaxmomTVOHzEWBBKi6W91ZHbbTzq5UcbGl1x/NqqgdPaHZOEW0eAwweXZ0QqNvFlhFWQ i1AxcviIeBHWXoaYEH8WmGfAUirqOUpBqdUdFSNyw2xZ89ivXATEMROLw6eg4bKbIOJaQmrTN0oc ZAE+6cjHJvvg1iham59WIsBuEYcmhf07+ixfdgLNPY4X89sMP8lVvIFgvDKozgVBqS3wXGcWIibL KVfH1KynF4M8L3gnGgp6m1gjqPP8TI5lISoWiS0VskTJ0peXj1sJbyLwBs/sq9jT91DcOQOLagC4 Sg+MvSEWLP16wbaANhOaVzgYO7LDxt0eXxz7cDu6sx4ZMUaB2LmI0Z6XSETpFphLjraq+havTYmw KZKNViIk9QuRrVKbmBr6ZQrsjlARR9FuBRX3YG5p9UBST2J0sX4Yds7I3GL9y1EeFP/yeze4Dl3l V+3RQ8rkmIFCLOy/b5kfV7AX67cXe9TZ/kyxLl+w+CrLSbc2rXjAdE5lE3zBv6baJD5B4y0upxTG mC9xKaSajaiUB5R6FHj8BL15DffFF+hqGl1iJxvNFk67vuYP7GYO/zGE6ZtzdRWbmTyLP/LX3heJ U4+trvJ/PvdDWooIiXVY8fl2itoewED9d+R1wM1J+E9RC6z1l/V5FOSXfJ8WtSiw7TAa3VQHrXUd 3eV1oXSnUo6WvRdED6JYwMIknizG/5aS/W+KUo7fjxOW0SOPAvdTewzmZ2JYu7ltIlTDyloQE0Rd 5pMdjW/2SyvT3WTjk+HK7UUSLpl3r+Q1kNpZCGKkQ1F7qgwgoUfju8a0qf2tl+KA+FpRpqefbPHE XWbifiaYiJdOEKbLxhEjQIStnuX9fklKKyR/fUBXyoq73NlS/ZRmaTfitLowXz9pmj4Z2nQ42W16 raZ2b5usyEUbqrKJPVIrG2/EgyuDKRXE7dsdwlKZGsN0mokWF+IT7DSziz1BX+btRn2fhhUzt1js oyLSCy2qQFHXLpBH85GHpevfxaKXQIjxp1mJXfJsHz9L/ltUJL8cTibiQ9ZNrCHJa73pUHe8AwKl ac8cZf308u2KcBnEzVI+MxeIaIwtkwbNdGQ+klHFgU5oPWWjnhrljPh539PMIiXnUeR5vra+AC8Q tWmxInM02HR4wW2yyqOM9Q98o1X+ViYhCh0jCPFunN9FT6z3nHJKM7pxA+oTJj3LMfe2EmRqE4xX tc6/LwmrMrYrfLVQyV1MvZum7AeiK76FdIlnxZMp4+fams+P1tofVMtAlWStxLsXhktfnugW2MKt 74PZCGlmLuRF5uhS24jWXk1TzaOEVXx1ZCiWuKWzsmBQNLnA2NKXBi2Mz0NjXyY4yBp8U6l8+yLD uC2E0gxrGmm9K5cYp3Mz4BtAG+PNEd+kVmlaZEoYsXrx/kT0eU8LQfMVuWX2w8ohYW6AXvQEyxGw 6pTB7+nuX1EMdwS1HBqiSgLYzYMWGeFlbWyNTLl96LUsKGrrAPoNPRftZOBnNnQkYuO4p/7igtBA Qps6kTI3fY8Nxdn+FY6MLbWn0kICictpVcqGRhlQ2C8dNBaTwJv/wjZ0cJzf852/WVcUz+8T9XCQ E9l9aqbdKEJQT54ofpUvlvz2wr9m4RVST7ANcspgxlOQ2jzTS6hKMqgeWtv3K6i+YBTeeMH/W/EU OQijlk/20EhELfrgHyr1dHDblx3ASplj8Nw7VpRjwuWuanYlK7ob6kZomkOP1E3i5sKj+XVGUjny LeGit/4zON8xKa8flOoze/9c+Q93yQm+daabjIfmVshUzubrWyya3syVZUOpRvsSG+zLrk51l+oi VQ5tr8VVM+7GleIhyNa0bm2716OwSmPRrm8OymDKK+mYd4B9A5z8R2obJjdb1YC2rW/yM+0FccHU IB2jQM8HMSC61nxKwFueuMxbWXgdSn/QEJbNLM7zupeksgUB4xBEURVAbiT3b/VBenQGsQw4EJWz rSYu/I6SVw+aioMcu8wBaNkJR7AXN2sDibwiZT7MvRMgZaizsrQKRXgsdutSTuK76YOVOwD6Ndf3 evwkwjQunTVxytS3hWEHEeRVs5tgCLIaPXmBn0Gqp92UWgLfcPmhmYM9Yes093PVXehaSrYacEYc Q7b0dmOYmqT/C1pwgcKdNu9BI4O/sjgTsm//lntMhBYsBr4YxQwlD7datdCvOJtl9oPMs7LKcbSY saRP0MBwNYS6Hubqqq7FXgEP0mHu+0VWIA9axrZ0PUj4yyUCoepBmtKOEbjzqzSSMhWaTaOGGlOX y31VeO2e904SoF49gnZ+owhffXN6G+8AsASO/agrocvexYHnlB5c39wgwwpH98DfhLyivkM7OQ5v 4xgTiqO30aFDIGRl46L/KCRwMj3f1Fp23ZjFupxl86bS+m75JfHohCHyVmq2JsPiLcNi3BM7bfvW XYw3x6iV8aHRE5iuYRuEEdiMCBdQD7wyNhG/3yNt1cUIscXPchxBiVMSbPmKrgiaLbsTd9BAUNuM DzExeNXorwTh6o8/BUlRdYGBhsLD8MxWsWD/FI2tiFkhO119ttYaHb8QmoqJHtXNCJ1YpipdclYn 0bUGPadH2T4NbrbYYXP600N2BSineBVIzDWeTTO4C+StdV30Zz1hkERIO0laRc/MVsAtJsvH2Vk2 WX4i7E3deqTUC7rsev4P5N4K6zRMnzPv09SiHA2IOt9MoBiB5xWo+Ye0MlW9LPxwWYAAR6+7ASUE pZWJwN3tWnjK18Rk6kRGzHTSK0ghL57mmD/73mdD8HWcTQqVOW5f1HwBAKAvFct41NlEEn2H3FO6 KwldiX7ayqnvC7nBnctpDNIn16sRbLoMjt4uJpmNfN5wp7v05WvCA7jGDmuVmEuLAcvj/RNL4IdV qMZTMzXD+2BF3dLb1G+Clf43KMl/HlaWuTVE2qgnIJK6aNUkYtLrYOEowkVfUJ+2M5eIGdChEEVE TlbGBGDG0wpUvbGEheFr0NQkgqKSvWsFz2/J4dqS+Pk93bwCxjR4OkylmtO2pGgoWG4gYVKr3Cic 7Mo9Vz07WHvLOrQp2k2lqIz7W6AWTWJ+UCegmlzuY2kqdJ+rnABznQ73TjpHkTyKYv6tBif/axLe 90NO+q9KWsW/qb1I5wfkutBI5rL5clR/4FtC3JkUP/jxJpxvgQtsvphktaeLnIiwyWGsk2qsMtqG 6kCV1Ic7UV2HNW0nqjROIOrQtB3CjDearnBQDrR7TVyyNh+mFefYlehVzvWpM91uyiq6efqAO79l TFXW9Uh1fZqPzSRi+phF9Ex4GKpbM7LhA+HYPYmPX/hUgztWTLEoy8s78ZBVH3VS3tAZ0dtYUNea nYnztqJOhmErxOGk2GWA0+Z76tE/z2IVEEu+aZIKlwjj3k7HZnS4dFjeiaZG9b3S2kbOZ5/tcrqU km7/g/N0ECyp88hD7UQSvTGlLUwwQghPnpbFL0thjxN0zGKa2a86gvkeiheDqgamhIWl+/TeD5jv v8FtmwcemWbM6/+OjXjlZzJxs3Rp3O1tJdjmR//fdOAHtQcn4qc65OwoMUzbMwvq0v5Gabg5hwf1 nYjt/zgiBpdiVYIG1YV8jj+VZgbgjhUWuutVQRTyxVNhjUBQasm0T/T/X0m78cVZMXlpVIzAdUII KgpLUCMqhjzd9bT73omqcytlS7xnQEKo0HA9zX/96yGh29DOkhKkwcyrBV9V/lQqj9Wm/QySe5d5 MEivjdKPbLri1tZnKHljW3H4tLCS/TH+Wdd9NtPVl7a0noxj2V1RwsjlpLfID2i50ipVmUHuLFDn RqTpJfvwAIf+gzq53Zi59STT50zYWdKJOSPGytZiwbANyP+AgCqkn6q9o8uaKKH7Hjq2+nCh7X5d HFJChoosQ05XTWr2zqm4NeHiWuDTXPFiPWWVqkVS8oPOP3W+XFGWrg0xMCX4/NpM4hnpuiUSY23D /lzlHvCQ1vryYw+sJywxc6db3j5FK4kP39hExgI+nNt3B4bCTJk4kq701nf+7VKybCrF/4Uc6Vy6 XRC6kVAMOSvTud4AY1/oKgRSL9cx9LLZGyNbisxmPREnk7LdYnPclTEgHu8tUW0InVgO1qV7knuN qx/dBnfywe+5l30p/KD14lHzoUplyh8Mo0Drl8IVM9O+jM+p0R16N5Fr+4QnubyE3fOQkUr+bW++ WtpPPpEBj15NfwEjMqtLXxsASEGFmtH0bXY11/CJEjtVSgPtFHKwbSCfH9iB8lLq9SE6HHC//EMx EtOPKcVt2CL7MY8V2HbQdjhjFDvfwkoMZHFBY2eCMq2INNtb5w/ur6PhCRbZ2qHUK0+AOTVF2KbI 1Nqei6g8wxxp1ljG/tmfQ9TeDca0m3CKVXMhBk0iVrJaQ81ZYZN/zHnA6nrXcoeWQP0rDZ+bGj17 McdsP3AyAEdsJlAFdBUCqxEMq/7ja1ddbScNNz3POLYy9E8A26x4KHge4tAYPuT3K9XF3BSEJuSq kpez/URb+l5qtr7OKMbN2ficD2L48P27K9OYUD7Jo/XWhrTIKVdb7X4HcqTIT41JVlALZ43aVWj7 dS+SGIFiLzTO9F/HFD2UZ3qzNS2t1GCGDC48NoBihH3tlS7d9VNNqPXnPVd005SjyEnOyuomc2WP DMzbcwllXDo8soqcpyqLmD6GViK3BfNk4sfXDKdhdA0re0b77sEqIgob4SvF1Tfhco7p8vGECR7P 2J9ajAEoM55fqqQ9GCuTkoheSnkSp2/kVYNpnGB8E7m0Bk2tuTaJArTys9fmamzCZkaQtRPNNNIP kxaYSSKt6Tgb6v/luDk5BDhnsiRocXKvi/QkbvJDHgUgwNb0JnFK7wmT5TwrOhgVuvJrry2CYw69 LyHAnFm5QxfFXbEG3ufa94IYbXEhGkWpDwLUNPWeX8tHGhePyWNAMeB6nuHLNkMLxPPj9jEE2sG4 RYB0aLt4g1kfY4T09mjrSARzmtrHnWMMR6ZuxSoHt12HnknH3a4Dg27eQ8kJqLHzCpT2s0zosCJN 7rlVfw8PIHuHhjRcrw7D5lCiHDfFEOqBx4OqCUuMNqqpm1vFWY1uNWNSD+rkpyuUTrhFOMZtN+pH jrb1dNeBIKqdH7NozFO//DFJUZxFSmgWo46pluNu8yeUHSoQGPnfSZS5SURhSuLmpOrNEEG71M/b /NYROiBzBXCKD8v2mQlk6OBYcbrPbXZNeo0tL6FHXSFsUoV6rgqEsl7CE1Z1+Xq34H4MSTzl/Suv G5Dr5eaJiFhvE9b8o4uZX7Xl92+QPMI1zz0yqAx5PtPKl3gOx6B+/9jpLbIiT/uDeU7wweJhFvHX 2pqi8PGbB0vLVyY/HJUMEyblC7awX2uvcFRHpR0ZklXM6Fex6QvSzaRmFB1hGIZiZlkeWfK2wMCy N/dWaJ+Ls5R0HHNcJNRkn4bqiirGsopGVnN2EGmkWHVOp4hqPQJh9DVAaSV1hXbMiWltnxDs8PnK N11CAM8qqk3+zDorOzWTkdtVA88gRq/vd9axSK3v0MO9+PUmS+fpHj+T60YDtgP6/JtFo3Tdcz6i j4Vyjo9pwQszW3/qf1FsV2xXvKmj7fwkrK7EfszpxpM7t12LH5ob2dEdLLX3p7urrJeiGZtDJxSS y7Ipc7Fn9fhRpnjBoSw8salLeeU0Ze4PorPMg5LlEZI5PYv6CFTyc1iecn6ZgM8EN1Z6Kbea4v5O nByQHdHLIm1qwBFwzCoGZQdSztHT42//cVVseK8a6zYE9uwmBYdX9og0JgEheZJwcp2cT+Z5pf5N CjTXTpHGGynhnSoqtkZwkzGQvSSfsxc5q9L6SBhjXSZ3licQTbd6CpbKD8BKVb5Kl6R7E0DNZn5z 2WS4uSmywykA3JwkTgjAM0yM5FExRHrWlkjsXQqVkK+qc7y1ntlBbf1z4cWM7zLTtVBYrqi8dMfi CqufJBL3JLdkcB1pe5lYtrqn1LPxDYTWpKe/KL7zqvsLtTxDKdCMIlwAyRBkmagwO1WHmfTmdbWi z9Xe2P08MdwdYE3hMjF/8zXoAKvmjG5V2+yS2VZYDmiim2zxmnYLMo+8fY/LRJP7HV+P++tPphDB qfWMNHY0Ohb5RsgB2zT1tuSbuJ2UFs8UxmeSRWjvuKAIMt2WW6C6YGrTK/SSLgmCgzbevlIcAmfj SALfuuU3UczssS84r7NCweHBM6N6J6LcR2EpojMgphiL6y9jogzgTIXUj8dTDeMV7UL/AW9/tuHl ivZl7KSb0rf2vqMAj1WL/qgid6Tu1U78hvlt0C0glG2RUubjD3S3x9V9B8YjGDA7qziMXEFdT1ig tvb2rF1DfWKeU1zAK1SrSFJ+ADgBgTjEMdujUGO6DH/4dAqmHVHii7xDQNihH+MvWlqUcVqaGoa3 YsIu2tdY0p9B8Q58JtoCEX8fMe6Q0YOAxHutljhsddFSI/gYnPzZ90L/ULOrCp3BTearsJUyCPeN 66t6FP+aIhtc0+D4FEFGDLkh1kh1Eeh+nhRmmVBTvhvJCS1lZOE2coT9EhxRV75AsnJrfrJwPeoE fEiW5swjOmL2+4jQSEFWJObqTP/uy1rGHpjEDmLndxpjiEnz/ePt6oQm3NjxIbFO/OvfC408A3gG I9hfb4QqtxJOXhv8TQu08PrdD2OK6NoD9x+YUr1gloaeiMUV2AjLuZBsZdYxrTNk87Ja8FsxnjLE zc+oK+Nc41zY5pzZkwhuYKA2jsbwdg1mg4AwT/V5z780whrsx7CbvBmdDGYQOwmbqit0am03zg1i zKc/ZhQ2dtTBnaB3tTzLyozffT27btn6y0q3ADYyC0W/5Nh7cndtmIyTNpQXLhD4idaEAvO0XCEG ISFnYpebEJUMyDXwOdIoC2Z6b5qW0sLy0isKNecHwCu0COYlSQz69U6eudzIRrGHWRgpjs++z4wv 4IPhPn1KFuQHBwsf4bptajAk3ywW/qjPYgxov1DMQzlGGbeMSq8BPrVZnvAzYvZmJ41MsZyREB5u WIGVaMfUKmKUy2oacrfSc/PhZlAwWAzwnWVNZazjhQSYt1NKUOmaugq2qm+p6kSR1m/ml5jsEaIz GbvR6MSIKWN7szFqD8qGMheIgacMZ3G3EUb5CnrXfeAZqpiJSt3jjxrW+7bvHi05oL3sUVQrjo0f v+cUV0t5KUDvrc1zap4atDuOQt4xKsFvia6dZOFlyAZOhjf72Dq/uqw+YaO0QIg+xJepHLjZJuAl ugiMJtq6ji22zlr8gbA8B764sWla/lhjaimNQDAF8DAtNtsd428mPm2YdkcvULaWa5K0vIFVdKWv 7U9Z1H+dvunNRJ5hifa7zkXO/taLwl21XzaVpAbFzqOvxsa94qr8iVHpetGov1H6qbPIeqg6jeH/ P3/KdWHy3a6MGCYmHsU/zqCE/p75qAxvRhb60a8nJD/L/FyE+iN5Apy7RttAOIzU+SDLWF/HrcTQ juHkVzMNzUT0+q6ivG5CcsEunYSpAWFHMLuaHWyncX9lrzXnww9M1Vcj/DnH3cdOC5IAaICH2T6U sKtG8mCs2067Gg/svpKCxGZkRVdiSFYkiR1Pt8dVdgqQE9k9lRWZtrx0eKIuItEOdmgqP6ycvL2v iD2f11l6hViIBu/4lT1FJF2jfR8IrDlh8W5zUSldr9vouh7eKulOLCzdhslpr3lMkAAyLlsTJAHv IDicgUKydU6qDuXKmA5opzwuWp9djGHECcN3A4l76xjpbsm3hUD1ZiOVHnb5qVlJmWo+fJF2saBO 5Zn4gJyJ9y6uYm9rhlRvN9ddvdB7mqSLr0unDCqJk1hbE//9fJFMLF8tHHyPsfXHg6F3PJYOclRU 4eMpQhLQKLLrovAdhD+HVywhlP+On4G6IB8gw2MiWgStmTJf/TPIygkb4JvpYXIC9Pp46AJpstb+ Rf00JVrxOZFTK58lwcSmf6EiLapniqcfGdOYLZ0oMwX6AbnDb6NOKZbl8Lku/oaGbndfwTmXNO0G H7s4Rl0nfH+BxMQNjwD8AC90eYVD2/JXvVJn4oLyiAqh4vugkl+GDdhsXMrvway8Gu7ZkWmlCNFt gnxTf1DBdGcT1mdNC+cCbNGno8Mrx3yVNAx8JskuzjJIqc6h/CwWyNpHIBPb8ckgQUDu/97yxWa8 kOEZ696AlbSG+UfpL6VdlgyDg97cNR8rkkTwZ8AJ5yDed350Rs59IfTc9L8ec8QctOmVrrn9enRW yLZJttsqGPfc2johGyKGF6ibwEb9dfeJuwa9d+9Aj4erAske0g23cuzxf+EThHYxa3/HioPVl1yg 3IKgAvKUM+h9CEI0/ackvYTM1DTo2quXuytyb0IuRI9WcspP6lAVV+QZnTvUjm5qme+yEkvdFwW9 j9I96PFIA0o7vYGJD6D6isJcjNB6UQj9SdSOyWI0JjV2L1rbbthoq/oPotlLmBefJVlGQ3uhkcck CyWDDIt7y0y1znWJc0Tn7Di9N0JWCYXaBWLR85j9eelvfWjsWlnWdEsupNF9txlzV9gaKz1hB+QK otBHc/eAAGzklYZlN+QvIBgd6RtDt9ZAOiE5Z/Khq+l92rClxJd1zbuFUTJjd3GpHkAT2D8TxAgO dkaTHyGyq5vc3m1uJBQldVigzvAX5K7rjyEPF6eyx+FOLA+iD8B7ZvZdl121iQHB3eFE12r0oN9w K64NBd50V6F8d/9QDApEtweOFrui2azhLfJz3xJYkmi3D1elMtaByBI0VG7Fo/FTIYS8rjYJDfK6 /T+BwLPa7bI7fNURhEDANNjNbLBoEdeacfplMEZI8ULG03mwSsGPcJ5zrEITmcf6z9BvwLjJ3vNk PmTVOG2fTdjZ2XXXemOc0FCvKh8L6BxCjkSZvkVidKw3o/hKE1GcvwzW/SNj4HNX6PubjU7HXt9x CdlLUNFFZvjf3u8iX4vq2Bw6YVTIbvWvntM+vP3o6e4xp/rUV22UZyzsI8JWYcgh27yTxtIC6NRy AMHNHQaIazp7+agOvjreAL5XhBIytn4RRTaME0kXnR9HjiQvF+N7vdFw1XaI+etAE8cEcAc258ZN LgNPM5QTLTWNYF85x/HiNEHpLGcTaDci/NVxqQP3vj6kw+E4yutf5BJSEOVXQm58XClMQDWKydCq Gz8UzvimnrhM+TpOV4CVsdJbrhaqYv8PIrytOnPneYuQRlCbiHMB+9e2OMtX088Xf2JhpnZvjur0 nngUP3X2Eo3hUbIvDV22fj9xWwGsqXe6us+CYEjDaiKb30ip3Gi5LtVxBABJs3g9HMeszdaMTExR P6ay7pPJ212192rNUs7AwgyuMZ0SjGWklawF+kV1pTRN3PTXRrsBjbdTcdTDbitwiFIQ4ATnmum7 v4Vai35TEpfNaVM0UD4AoTwKTiI3i37qE8rzXS9nunydBr0kpCSJiwaUOzmYXXAS76PZOhHRkHkq PJgiM0+OLCASXBgpcMQvTYXwBI3Hd8LFHx394nj781nnAG3V2splWrdkdF331UmeEsLN6/Fu+ALC 7++5/X+JxvFkiixotGCbp/QEP6WSfZ6rGH8HavqdT6besZR426SYmaOmzw/flfaBoQD8jl4egoHz mOis62HhohiKQDOBzkG9Gqd4bGao5PwWz2P2huG/vz7dh9vVOsP18Peod/OPH+QkS/3xhMVh+uyx AbharUO27sw81fA+mOxaPbr5/mPHmi/cTfTfG4/zSl4HfSrwEw+8al3R8tyf5cbk98wEn/P7NFZ/ 7SGLfoPtJy5me4hX69208neXOhDcAM8HILEiLGUN5TE1wX+hvxOIUExieGxklvuy5rIDfLRVkLkT 1VzagM3YgP/E9/S0UsS4vNl7h9XzjwqHi7+qPMN5IxAN4Z9KVkjGrpaKiXHqB8RfvakzWuj/gJKo gb1u1wb7PC52Vr/il9Zdr02aUtcnHt27KObDkWu2SlAQyL3M5bKrgLqXQoCagOSYZOlTDKAIS3L7 IencyGdCy5fcV9az73YOQjOUfcHqgC21ylCfp17/YwEG0C9Oqxt/4CPNbkjsCu3O42D+rb8SLD78 7idUS30LnBsWA68y+BhGykbDKjW1Smn+B9NSWdevGunlz+coRnnk4Fepxfy9nd2OgXSsj1QKcETF UMsqdUHeQE1cxv/3WEC/lldssnFY1WZO5j7GcZnWDtRnpNBOSRaQrvdYehIKANhwTB0uksTG9Tjn 83TGszYOuWH968xQtVfh8twIURx1a8Mz1p5h8UfkoKy3a2X0NPiMBofE2gmCC9vf5SyiAmPdJfcF V7SD58M0754xWEbVM86SJTi4EyCESGcJyqGopxemd9SNkh62rlMkf9xQab33fg8y0g6jr+8I7Dym zKUgrquDniRQeHqF9wxRUIGM0Sq29ivi8ROzWxrZVQryci0kVB9NFm6xjm0GYj6muE0j2G+6uFU8 PN+OBWLytXJTLA3oGssvoHmq0ACXQtUUTnwzjA1sC/+HEYT32dFYx/4qUCoOc496BFGg44CIls4i YOYKcE729uaVMS3nlArZhE/a9WmNA1SsHdPJdJWRXtLWL+5M72DsFMykZLG7lUlMUV2OBCYrrMZd 0sJ6raEZLZuw6sOVmLUo+BfnHMuxfpSZuAZuEQqNKFb8Ol+KoCeKEEMVM6vOavAXLvhtdTkNh2gJ 5p7O0+/eHSBlCnRfTHOxSgRVnikeZ4w8W3Br4m5y0WCfDCUJ2kKW1LjUJjGu1K2ZFHpbL4LZnGX4 M9mLQfyX7YK63cgbJyWAgSo8nbqtpPE+GKYqJRe5BBCgTbN0huTtd8RfJvUzftqnbEWycCiIzQit YLpoDOB7+0F0RLKomQCbgWxNG4D2AearSBI5Jn+cimAsRfbvWXeaw1Msu81qsWCmS2KIH3J5CNS7 zQP3JGGBRHDxunaZ7+cDxPYE2c5lnWIXyoSUH48zRJ+kjI9zClcQNhptbY7t+XPHY/QfDCXhEiMs YRiexzWL0SXJdqffC8f1aFwYn6UFtxeG7rV+cGowtZknyTTINhN5hrPkJybaW0rzoudGnj10ymPQ +fob4ivavjkZiJQp+Mt/ljZRCH1zHXUAw7PHKrc9NcXi6F3xRF8UVPMZgNhFgsdeGxLGNqsodg9N zzW9YCoBK0VLiNLl2Y0R4kN3Uu6sZaqusaiXpfQDtrZyxxbYnbBxn/u0RTtxk/XjlnrvxwQvcgOe DBuHygXMJiVDIXzyWfAVoU1fi3cwNWDEhPeFSS5MqbN4Spd8mLjU8HHt2CjU9pOL1tsnyL0JsXUb NgpERkqPVltCiS5ziJhvgJiAzi+uHR0J3pF9VX81arHe9H72W16hnXGZ11NcNqSDINJZC6hrMWuR oSGjnWVjhMGaheHPK36bXzfVllLgx63jteTD8jhxfUhOFVwgDF/J/X5fXoYNsN41b6dBAzlZ6vaJ /tTIoqd5xT8O+n760W1ktLyXfZe3gJVWw9ZCAeXHYRRJ41JfBWbpDdWVmQyMZXVjDDzTGnJru78D 6+QW6ssNE8TS9ypS4EBdCCT4Cdszprv7EttmxgaT4DW7F4tzNPAfBvqHsJvrq8gMsMi45+pOnnIa Mh2SXcneTzI1aI92uxhCwyyT4BqB6C65Jm+devLQXYRvXs1ywOQ3wqjJaJmke5ArUr8Qhmv6+MJL XH5nt02M0M+S/ZGttNwxPq99QDMlCT3345yaPWrFks0b9/O0ZqyHqIW+Z75KZUSyy9jajklltHV3 Za7QbEiPHBBsmNiHSJbkGibxE+vfXomwScIfuMUeWQHnRqMtlpuGF8gbb8VnqTAeYolabjM7+AHt pesoaW/nMqmOfesw2hpa4ujhkPwzxbkCeufF0ocez7jvGis5RbpyYaDKfvZCZBQzJk1dekpAbS+3 i7j4z0/P+yxkz4Zz/jKhjBzmfmD+IiRDTEBhBpyHQmq0Gs5+RAT2dcB26Uy2nd2Vfe1saKTYUxsF q6fqHy2iHtZm2YmdamwK9kW/pfj/DoZWx40cIqfLe9IT36Yok2FdbqIki6ReYKwMWKzKCE5PxYU5 zF6s32ofkDicdOjK/V9WpeWeX/Qi0pcBwx0b+UFtPbk4xwgsahWc/0aZTnsmIfh2ipGNKbyRbs26 KxubIL58HZ7WdjkMYOU4OMmLrZhWDiLPpBwnSrh7Ix8WI79ERv+/i+vP8VS4/ScYi4eDisBhSWkY JcRNKgJ4paGS4WzBO1B6h273axVNyGcFszSVdIoPMvhv8R5TbtWhNmK67dP0dS0KJUY4Hgda4Trz mcpFjl71LuO7Akq4aLjhaMjcEyGXxOIlX1Qo+ZoZa8fC0Kw7O9QhRe7cCiQvofdXxlftApXDAgiq 5QuHpjnC121d3OgGuTERliO+yqW3VXTsUR1GymFrPL7251euET+hP49V6V6Ifz7ENC9L27kZZYvS AUIVZ3rVQAMfr1FsOOJj2ZGlRP5zRn53NphSxTel3LNnLfwJ84/NFkL/dWxHkylUzdf1O5PCAy+h FhTWhyeQLzNnhKDDaKeX/Pre0Dcztb7c19GRNmp8dvhfIMApozdt3uZLinRO3+Dr6VdErK9fzIVU LB+VBBuhIqeq9nesAHIVyFTO677GxjddG63y+gdeyVia/yFDm0WR8KgrIkbfx9vpqTcS6aC9RNzS Q8UA5rfg95ydVoYtWAMC2WiE8cwhv7XOQ80INilpC7rbQbGt4kBkPpeAL79R7XdLBjDyJk+6D+X8 EJLBVWP6EgOVmlQLKXUKQZMuYZJjnzCK0NaAOqmjlVCyCdJB+QqJY+0ixGmlMEZREOG5j+CD44aY 4zgsxpkgqBarP9Xq8eBPxTmrY0UmI4mysff8SEiqNvy1IeAWMZH8X58jBTw1BbjdiBApkAeg3JOq QXNA07QG09bmzr08SP0KbHPmPyLyxa9pR7gkndo8Vs1VZyKS+yIoRAJFz3D5Dyd3uhBW5x6tVY4r 1+06XsxAVm+9aTm1MBWZ5oG1u3BwRdtRDInY+LpN+Te9d3zFzjJR/h4ynrMQv3Q8cE6fF9YzquIL qJikAzcxxHD5j3FiUbJAoaWX5xZSZuD7uKPoNuWf+XCVu+r0fF/lKuhKAmB8FsbCRltV9fz7PbyI yUgWY7zbe0AWUAmbY3lXXUbzR/3y+Q0DXGtX3+RbVpXnazMEoiQ/UhtrAuHo71a+ieqxK961zP3D AamG1hGu0PuThjElVKtWaTYU1R43VLuYAP5MyagUqxPPBv0heG0fzHKteyeIuQso0D7CkUCOGKGR /302NidpRAKFXvLaZoxvStzMeYmKe82Ptfxqbr2B4DfO1k+GQ7vFWxUwev0fnWDTwKvmQzXz4h3j z9GrnmlUOTPXsvgO8i0Cw8uI/5GActHJL32PQb1Am9znWSc/QcIXUhtk6MU+JfMWtI0MTce3K8PH M+1ydCnXzR43YTdbQumQ/N4KmoO9moTX654MFCwdyDk0jiof0ITxfosaxex6GxmT0ZopOFpPuEQE r3wfvMIyzBaLa0VYIeLDy6mVPyi4br61tSokqZihCOh6LAmV8hlVN7vqv3wZNvWH71KdS3VpXd5y GioKN0sXTatSzZGH4dXHPDFgYZcBiKJav0/2vqJu3SH3WQBg9WlG5N9MOqec8FeyNXovzclTZ2kR +mYsl1YaUhGoeqgaka8ZC0jtW49QOFExTJXeq5QuaIGuYTouBsbLJtPX8ZXYLvQkWJNEBe+cv/OE 52/lteWufU4tmJDV7R8AInnLj01xdijCDE3aikP0DwxeEETH6MwnQ3M9yCEFf8gkaqeyfRc/vOba jblTaJ+vpRluclb4GTDOD5nFvOn0tpGD9z9xOK2MrHS0Q+wyPdMUwvRUvaZm5Gs4bEV6vxDOdvFW xzN/3jWkP+egX+khGzRQBmCifV3pbRihtBRyjZewCwHcFqVqDe/D/j3Qj+plcehsAVYXwMKNfmvU FFoX9QkcAPvQa6AK3Kt0CtZMwyOOzvt/+ANhD26ojOBqUy54SmHPHzf3FXeuUbUIt11kRdm+HMEs H5xfohTJJq40G/1r4oXE5/OPoFibjbraDyffug/AT6RupwwY+P3XLu0qdEn6yEEPQECmbOfin5qd VtANwkbrz1knSHPIjVuZQl/Wa6jBb6yWfvC5wmdjhuALyp+MGokQSM5Udtxrqwi/CLWcMkzer0jy rjX+DFVOZb0s0CpOdMJmAmjHTJKL5B4aUPv7jLdoxjW7U7K8WzaAlDuzb+iFAyTAzNK7TYiOf0lS Tr28ksAQ5qDtxTJbQDNX3y9KakmFq0FXd1DBGX2psbGEE325suxOtakvDIWoViAhl82d9dvNcT3c /baCdT4dbuYpaJ1GOGg1VpPljdb6aSNgJrCUGatSUtBspo1n55UUQi2OLNcBcEbCOsAqP+Zlrcg2 QkJwFlOApoF+s4DyVkzIld1/T4sbwA5TsroxgjHoUlZ8uR3O6A7p5Nq5zuHJM/82NSS7v/zFdpKt Mvry7oPqGfGmoXABPN1tRcNqaJ3Jux+dezjIzlj58/2LT/rNzJRBN/j1FnQsB5uIFF71u8lafYgj 8s9PUH6OxiQqNySYUYH7lgRaaxXQ5tYLYrjJlExq0JUjOqp6gWFHhAkUUkwSLhzuUYznI0k0k5oT vENypQ5dC2ReatK7u/Bs6ULJXX3rsbE2/ZuU/BD005fx0/zKHKX6NKBRsDnNbWcuGNHVPezVqwdT d3q6ne7WY5h0zKGXWlLPvy6DU2h+xcbqgPcTYGtXqyscv6rgCZlsE6hUuPVaHO32V8hcxhi6XBKN AcdX+DJx87rk9oXbEM5bi4GhF+29YklpPSoxIQ6okpz5UrxEQYQIcAnK3LbmZi3RZl0FiL3XGUcB oNYVDv7MARBwIvYAt6J+0JXjgLgjNmIXWO8qI4ytUbSTCf2m30uzfBwYzz0PFShn2r4NIDzbmIL4 DwsSWzwWzHI4/kMw9dfu0Yeapd35z6ebOLGJuAqLofxHsYKOAzDWcd5fsS0Cu7zd+Iv/DlCKUQXv dGGpZtaoTU/pGqVBsbxBuPe34NoWY+3iwuVyG2Bmqpz0CrO2cuNrG27MduL56V9KCKoIMaGluud3 cEG4DJeg/9a/8CgSOMtJ8l3suyvq3CJqlubRhRyqGIz4YegBrbWAc/j13o8iTaANWxlYQ3k67901 97XUCwX/uBOkNe4/udC1CR8BeajrCwAr01YnIYmtb3wcz2a6r1Cpyn4xcraHUtwIS4b38mVj1vb3 i75Eq7/oF9lSdXCo1Tn7dqoPez6t7YHIq2zDSjr9CjX/d96PycOz8VdDD9tTzLpR5+Fs+NGfFju2 1oLCsl2D1Q8pyywTXrPVKyhAbeJGoFyv1Qxs+qI4kM+xQLYMnP9lEE2Ww08jDtwKlD3y94nqQFIg 0Iy8tOoTpm/31rYcCNhq3zjteEZRoQ4rOanfEgxpwwpoThUZpobddgNUvzVp6aaNUbMKrZvprLbj BCE6JOZ6w+kia2rfNcHaSbXqlRxISDlLjOmwlWyI7cKC4uRuImRCOdeZ1ZeY3s7PgKU0iSalmogR dZ2r64+BgVf5ZvtFZwQi6ra/kl9rdFSyHvVL9q6WCLIla7su2cLiLarJHx8o0XeF41dHqV9roZS6 s9LkxNCIN/9JHMapqs9+WnRhOmkrQoEUPsQFDkyOde98wOM76gWVyKg3UECuA+Qgilc5VQW3jnBk HRQZJFKLqQnmMSg0dip7r88MnXsrzVacP55ZMFDr2aDCN0DjaXvP8dDZMK3Ut2Vwj21D9Ti3Sn5R rK6AWk39PjP9sygZCBrFJC7BDbfLvqniV+VZXPj6E6xVqowJ1+rVZ9Pd1q5vOg3KIx/8itLaTK9h wWpf6XSeA/RD0an1a7eg7TYU0er557D4X+ovgCWZdu8QmiI4dK/Ozz6ud51AE6MqU9IDzQON6lVI tI/TiYkKf7nOn9ZdEGddGJgaeOvpPhOYtl2au1MkN00udtWIJU1qsc20RzSAujug+045MWqrwkF5 AU+UVq/uL7wtjCeTJphkMlzvRaBQgm3qTf3cLJKzFUK7v9kZMjGZ+EOOFJ3dfhesk7Pmegt4HHq3 LRVZbYZ6KWz5XQDIaO+SBXJtSUQR+OVHZZgMP+FUPLYTK5E0ciagCT8X2cRuBeJ0ArTLbre/X56O ff2RPHDJMeo12JWDjc2I6PLotIjO7bN236OlKDanGUgknIa04/GF27BkLB97cEhoqRYk09iFabiN pwzPBeZMBkx6nt04uavRSj7fwsvizvynb5Z5Z5o2cXOXt4reboLEQ6WDBQUJ9hsx1KkcQfFhxM88 Cy81mQuKKrp4rRkEiEod6UaQSykpJO/ffJHqlC0YjHIcoXolJnAZ6EHKV2pOj9gcA6cnRgCZ9eL9 4ZYndkX2RahfzSCXldOlxSwtOXagsKo+4zxyGHGg4dXTphWnVYTbj9DeDgDnVEf3My+gFIXj05dh Y+MYegnXvw6eRFrI4aVDZ/eS0Tqdb8NBcHg0zsiejlbs3FCRRI4FM8xalrTJ6orUuJzNMGbaoqLe fkKFEgGqKpatulethnZQ6A66y00zxr9z3siteqzQ3Tmr+jclBurk0eobVFJFhSGcL0pCtj87Za01 Bwf1mw+K5FO6Or31mKQXCmvIq6eUd6CXywPgKhhXhuto1tqrpc6KpNr16hkTTZ+wOx4mjr+Mmbg6 +XP33T2U1VBVfYG0uCVVVHtvoBFFN8thYHFeDkY/bzqdRDQJWqxOuBI9lOyF/iJ7E/pHkxoDenIG VNqN9EyuDTihv2DdBFRGcIqfR+GyptJvB5GEj5SLCoy+yZ6C5Np3t2RhfqG2ZWUPYUHn70Ivh9qe KK9X4wyquh3voOMRcujFcU49rSnz+pKHhQoOiCuAwYAgnv0xlpHwQPUqeWqmf4K0AfgZVA333iLQ mBwUXBUf6ysPwQI0vkqpDtwnRyhbfPyLB1xTtPWWH7l0onso7T+8d8PTKsMmiyGJ8yf49O3suQkv r95PkHJncVKdOAgmGh/Bhls245dUK9y0XmLEMrg1NlkgX96IiIc+12Njwovzx1ZVV1HAKbkMMsGh sabvsvl4EvwNZE4LxMWizvfnajj46R5lvvI8GUPwu3NEIcTssT1CJ0ncSfDDlKi0lK8HFvacUJLL J60rSH7jXF2Ub2kjqK4hNurE9HiscB/2xupH50JWaRDI9JIxfzNH7GRvFRIWVRTGJCE6cNEMB3mE 5qnA6Ws+H/ojbjiO2R6xqPNno1GUMbtzYm14/rye2Xbs3EqkVVH0UyXaObKfCaXgemoawSPlQRUA pdwQnpXljZJ/Q6EZr7VTiaS1t3ZOgmlb0UeJy0CccUUW3daaQOWg5eQbNC0S9FWAsZKjL5P7q2q6 VuIKPS4PaS05VEc5+zJRh1A9C5U0q6Fc/Siv/wGeFMwbMDuSIAFr/g7Pl8UT7xqvAnZhkawa0snv 7QjjPXDQAgZmvv7pF84Pd3uk2KrF6qOboIMDGGHZGc+LN8tjg++m/pmFnkfTfKBk5HdEAkSL7CxO AC14mKssfydhkxG46vpKkpcAwDAc/LQt0jgIg1mkSP9FR++bcN90zK0Nmn6Jv6s832x+4pWyjzgF T6b+ZWYKZVlBcbXsimrFCkDKyy+fY8j6lOyl5+TlL5z+sb9MfK8HDr677gyDxyZT5VAH902z7OkE j5FhG8Ao7t8+o0RekdJGpFjL3CWzDjNJvSMQBv5wuNUzIZf7b1vXZtuquIqAg4jroUF+4CFDe6mL lTHVuDjN3ut8WyqvDO4jjUbXLkdDGAeWtLOgTucZ+lhMn3bjeZAMGkxAgYrfmCBAuNcBe/AgW4AE Ws40/DFsWTr/3tgHZiU9MBYDS1BeSAySmQQSDjM/NbPpOSojzWixbYEzKolpkrELNUfBsPSmDEds I7ZEGVxv5prYW61jRCdTY9eH273wS0Ipd5WyKggYEubHrpxGWqwFRxzn+pf/rL5qhIcVpfZ5nfnV rFn95Jp5WNQ41fNVOfLoqaZiT2Jc1ifwLJkaJxzdVIC9sjhVrHckRip1Qc5uvyhSvzirmaOzaGYc xQIghiFy8DW53WZCWxCgv/5upqg9BMXvG+L63oTebt4F8NSzcAP43xyyoHJgsIAcx2pTUVGuvJAg BYVBAbhnmT8rNWsuFofIKLnL8EI2YGnQu4gQTJ9GxO2jYKYuz1kzAom/BRhYpOCtSwEueaAqc2c4 xamN7Q7UYPy9VXQZFJFPZsur1sBv+3cQ2yrSEafdtH7fl3zbmwQ1mI8tTBFlzwoYN9V0VroA2RWW km/WzSAGt07utqIekRzgyfN00EEy7Nd18NC6Uui//oJQJ+w81mUH06XgAjOfV+QavTiJOlwa1Ipt 4FyMKqelJDRw4n7a/TxJAiDiLUIHLZUAYPXbPD0fxCjv1G9Zvorqv8sabRUjTLLYz9l5HDgTipaj 5rPSTfxIh2FGYwTEK3BcdSQUalj01miDLFpbQHZJeKQh3b0lEKv5F6A4wVfrguWglQ7rnKjswx6d sLgEGclwawrqZ8xiz/H6e63prkJJkiOe5n+z7C0KnP5ta7tNumXCA2SRkDUuIOa58MgeST7gzLHH QHqSLg3NV1Et4FoKw8QWdzU6c3101SWSQkmxldyIgCdV80WJyxDIBPQM7EZua+ZnZ8OLZk6f4wRZ RQECF7KBQC977H6eGPZ+wPI9ZpFDiZaKbM/3gvXU5kE22Dx68mJWR/fIgEh17fz1uScSf+2p6vYY BEBnDRi+TqJc4qd0zftYxHmCmA/2hdxjhySvMGx9fdJo1nsG4d6MHRXZMDQjJUbIZJTY1iOD7zWW ag88ICcUtHprRtyjT0+Up94zqMtumldyPpFsXPkwFmr6impA8ekrvzuQEKjmgyWA9pWglIvrcIi6 ginTXuCADuvx/HneP4YRNPgPuka0ADgi1eRqt2TR+P8S77zMQy7fmuSGh5Lma1WHw32Qn/aUvM5G 8AUTtiwTqyQr275A2zCaX1sBYeIk0c+Uk5raWbNZ6zLmyRyX9n7UV2+BfRV66eedjAtD7dOHbYDj YYujY5WMFEIwjJOd3P6nQhpUQnPRXwGcUsz6xkB/N+1o+4/QLcjyG/SJbmkkYwsYf8H5vlp3B2AR LWSpCN3nH6ZYU3YxV8Kth5sPgBV9fZgZSQwmz8n08UmU+4sJAqt3T/HV4y3Qr//ZiUf/yMmm0FPw jawJXZV/9XRVHwb1pydF0DEwuGa+FSxXYjtWnpgsXONQSB6475P2iHJksDFATK8kB0fwkLs04bv8 Bu/ejjKSKOSyOhUW+NOfKOX1XiBra3zxFDc012qTqZWW8FfXhXcXJNxWYsGrBZAUZWa/jcrQv6Ne SeIbQBCsNGAuZp86xJ2IC1Pf/NxbQJGOUT9O2+PrNpte6p2/LP91C6FRhIn+lymBhNmPsQmBYFkR vVRa2clxx4vjB641RPzIyx9+fIIK4Tq5F3ZKtCdxccA/5keNkBzjqBeiBYXj9MW/OnUlwOBDy8zE COzhSysWUiThV8rVb/U3+6pnVkOH1TWJ0KyPwpyWL5uUxwBV0DmzCJSGhnyBerCS0RrEm+vJUNwp MRSFtIakRc1AQkghBfWm0p6eoZWwXoA37P1/FLNyrn2DXPUq9f3YTKEhHs2L1Rv1qpQn1LoKMWwI VBf/s+bb5vS7ZxMd5BgjF6t2EfZPHZJf+SXTYPnuJNLz3C0yRNV5ad7bKng1Cd1XUExPmeGmQ9KN 9imyYQvQaMHFuNrYEHGx8Nsqv9B+hkQT0n/d3JLagBkb5fY6vzI6+3N0WO51Yi3c6ZaLkq0gEruY By1ZXjhl88iVez14/FbhZf4hbgtu9VbGskUpW2RalAkO5iEqouZtNVjKQ7DbK1ysY+9sX7zf3j5/ ECW0MLrhH8Vkbwh/i6ovVOYTYfBuhIOkYD/ddsnJJS3AR8SrR70wq6eBRWfLEB2FRxq2RDtoQmaE +TO7NV7/ySkAc8gLT//qyCj6t3boGNuVQywLtIjxCJdAgLVpS9uRTyUxzHCW7x4sdJuZJRmppUwS IQEcOfZOhLx2YLaxc3m9K+JwvFkiBqN5QPJP6NqGiYaXX6/YjMVlyK94V1/lJFmKHM5d0WvwUrj2 b3+bYsYbFiai7HRIIgBPFeQyylxbSIkiQkwllGU2ZC8XGNDMJxTaqtpNOiv1WTZGqEsZRfkWkBxi RWNICmwiWEj3f0U8n2zR6vMQAONlPjqKEruRn42kPJEC34i2xQOD5wuOq32QnPi68puCMWR1AcH6 XvJQuvyPVgkCZRb/ZeOkIW2CDjFeIqNVh3vdRDB5YanBkeUc65Ddh+gBQitSd7Ynol8/N0r4pmCj yACwnB02nIrJp5Dp3RboL+JsytdETig5RtoBm7YdNNnxDF0KojPepqlp5VQrT5Tz12/Rk3CuqyU2 0HjZSqGKjZjoQVm2VUoyNgzBBMNriZ4ijXx2Fza+b+MJ71v8XabdlzPGtGBgRzuHTi/H9kn8cG+r hkqhS0Lp0sglnVfJbd2jO0b37ilbHN2Xn08/2sDIIQNyMg1/ysPmsrI9l7j80bNzd6qDxU1HUlFf o/gtabh9BgBR01h6BWZFfi+5x6PAPSTkZVV8AC2ZRMIobTyfHiMursafEiOF7DgeGJy8m/9LDnHN fX4tRGKogM9UzvYzWnP0pfSRVzB7Y2014f8ZCb8T28qOL0pbgwlIMynzbZwE6sZVo/1HSEnFGJBV oDOIP9STTEmaRU5sOau7hXV0eoI8A3HKG5naP//FuC6NxKDZdSU1Tsm7fXTDa2VMs3M/Ik9VBZvm QNC0NeM/Jauz6V9/wLMWs3ntk0vvW1wjT/KYOHhbrAfNa57oMzXtTpr26RZZabn41Bfnj8ObfpwY kRjuSCk40PgJ+GgpJxubWzOXUuoOHBbaSyP/T5KyY6aqezDQabf05mVH+eMwu/8V6PezWbYqtzlg F/eIORLGTcHK6ZzTRL4G6MKeXgUxU0oOvGI99URRA486H6eHSGU6DzCIY1nVJqCPQRul/6bhotj0 aXVvSdg+pl6zdo9PaMv3u1epoKJjzIovsS4vqUkS9nJJCqVxNPNmXy0zWmwmufc6yNwGA5tDEMpw 5sHj01iTqA+p+h8HzbsmtsDyAqOwsSVKlkDujAxIUvNUlwt9zD2qzas2uIZj/tzrEjHayI/hx/Rq zmvxRn9F2qS83eG/PUu/a/MRod27J2QDsRuc0NWpKj2SgZHm0bjjI+xCrVmgojSHKjCbYGkE941i LNihSeKT8a0ynhim+zMmrajwB7t5OeUZvE0sZNVJy6etKcnczfh55R3/W+6qbLuHJgUjN55i8dN7 lgKiT9lOxr5AQI373Wp9ktTOmjFgjgSn3670fJQzG1XqRr5lo6s315HdLiP2on1oSJ7M/uRMftRQ n73GIzcHrxsqSmPqXqumuNu4MqHZr3uDRvFUwbMv8DLhVDEggRecehQJ8czpyspwi+FpM7zuWqDY p+e0KUD+B+OwwKImdSdg8Rs3so0jj8n+KwN6jNOSDvMzY73R8G13WCnbJ8djNVh+ba9n0+NgQHca BoNkc0jLv0iwZ8rZjjlaK8xR+PgXSTwVW55hbEmgSVu/rsO2qn81zEuU2MlTXXasEWrc5xQd5MS4 jG0We87DU283o/jIoGj1UBhHbjEyHz70rkmyYitnpZ5lV6k0wb5Y6+tHgmAaIieFp0BhLiLLXF31 kPWZbR7aiaNvau3lGVY4M2BGJpIbY9MzBjuvMMhahIOVrnAI1jVv9KaZLibCqlmRLvL/yydAgp/c 30mkonYNwPgwPxbGDaf/dVJxp+vJuWdEqWvG65TpZyLd8iUXJteQuQY2E+pwUypBL6m6sIOdnfHu +uVzvBnpaINL9ydTJUI/wbzlo4O3dbR6uNW41koctTzfz2nq1wtFl5bUD/OyypRvJY5lGM9ieXD6 e3s1fSPr7eOy+Z2FrMXdh5X7papA2UfGxnfxt+FPRDx/MarlYn/2PQxJxKdtkbtSKQeZrzCGRxEJ 6SqU7+nAHX3RH8js2TQH3nUC+UVnOnjREp5kl4UHqRhcEie6f+5C9au4smBtFe2EuLFSRYnj3CQ2 K/XkY87z244rvYdcv3SmAxBUYNdD0TB8WhkcOm1Wg5wqIlHYsTsBTKNUa8hZrLd8wGadT3AWxuvI 7GqD72WcAJ5LvWOhkFL3a5Uv+Vb2liqEcBDf8Aq1O4ZiLjuOBvFovynbfF7Y5+o3mUWcLrzCVuBV tkLPC7A9GxKMfcQTVkcqvUPU79fnZf10LvoymGe28N4cBuFwGvPBJ5FtzIUgxhCTKkjwBKhC5b5G JhWYHzL0sft+mXCNoWn586vCmFGlEMxKBAbMcIN8sgLWZ85j8A1d0dr73wD5sVUUQ7EtPSSKSUQN i1hVnm16wSienc9/KCp+RP9W1n1jFcg67X91OEaIcGEbmAcOb63AeHZUotERBaM1yFSgkfXKpEuf CmopBD6o2mCJ5q8wED9szwnQlI+pndhCoIQ0qJCYwGAUdFe9ZwPtJ7DvnIIEeAMgC6QHZt4i9KAT elEdSNcYbVkJTKEbHy07797g3WmfhbwkP4socfOrh3sKkhTI5tfa7xkUOsZ5ecPm8321eNdmMkEj k9W5Wsu0sbEkrWYmaH90JzsjAmOHjeGFWN4LlBFWUIHibXLAjNu1lajbdwm5SRC9kYYNBcPfbzcd 8kR51W41lR0fkzOVNg4QE3eGXPa/JMCcr3yBAtIewklVY6IV4PjBd/QrQJZDC+ixFu38t7c9Rtwp GClPzf6JIVOzvCa3AUDzf6Ms+z0nhZP9zvtZw2hjjwm1v6jiXyXs8NS3XnTXWZJyxiluo/+rHcR1 cQPoSqTPoELf60ezD0/wTj1CYbe+0nzpw8/E4pdd6etTRJluORoGrS0YZaDe0E9m2SSC8MxDcJnQ xb/j9G97XnPMNoTeNM/BFVRUoQ9nhBgcMKFBDiAeVwUeHJpXTcvT3hiBNkmmh2Yx5cNbLw+9QVat IWbZ4FL7JNLKGSdSCqS2ZQRj181InXBKyaFK+w9HTEw8E+5YS6MvCZYSI2jy45k6oxENmOb9M8Ww g2rL5DLo8zD9FJ4JDMzdM+q4xCHozDVOqHTaRtJJRys+bCE17mAeNzwAVcTlpRa3cY6jXUBVGGGs v8kvNdPOX9R1vu+nJOak/xpticWpNIRfPgvAhdK5FTvgXxzbEuCWtVfoWj1hN5GmV9PsmpjBBh2F gp4vOeIfU/bwbjMn6vCybnEWBQ8c8MwsSrbje5+rb/jMhrC1BndYliuTiMb/G3hk7KFy285d0AbA F9pUa1hFcFg59bfUSxQfevdv8Icr6O9vExlZwHA8QDRo0ix5bc4AAVVoBhrXD4O+uxcELL7E1KEK VudZS8+gUGmMnq/sL8NVlZG/rvcQKMCsK64lEr/CYrr/+uSoNj1Y0q/USpTIBBNF8jWGOaZUKk4h c3sw7lOE4098h+mPFdxWMtibSbDqCwfEG9GBVNlax4KY3Ub0wEkP5XZSJ74GWmnrTTp9vRC1atlh PYQt9hKm0gZy6aPM0x37h957N+VzEzeVEr32OVNpnNcYYMBgsAa/CTiF3TknijLhcA0Ze7v/q6sQ lI/wwt/ekEVJqjJcAIqwHhgeXFe8H5p6Wf3OdgiJ9tZ+lP8yHWDHDTWSeJ9gtjQzt474z9N1SJQ2 qTQfTYrFPm1nIZkpCVw6G2aXATg6FkGWn4wXoxIY5Jn8pKiULSoM1VZQdEPuNb467EGSYXNZS8ZL P1YohEtinOUENHl7Ww2YzVly1VCDM/Hfxqsv0AN8rx4SMgHiLlRGKOImBUFKHt3ZXb3L3RGcyVPa TBl+YNJOUm6w3ZCAXufyhGwzfbXPsszIhComzhjr8b7YAD0FBYmvZmhptonXtxhKQ8ptml+dr5Vv /BojJa1ok/zgHkQOltk84k/AaFcNvG2qZlNFOuC6wOnsAcRqlArYIxfluI6vweItGG1IjHQqhpm0 k+0xQstHHHQgTb94qRW8VzlZqLSR4PoSwCeUwD8ng0Ylb+JrjiVwkPPmpMRAgK2fLXHYW8QFopO7 C/89viicp0iiL6i+Gsv8fEZqwBXDaakV8kDBVSUEwPcWQY4tbOz5YpJu4SmMCzOLGOD05GFX+qzm BYfK6gn21daeOLm3a2yRSaIgi6+iFZTXrXB4jYaI9TrJYA4ewzEIfR9JeIWaUod7bsTGNoEBOvQJ xuQdkyLacdxGTb1g1f3Lc3a3RQiMGvcn+COXxKG9elzF+stJxeKf51tdEcf6D5rmAmNht09u1uxq u5qfxCOVdoeI7JFMkuqtnDLliFzWbWGGiO4jvdd5g2PdG6prSYXwGVxiAaNRUruNHzEfFV+AA6PQ 7/kIHwHdGiPGR+Idq1Dt3Hjy+T3KcnNODKxcsss89bIIU02MfGuCdd7OmB1po6xlRh4t25jjFV+a BJiZNsP7OgtyVeY4jkKnzWHHKCo514b4Musxr3nFhfVq11pq9xA6k2MJrBijlf32exlCYpEAuMWA Z09ADQsWaOa2DltgmAcV4gxQMVbF6WJ6+gLEAx7AfbiHLWKAJftGTVLm22teNh7ZTF6/a1jFwHbD XK2CWBI1Q3O+dVCibAbRzkAeOwfBwUSb0jbT+IXaYRla7ChCcS2hnaLSvfNMD0bnq+qQpBOZX3fA Pxo0deXCEI5SeJ3fWbz7GnfxymrBooS7DBNfoELYAkBpvB9smTnF9DDotK9m15ufwprhYkqWoBWv 30zXa+PNauyjOSvIb2kYxcS16zJdl7Nj1+EbO6sMHr2H06sF6pApAeUdeXagfr83N5w6exNryR56 cprcWfdTvHwcfLbKVpdJw/dBFZ1QOq04WKjrQkj/iTqOY0g51hQa21qKDt11aujMvwNYiEpi0giq s9dHNWk5tv6mww0pdIx6oQWg1W3VF0f4v4qt9clD2BhrNONV5AUaT9HiYc9r4F4OzuzRsS+UJlwO FA71V5XR9ZAJjG9ezrZZX14HJL8lFrccGO1uaSF6afrZv1U2cWBUOHOy14s/f1iODjXuFvOy/aqS wFh/Vf6so5BDcdSRZFHulsmlnwftCVmkIvod6wVJnM/mXOVC1r8XuJf/DWanYLK7incdFSbmREGS OkdV6jx23KZFayIQFh50RBNvkB0WG2t/gV7nBZOGTWqo1f+8toS393Bx0ZLhA66loMJOttkS0NSm nySwG7jn2fDgpRTp8PS8XBesCMq29HOLepQCSPWne/PIwMtV2E5j0ruwJD/fEWZMWr7YWUgwEKY/ SVOGmYWN9+tyQNtDKdEEt6zR07G2DLdJGiVsz856Z/+VoSk6zVR/PF+LDe/GwEgCkwiNF17gobs+ 52ymsRv/rPbhTs1EmPjKHhUBdAKbkCBw6rBf9nNvOSYleIl9LenQwZ5vs75mUaicNSiT5UofiznY NxhWX5+cf7aL4rRwzNQPCpXYJ9plEQPqfoJCV5QxSds+wezs7lwcrwwOOqoL+BX/NrehD0JQO1oO tMVXCNTWyQy+97Z12RagQM0MjP0JNeDaBmkPj2NYuEjVvZxUb8/U1SsCXNqUyHT9muGcUXoeAFAt rBRUQBeuCAA/2qucge4clX/0AxpF+eUPHHm6wIztSD2oCR0/TNA89EcsTyER3Sm4vcGi1eCMdpva vj0Oc7ryIb5yOLbTwjm7WFy5OKZaZyBoxqE4/9baHNYrW06HFUCNMZIcN1IsQAYvrS82B2lXQMNv sxVt0OHVfhwZhjyzRk17mSz2QhjyPpYjj2N1HZdH0omorfM1aUYJr1cMT4hr2PSSRXsLplkfb67/ phhp00mb+Nw5arqlS2gxd5Kc9NW9RsZ3R5ZRBrf0NfGKqoIpa2EX5kcqDonyuVwMOtFuCigfYGNS twNNpACjXTMMLFzzHxO0NfXMJDEEDuQSceyJ+n2qmRwI7PJZp84wy56JXl8+L7cIwimaA55S3QlP Snl3I7Sfrc8gp9ZgGVcLR/c8Sw5f56ybyASIujlNP7PFYmZetSgOR0U3j6a4K9eWacEKdCCLlay5 gAloY1kNOLolyGKPKUaQCBRR0BIbmnzG7ygYDBrlGOm9FIWw8DG9UtpOEQO72ct3S2lQW3mIxfWx 6qU9jzONjsO2EZ/WEKN6FqfV6lwPBAcnRqxvTGPkyw2miaY9DtrjxTomKAhSu1+afrg0GYwEbv+r oRyiIgmlHZfHglgUbwqVRFRorZ7EHYq6L+LMpIg6iLe97JkuqfASibrzdkpnqaVg+TmatVWWOkfm SzmHHLouUJZVLvckGjmIOaDTB9rzu1JpqMNjv7n4ADv70rFBNe0tAkwkvyVS4wbtYFi/M7q5Rogn YxLDyOoi8C99PpDl0aPCEDjcH1lIhMKyZlL31SSx5NbmsVnj6JyV9Pp37glAUe0aSmm4lcPCDUAb 5uPqd7SNv0ahoCUnP054UDuEGzhe6Q8aWh3dTDmX4HRJtUcnPR0RLTo1b+TSQF5izBw8q8Lw4Brr XQKPBg5BgpfrGHLNXZ1DSe0O4fEfxZFD9u96anLuzlofbfmmYfZpJDOZIvgtoR2Lt7vzxb9vtR+Z RWaF8ossAR0ruA2y1S4a66WOamnI2kUi/6j40RBaWDTVXrhBORw8NGcOdXSotlqFets3w7Druizn lUpPPCwyVF+89kvROUoCAryg9/bnP0Vsx5mxp6hYrICA4A/Sx/mcZjheP6p404+4/i+W5PzbxE/n /lh5OxGfXrFmPqJ07C5nXyolqIN7W30INl8EMXYfXWieNS7dzn6yVBI356YfccumpIr4AHscuKdG uxdRf8k0P5DXHoZ1trt/1/fykyGQW83/3/aRj7qLZU1rv0OMeJdnBUB8eyjtZk5oC0hLT+AFgymA yTH9XBG97uP6HOZAumOev/JD/gmEqMJ5p2tqknlyQWlhMAVVrtX2/W6m5qAUZDCtAFlh4QEC5vcO HOuKUsI6nStfXu/ueQb0kBxs62nN2I0nVp/aZUKeAgJrVILBo/VUBKFrM5EocRXKOod0a2VN9+qe KunAH9hE7WEkc70DjoWqbjdQY/oa3cM96BqgWS4hydFDbKDAYtf4b1cKHtQ/GdETqoAvd2N6V8zM KSbAmISrI5aKGFNZBVPxhSWiVOv+XQ6x1XdgPg9h4T+XIi1ZFbrivjRG7iWCFyPscugu6/urHxw2 MRrBGIu6edSuw4yaAa4k6EEJDGkZ95ePOtAT5COjL6ioxOsriweLUnB0AO8446FrssThsGWp4Ecb w4nPOSuMt2SZS/uPoylbsevErv4j3cCQsilFYzCgo+EfZ+TqUG3+fN3iaul6kzJDWyiVcOZy+xgH vdIfCwEmN0v2HbOQhHD1IP3eUHsnPpBYbcEhhxoQBkwvyqBjiGntaoGUUUUeGx2hc0GqFOD6asnQ OJmnBH5iq6A4PoWZ+j5Q0kDKD7Y7hhJzTSgm5taClLnr8AwNKx6Y/m7YlpHDQZGeQs+kChzSMWF/ f43fecNhYl+ihUNlTFpQz47LrtsKoQKqNTXwnBEwmBLgFLszhFqXH89DC6KrWJa6y/EdqiMRaxWa sdgQdRqq3tntaod2zE1W/8ZTp8Wl0T/J0LIf7OB9iaW9tIVRZ5LlLgoVZUnrMb3cpy735H2dF6s2 qQnPdCY+FRj0K0IBnRZRRfxN5d57MXKS6crQMHEImIOQoNgIOv5T+GeyuidRXEtOR8moeAHtvygY Zahckl7TyhDc7kXDKgbEjVRA2mMVwrtAyeMucfe5xQN1NYlEPFivLZa9ggaf51HmNwKljKHvTyf4 5BKihbVvTkKZopDaQVb8+NSPv2myXSqbr89C5f8abiLt4EfyfmsqcZwWzSl6MdO4ZC8Z5cJUr3ti jRHGJBo1i5FALo+dVU+wO7pWtV3941b9td56rKK1eP/zG4P+O1yorXVAU5C7bQUnaV+W1cT+JJa9 D5op/riZtmRx7+0h123vliMJWUiHYkrrOuvLuzlOt27Mw0AzUGXAL3CJlm0mesk2lUSids53y3kV b+ry/nx8Ak3jp9x6VnenOmDlCvJHLun+t/wKP/KKMQVll7PtuAa6jpYh0/rVWpY5714Jvxv0jG7Z XFzFrCMCuiz4HFEovow4evzfOnB18mg7wB+Q66NeB4k9WGmD8dn8FN8RS09SExEXypaYxtQSngHG CRumqCbZwrbYQJXnAyHPOGtUXumSIrPNFYmmeff4c7mUlaADUpx+ib/rU39RnaWNT4txvykWYIL2 9Fy+5fy8X7wS56C16fkFrqxilHpyhC5xllfGpQzwxk7fsEzK9F0B+sO9R5SiaiuQeOYWNE7VbnIF v7kSXBZW5E8719Q0D/GlxCnmqkDfaVDuGujX8NXPpY48w45FaogBLHnSSUN5tTb9J2+1Tfny56UQ Kspbf6mfrQC/191tJlQbO9BPMzYlNPIiqH9eUD2imM/0Z8eD+LBMGWrn4t9kIIpHHF2Jnv69GltE 4IY+K/6/kN8KuRYzNC+RD5xix7Pi67TKq7MkXspPU5oAYlsJjVg2Rv/5DpWtp6g2Yeg0f/lsqFxb M1nRM0qOIzKF8Quh01ZEhGnJDJLcnAi0Y5ZLDl3ZTBmZD6iH5rJ8U0t87urgPP6DchPRXcZOkdVc bPgolFNooPq4W1axPaho63/t8qeEqClXg1NeWWhgRqfg6Bi1swTG02Oz35R4MvB733sr6sHxCXS3 EJ8P8pUJzRK4lOzsTRTXVs89IALs+s2kW0OY3N49Pdzu2lu1ey1oYXBrhVd+uTgVN9LR2WNsKRvY C73RtOTacs5ggSJ4YNiIoAtQ54dQ2uQeGfCJdQ4LW0dTfzkqNg6ijg2QOLSj/bM4tw6DVHJiCaei BLyCNjmQVfgd8047jV3xegZqDH3dNcotFe6zohPc63IybL14ED4vlsUtT95FJn7C7tRXTkCGSCq5 WPPtMpiIhqNnpYFuCW29nUJTLQHKKvLWfaLlFTKM2CdcQWvq2YIut8VAMfbhSTo+yt8Wd9HDQ0HF UtDzXi0Afd2sZC0rYgmvGrhpWaZ0ytBeME5vd3bQQZm7K5Hl8SStTZ2zGi53qYh3AHqj6V6Oyy8A WJ29gDweMWuCByT6QW39SqE9OIUnDnLGWrF4oPLKAXiYTKd/kfLmz/FgDtgdzKzB+2yeVDzASo7k dRgD2OzCAXC4WIKCiC0FtAiKp5wZVG/ylOdUipIr4VINCNpwV57Me9J2cb3YFUdSLMrHEZg+KbLj 2wjoZNvKfvpwAmThoLKOhigyRI9HipA9rKM+yNea789IK2yRMFY1XZdo7h/0KXR+Z1hN6CI33yiz vuwHR+AhmzVuOWgO6tdbjZbY6vgZsfVoTxejDKb8FR/VYT/0RUQiYiZTWMZfFdpQbhhhNrce6GjW aCsEFGrsBEY05vb04T1vK7GYjvUWxRnL03yoNyGib2V+06zX9ZVfx4Of7qappcLNONSU94N6sH/l FpjhuDVojbtnzcNO0baulRtuZJNI0Oi3BLIHRXWlwn4gOqF9/xi64MhwbgEDC9UOoCmp1k9AMCLW TGkKg4cjH+4xJMJz2sWCQSTSVrtOxcjB0pxw6gE6LckWxJT//v/kAM9sQaxqKExebjwJY3eYSfcP cpBAVZTiHGrPRGxsC4jDONl4PNhxxG05+rzOWHhDm/rwv8cgNRv+RurFxPyj/HlXiA2MVXrrjJTR x61Vvcd3NAUBPLztzbkNdu6yaTVBLK5Odwkp7TUqyBvHgK3NE+e5ETzF+eO144jYrzOefbHonPJ6 7ZJvOtAxijvfSbZZrz+zOAm0A3a8dazyrFI0p/MCByMgYUNCZt0A7N6y9XmASIr6MAlOG6LrkC4v LkVuTJcm/5ynk2jPqJA5uSbOnQCYCpYGWN3s9cbZU9dhv+rSN5CsNT4pbHGmoVMAVN4Ow2O1X3Oy Lur+8HDR3H/o+3Tw98aWqyg7Ee+Sn7QWW+pH2LKTUuzbKbfr5L6TLTy6UjDabmIg/kVcKHungh3d Laaj6mtO9JlI0SvZKRa4PNkgOUkjw19FouyPmKYOjGuUNfrsKiVCgYika5sZ5F2nVPjtnvoPj/53 pjULVwEO8zQFOs6tQwT4Eza59uWZoYrjfWVn3APm7GWPevnjDESSm9tp4Gl4TXIMyn2OetotoDMm NIW8JufQKF4gVBMb93BuLpaUW/TYhk/vSwvA1tMRoHSV9GCntViXKthG06jWH6RpDvG4gmyI1ReD LV/BKIsrEyuWzFS7U/3UXHxefToiGuLhRC+hanEkaT9piqDEp5QoLlK38b4ZacUENG240Vo0WCrs basq+iEUriWXBmHVTNWtsj9tegykcZnQsVJ/GDk+pxGBV147B4YaPpDj5IoRl1VJ0AKemJYpUGXk tLGf3Lzj5bsFdnDhuuQEnAViEcAWJ7cePnJitG0zduYVV4Vtql5cVbm6FGUE9kfTphNCYk5i+OUj fpxicYnS52De3OlcRj4vB8NA91MJn2/b/CldorlDMGl82cpxtwH1KWXtCoz8r/yMxa9YFcWKiyU5 EGDP+bOmxic9wVdovNRo2EIXMw8/BnX8OyfzhP11BR5NQM08bDbxbIKsSd97IOWZ+MX1FZiP+T9N NOQd8Pg7pAsMmbA9vJTvKwCPUS3/p/0Ih4QOGIJebtneZFx0wREHLtH79Dwel7OFBmD3i81O+OK3 N7fo8GAx/CQHMQV3s4awpN4ruLbSrNjfltlwoYhr+qwY+CjIuZwhuA6nPaFqPbq6E9mknsmN9KZy qKjTR+JiRKur2pdeMCqEBAwJYOdvm9wWeauTvwusSXprPiS/y0J5q6nbb3g0n2TYviDgt5WkUWMx QfSBWvdvcmHC1tQRB7LRQYcpf1XfPFAurxlPQl1oFhaMmJaIsJG5YeXFGIsA26Lkd86xXQARRCr2 sSMzrwvQy0Bs6dwf8vJiNJ3Dg5XayqS7ClDnyt8cPdl2/fzL3aDM8R5mHYtmpqGVXLeOLSjSSSWg A53xuucTcOYUKRiM4+4GOC/KtOPshLn3JgjbtUoKADZKyBzEbp4rqcDAoQrvL33Ym55GyWthKdA1 zVAmS23Wr97/NWMBVw+sofPFpkr1tqo35Aoo6szD2Yamvd4ItKJyQjG+xVQDumv6WiiEtbyRwWxu EbAYsl4QZxmez0apkZ0FP6jQ9/aO1gtZCxfhQTbJ6erdFuW7Ydowc0rWKdtSrjpID0Bi358gFcJM K5R2+7PAINJpRcFlzHML/jDOHQuqayEBfNPu/fTeYu4Se8XOxaPJj0AMsW3awPMzGY/n8Lzk6XK+ +pksQODYNIUg+ieV762+srKGwxSs6HMooBTX5ajLTJv/V/AdtuXQWZdk7q2xk3Abik42aHEb38Hu KcyXpWOK0I1MOHtx1m7S45UXsvzXoe0+otRKjDXz1uE+WjtmJi7LZNJZ1xLEhxx1MZjgjCKXDGpe 7MJPnmeGC7QykkdthdLCL9yzo4YhaehrmZF9N/FOokil42l3lIiccGkH8WkY5tlM+fypuRj6tDj0 sUPZ5uIaK2BrfWPov4NbxGyvWFlMAum+TH4vYh0MKtJeJK0O2wrZP8u4AqW+UYHmf5nu9mbTLNNy eEigso3urt9H4eF73DjvvLso7nP/blgKDbLIdqd/IQKHE6ZTXvNO5TWEn4c/Nk1K2xkpunqzEpH2 vzCu9ecvFcFSzBwnm6nwh4D/UWQVHKauPU7c8TzC41/gDubZfkmYTPa+QCCfMeKK13SCSrkIeOxx Wc6E8T7+Z1D2UPhWHqFSiKgE2VikTTPjS8WTTiF6AVD/BRJlkHYvDAM8xggJPJFOQNdFcs2Thgma oiYMbdwE4itwSG5+QHe3r517FEwTywUqD1cPFcXi9ZeZ6JrVc3QsoEhYAnQJ/f7D0ARgOxgRPxJi csPaUDfFlVyiGfToMn04uLogmIMVPWLdekZWynJo/V9A7daUgjTd3m5d73TwsZyKvmmdRTJY40YG vRUafYu3bglq4J1SP7TeNwKWPvSX8sjQh0WlmBdzV/Ww/YQC4s8urD7tORuyWZocQAlrtrSmUT6U 8YaeV3zw3++QBcyXbLHcgx153r51mXvjF0CLY5Q8yTZ7yU5RFDoxlxqTQUPzxYRVomJJP7lUdYZx Kh+XU2u5mqSe43ZKJpePi61teNnN1qCayw0oj+sYTxtqbjhNan06sepaOU5qXNFotQsODZ80GZB3 KwtCfHfJGAwHAtISF+FzB7lebJ5lEJUx+CIVO0PJW8e3Xtxx6777F/WKAgouptP2iQn+FdcM4ILA r+xd+VXift1NOEVGSmtzwCGs1B8FVKk85hemOsF3fkVcE/Npdu+0P0u7V/dG6g6/I2EnAvaZwN/z X2DWSgbdwzR3jfpPxc5NaKha6rgScBS0FQhRr1MSUmLhsn+ELvMb1gY6B4a57TGjewMG9VmGXnWa +D5eSdzWWGnKF+5PivbmHFPn2SRFOhTr6CQvkNnmOIAe7VwtU4qUbrGCdkRsjNANixwk5O3fCoVy LcSp2rfbABNLlOUko37uc3XJkzQBIwl8rgZQ+onj6b1G+I3qayqHSw8flC6GjIMaWwoJrpRoUhGL zb2G9n6iSANYL3Cqvn4mE//oZkW6Tq4kEWIx7GSL7d2gnLZDxIoqh+ANJY0wSGGxILbW7WwfHsWX I7hF8JSYdE5jn5G+H7Y7sg5Isd32WvGVXogGMi7GfWbPNy1kxEZtCytBD3jtbwXFrx+j+eeMi34n U56ocXovcEtiCkIpWTJDRR+V4Ec6QL2al/BAxqgYBwa1Y6W5vXp0cTPXX45luf+qwItsHrfEs+k+ QCU87CTB3nU3q9WcfH4fBYjhhfaHkrtUuyQjL1/aQV28guzFIdexmFGT2WX3DrBr3UnuGsL7mpH1 Kk7p2sybS+sE2PCKO8gsf4lDqCMfxJtajGriicIAR0Wva/ek9ykMavYQeXmh/GilgEBIkBohEPSZ CL08Ewa15a+kkvPOjF0Lf0D2JVrBKSDuAilLQHPVMiolAFBLav/PVPCSv2fL5W5q1nEyXKlD3w1J /fL9qPPVy5KCbx1EcUW6TT+W/HtSi4QhXNEaVcBaX7oA29B8aeykd5JoXWdzS/m+atNYYWCtF8me 32aGqjdZDs8m0tZI4OBuYGkMV8Ghghn57LhzLITS/CnJVBh33ycCo9rnHe5K0Ku3PkmgiMv+3cbb /wZWVphSkZQ0JafmBpRIdRt2dBlhwEnO2diMN9j49jUMvsA8+VTohgfvIqWDBSdelvVSYL/ufjTJ 2QPjypenDY+5XeDZhIHXZHlcDlD1Y4nsgrYkbsL0f2fm84Xi+0qycZE3wAgqbuhdPEaYDrYZxp6X id+uO+tgRr1lmNfXgzN3qd1Az602wRKRHztgF/xekU7AFqQEvJbjs9JIZ/OrVGfF5jwFT3d/dmg/ la4cWG2zGwIHTa3DREGwo7jvrIOalTsM+sTZOxIdUnSHLY2/XL7FYaMH8cJDyO9NU109xm3g66/G Lexx9NqSIg3mjAWaVPAd0VoONORrUhFgjZjygm1f8qgpv5ldHQi6x8WSRUlW/yuFNQVxd5iqzQHY KbzBPHEmnLt1E0J3fy7DArZyAbzc4OpeKOH+eVpDHtSu3dFfngn/ZZSu7vBkApx0Usa33kxrRN/P hWcYU4KEoQYXOY2txhUXXvm3pzUQTvx5zgExt4eO1Q15p3fvLVTnNXQaOqAiPjpmRBksQBtvM6jY 14mhJFNW4gXn9skVDxN0q2zYfkd7wYMutopPvLjwx4FnvABY/y922G26VAoMltb5CE2r++pg99PM pwTu+xImXn4P3+rfVIWhF4QkPqYheEHOCgxsHDjMsSMuc0irk6eGauhKm2Un/Wtk4tvMse7zqrfl aYxjVkCs9kSbdDyI73pZtia5YMbKR2tawazA6aw+q4fyTWCeys2GCbajEVGWzSYVHNJI472R4U1s xJXddi5B7ssURyhpRZmJlE3yAVMUSw+ic7p9ZMeaWp14t9REl+QorBhA8ysN62K+qE05IeSo0Py6 4vHT5NZIMKmCtvrIJgtq/eF//VSnpRdbhox3vDKnvVZ/TVy7HiL0qZYc4wCtDb/JK5Llrcs902rW BaAEbe7XbmxkGrA0j8znK0S2gPC4V4lBiU/HHXqaIWyBFkd6f/tz5QcL6SExmMUyEeYH6HTKlbkR XD0VRBytTWlJrvsuCjKwX6FGjJr0wrG5fOrAqjQ1A8oUogvXNAZoct/4z6h/JccU1xd14NWuw5Y7 UebAB+6zeG8AXzcvKEqFC2t/LZfwbfPp2I1lM7YejuHYk8SWgaWxz4Fb2svO2FnJx1hNghVja2ae WK2WIze0FzUSJdg4BaGzihh0ouTDObB4bAiNRKir7eIbLijoYItoi5WMKh0L8ZLtg0BLEG+fFXEP XqG2CPO09YVEm2s5aGt4IXoTSdMrfxYCIAH4h2Z3I67LqgBfYjV+4LNL8Us6Dz1Vg0qBIPkKISKK s3/Ll3A78pDgPtWYr86lisNdA+AiKDFuvf1o32Rl9HEThwKJzrkzKzE40O/XIBgRQXSXORbzJrHF k8xR18FaEyertTm/YhFLFVsXjP/JVNHZ+rzklTUiHu7WVyL1HQMsqi8G2bKgkmy9+SEpr/i1cVmf uP5PH/GanAg7gLF4AvBMYbA1+hWJ5fH2OYy5K0FYJ1YGSfT6aTyAApoKHFpCyOE4uQjSlPvRDBuX LRALkO7RSjZXvHxBMgmMs2JJSYP7bmVS2q5YhLjvQSSlzX2Bo4f5yrPYxd9eGubGSKGJWu8G64+6 GwiCxYp6ydKvl0hsUkB2wMuEvzsbDYVyiTZq0/cI45d2pWx3+O4a/r3Rjp7fFf9eYEd4IA0EuJx3 xoC+PmgF6m+bNIdsqw4wTyhIBdifOF3fdchuGhB5jyvvooydgdpp9QQB7RFyx5tCfR5xT3PvdffS 5BrMPPt1GPFigDcTQsm6YPY1Deg8DmKOmef6sIKfFuTxEWFW5BrYZLQKu8vvzjGZ7akRz4Ubz1CA KCI8dHgHAWfsYo+qPfgWf2CIj+cSwJ80e77L1D1wZrzgCqGqS2rtoutCjQ0DAhjDVXlsEPaMm06E Xmg0g/OGJRtlUHbgGbPAuar8TpZVpwgbXgnKc9syFtpDtpl5NEB50I1nzPKsbkBxkKxhyM5qvSVZ xSDBt7Zmw5aEPhbHDWyaCa5kbaMSKZ8P2RbWjjSdu459B/Y2HOphFnk1EQNEjw/E4S3AV64tgoMS c3wWHhGyXEzEJyV3BJrP1dgISWT8RiYT21v/0oJBjI9xEZgOPvdMYldOoJhduN0M6t3VpHXa43e/ AhhJNe+wtaoE+Iu88DBnCeUKrPdzsKP7prGlK8dJNAkUOfsDGOjEwYL7YsQmR8WaIqUSUVVqmRRD cG0G9gns9cMzF7c42p+4OdADB4LZ8IM6NeFqxgvzZafbTe8d5igyTa4gvOICZJHchzprmesJwt9I L/s8HFagJ5jknzUxQFDFP1LXkPT73RhL3Fg9H49yrBFHP03QmAs3nJU2jk1pckGEovRrESoyq143 Bvx44F3VPWYSSBwSX3rFDDseQXnL1+iuYU8tD93wYbu5kL/4I6+2SfzWdWvx0faZQaAZrWeFRdzk qJNTryKRdgJfyJaxUDoRsCs9kXOUSu+adKP9HzWwoI8xpSpj6p+TV6rF3V8UIwbWHNzu7BFrZO/c qO+GtF6e1186fko69OG6GjFPzPm5mWKa23owIJWGPe4pr5Tgf8NxCHr52yGRNcksNhRa/zyFb2LQ M4BW2f3AQKuQZSLeqBwIBCBI3T6kg0axqfJFzNI0Kjj1sMfrc3jRmahFHp8mKc6FgjdUlW5eTHHt gJ0XLZtzjZ0uQZtuN9M7VIVGT6fKhludJEbnA4d6K97e2wY7f+xdWjLLHsktgbngbMT+FCAnv9wy 5v+nKvaAP/j+RVSJWSKfC1bCshSJ7b/K3N1pnxMpLHLSHJOw9eNRn34WOvEFBm+CqLbqN/YJ6o+h KQDRNpCRDnOfDLLZ87T/08Yd2z9I+j4OKmRi+6xSZolvny2m/jBu/ytWirIdsla72aejiZweijOf KlvZ7+8WcVZkAAaQxWgkCyO5zCeq3/arqtlJ0heGobhRBkt4WyVipvdE9bUY2tPrZseqJZNI0kO8 aKBBujKeKIHrRDO/xOvvrVaCHA0/gAtRizdWRwOXrEb3r/rAnNxj7DvnDh78CkSQ16QJLuroqeHf 4DTHI4CjWg4nO3HpBjKgd2k8/dpQGo8Hx9+XHX57aoOwMwYOw9L7lx/xyZTAaelooig1948d9Ge3 UkRwZnCN02D4CpANldUrLTTGkogCXOG/kSpbaiQ6BFNCnPOAGODYf9wys23n0eHFSuRYn5+zBrr2 zmn8Z8T1hI9reFrsi9jSZiJGOQG46QG/LMatsAl3RlHr2pMzkwdEvFniRfFgjkZ9yG6TMXLb29L/ SuoRyaZHzycfg5V5XqRsaYQXbrdCifpMdsn6PBYfeS0Avl2/I3wVUuWJHz34FlItcwJtEfPhB5Iu 5p3M85OePep+DdjrVNZ4NDIBXL5P6OiaFKQ5n/1DzFwZGjrGRJZIFI/ne1NobrnG5ucCtP8HjdTx 2BMnKmjuzhk8V0aTqHu1hygfDD8NNjeFhgPHXSQ5KWwZ9xcYMcW6E+ExkJ5BFPk7qNn3XyvbGAh+ KHp0DiPRivNyD6ROpJXTSoA9ZBGhe/93yJiIOXmaFQ3Z6HWKrgqkXcncEyGLVm/nTM4CHYUIoRyK +trScNMKkLsWMJ0S/klCtf9Qa0LU85AtyQSIbFthpMiSnNWvJzWFbymumXPTIwWB4qyldj7VZjFp SD9aB1t98fGcioZwO/hm6X8OXh9xtmLZbeQGQXzhhNVnEV8//CLnD6x1F7kYZ/akR5Xuau7TCmdt 02tXQlO8npusie7Yctb8J24GVBGmF6v43uov4Y/AOfbR3cH9VGb6B8sNs99ZBf9XcpYw2SQ71Fg+ AnipKLGQPVd5jII66RX/+mMn4r2HdGpT2XzTGXN1RR1XGUFO98UA76+WJJpymoCkLNjOXsMdobq4 CtVCrG9u5ru/514Yo/crPZn3gbdIM6v89YyuDc2kBqB03M+4Y7DiCz+dr19qOj5ZpZAHasboqUXX Xg+SHeJYvQJjq8AjL/YFJrK2LFheRQLSbUZZF2X6qlnjHHm0dEJ5Zg5ItwxYFwAVDr62EdE2KOpb y24dhSsFBkGREmIdSvIyrxK9XnnECVLQfmk0x7lofiWGAJJhhkZxdL/4SdfuUfM0XePyES1I1MPe wtrpPkJq3i0cDnvi+8CAybX1t7vk7mfjP8VPdiWP15loTB3OYsS/1bdWU7XcsD0xmsrN39/kyh2v FtKYdAKagkc+R/HbZJI1tqjdHwzUmtwHFewSARsgkOiBRYAWDkAmhNB4uoL6r+RDd2Scn5Ze84PK 5LOsu1d1fEnruD7/KbhuMVCtOFlsSKULiw5lCLd/7QjnPrmwgiYOPSpV9pZcVLzoi3y/iZfZ8JRn 9aSJ2w== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XVsO2yqxkwukTlllOn9r2YFvQqzUiy48/orH8u9vgz/yp3gdcH6Z7QIsny8tnVuyYVfDN3xHNQSP ZBqRlAk7vg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G3dc59jE/g9mtfsBmUJpeoHtG1oiTk9X6hpCWoLcEtHbXzrFkSJfY9lU8w/n755hq4O+nNWzJVUX wVVmSyumBoVkin18a2gUGyvkbIWqkbQAv7keCDUoK7RqViKd6uwwRmzPi2nx4VgeBRAP/9p5KEPR UiUCkOdZfbEEfIYS+mA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ro/UU7PnLADjtyzOlOP8waMmJmPHAmHmK8nV48iaUFVhFKy/Mokvr6jh6kGGtW+gwJcEM6ccLpOk d2J1kC0ztrTyjOFGofFmmNxUCYjPV9pcRoQ/T0lIBx9qTfucb2+CyFoM1/MOIiEhFL1agd8ErkFn 2+LsRKJ/Gl9oTR4NPYE3tgdpGGT+0p5xq3NTLpGVKgRPE/18h2ukAOn1aZJkLkC/G9HrckxJpbKd cb0vZSi7Rv06SGket2yzR36jvCqSgqKnFlQW+CX90JRbfvvBBxIFMkwsREkTrqDGtniglu6Yl/rP C0kVerVtuwZ+jMNo5C/od2Fxz+7kuAh9VzCzqw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EhKBjNXu3mek6PkrS0g2ZPilo1H/mH+sxvgEHwDRWXx55bXqprU060n7i7BgQZI63fnQEoCRaPms m1lFTUKLHnVsRkyxWZb3ANMwy20GXgzMgYOU1lwGXbpd7Lp4gefysm2dIgvieN65a5pUr2oYkPh1 NC19S4yrp2y7UU49p+E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CV6BN7YiqT0kUWjsnLp3WqBSNY3PRk14hT7gW9wLTKoxQ2NTgRKLSUMWb3+bRsvr3DiJTufrl84N MycLseYka148znnMSuxvijEXz3Vo6mpgjjlMSj2W1z9jjZephpN/1kSU8TBwbmGNqWO/SQY+Aqxd 78h1cC4hg8cl0gkKZDhCDXHT4kOSXjCclHbDwB3ImJBI7Fn7cbFFixga34FB4xsMfUvXK5UQiSpg +K5jJ3uCehTX3T2OqhhHu7pPCr8evPBopemQw5mMgd6k3OuiW81JSLrkYPsU/5hG2rCsNlzfLjki mgqrocUmzSc4yiD8m0uDbTRyMmpijhJ4G++DtQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33520) `protect data_block Pli5VRS/06nlgbalYaY+4fBIHlSNoKxk0//1Znxtgs7VOsONCFRhmLf49YvTbgOwBBzK0jWVn1sM nYVk86FsO3XbxTI89RVuvH3kHwSHdJ1peVcaRkEJnjVnq8BIEHmFKK/4DXm9IF/AtL8cLa7Ppft4 apcvCu0XI439o4xsyUUj0xVPE7CaOSf/ZHGqkeG6n1cq1FdR49F3FyqhTzgDHgQbYJA1fzDSrcBW LQpbQIkYC6NWlSLHJQz/G4Snp6hGASIbUY/GexdasLukwlxOyYXZCazx6c8l7PYXuy5M+PvV1FEZ hNvBbUpm1qa+2jV/TjoqWlwkUu4xSxLPtXZInIFU4iw/g3EDRqDk3jYmdq0Srx7sK6uyUOinnZRb WOn84OFiyCfqzXn1HTIBp/DI8VjVuj3pnHOk6uEo8Da9I7Hq8WtghoBhxnymOAFy6zq62sti6Pbs nsFX2iOjs9RaJBGrimGEoKDoDKg8gP6LhwQYpwSWFRrIRycTp77gqRlLaTnWzczTGYvpS6caBuYZ zyC3M6sxgTHktrSr0Ab6iPw04BarZcH89NCjRViAjfNpIna5cZijXDCPmsOYHJqnwnaY0qQg4T6B I8q6kogVBkuh+ze1BA7gN3dqV4p2sO1kuBtK6Iymg25rOQpzGKuMdnVdXCNg+xm1/EEhPwO5OnDy cSkV4q753H1X+WBq7b5rTLI82ffoFqRJvsJqS4NmER0D43Nvrw7LDFQZrrlkaRnhuhNYI05HLCWB 20BoAckqMov9cUDXjUGwoD6/LwCF/NIBrU2GBb5e5ILwoTTYykaSXAC6OCOr/CGFxivbLNDkVtEv 71fmqstI2ja9qZzIp+6JSOU+RzEIt56nSp8w1rifPEK0oengae3Uql/Ut5c04CTJu61w6jWUMwaS ssPSKZ5LdmTNToUNbMy+Q93rIDR50Vs4J24MGJoA7N2sSjyJi1fJV9CWia9xLiiKN9ofEft7jaky XKwu9+NLCdPskgPJ7QImCW53LaSpOia0UpmSUo+0l4oO5epOU4BtJsI/mGVCRoExCtviuureNAIe r14lw/8rX48Q5JWNfpFOSJMmnFT2k6jpScdCB4ZtlH8lkpi5XaJVt3soTE1lD+Sga8I0TUnQ5m7E no4Cs4bT4XIeQsWRyRY7XNm/hfcySS9qFNR/18VWi3nodqDSgAIyWD+IS/xKCz5nc0wbRJE79qxq 3p1xDbYG4/8mUwvKQYv4usTLv7zZ+OFaiWEuacefjSmORJ9UeYTZ1X6E5n7/ZLyeZHleiDdDDBsg U98s4N5JIkJuRgTjFljveAeZL+IIc6WJVVai0d6aKHleTBSEbyGrc1FWcBg8vuhs6ATE5wLzJNlB NuP3uIMuuVXQJBChqhtqoeAdwOec1xytKr7OWOSj83y0KpPKgehIUUEbsk42u9aOoTbG8GofU9zI MdD01KURYAl2txussh18pkxHwwOaX8z0YZp7fw9DpSkG/aEsJea8N4vg8LkfUd9mELtiPXxazrNb PzeuSycNGe8Scf+uKdQI8g6lqMgBPrkJAvp0u38UySmdYUpE4Uh5LXeG/GproWWcSR5e2eockLgJ DurftwM8lK4ItvNAFAlOfInyNWUcX6tr5ILnPHuYuqL9TAyH9LLZBW/+iYLke60erIgaQsGYXRPo evM2Nlo7iOuNIAdNQAyxImMz6dgWD540BJclDC+KCVRadAys6d8XBlfkQdXAb3xHFrnCTYA3gPGC EUsD0JtjZafcjYHZVeCjPZIED6UiEz4+YZ/RJ1qLPAIvic47B3mozp1S/qpx3QKxKFRQpUr7hO+A BmFXVbJkWRzsLBXiqK4PtNthXlWiR4o6Z59Tey5uOAkvu/uteRP9vhJcpwQR2CsuaWJLI89oawRp IJamF099BI+LSVtnMm1Z4GlWIE2Waj7MKQvIwZ//y1E6CczoaSemIMAGwX1TDY1GcfnHFBdCU2HD iHOCxoJl5M205OxORzNIlqlFZt/qfQDfn0skfutPCbb3h5ILdz0brzYprVHf3I2QY5GAVNDr0Lcg tq+Btnm6eudkcHfi2azzATkfGgBnA5QwNly88mt810oQP+daUgYTw9+trdXOpGXPKUdbBp7b/fjH w+VN7/dUYKKRk6vzvmk3YukCBNGp+Z0crKXQKqrVHKxKJ5jJ9SPJ4Lr/VCx0cS5nE1lMZ0Cdt/bo FtZknQXsw3rLYq9+UlsugYOz7VsFlLgnuJKxCrR5R+zVARmi5fjU5kcxb7MNIYQy2lhYehXu8E3D MSlau1ryonKyPyCdBW7I5QbJvCJPPO7qgWVlqhD1G/BEy3foIJfVocrtcL2+gOVfahLBbR2pxE0/ djVhF32tpXu0R0kfBWkrrHWYHYIkBz18C1qEiMZWTTpDMWapOH926KZDlk0G4YnutBxGe7PqyvlR cjIywvrasuw9cqWzKpREVrQ6TOmOSTyXaLjrfzD6RpUGlaNDNAS+5mKPIqmQYDFBDWInq0Wr4LaD RcvGrKkNpPhksPkQqyVi9+Yri2RmK2ElkDeO+vAN/D3+vRuw7pWkpiz7vRbOyfSE6I4SOA2Ya6Gw 5w3v/TmwF4o0FRm/2HHiqmH5kp6y55Ik2yVEkUSLZG6gEL0cMLRlqjJJirdUz/FGrzP90scFqTti Wr+HHqRCSJfASANWnA2D7uNi2oUxPqNDgwoCSFSCYUkwaBM2F67M96IJBZaDv1y+2WWfArxvroKu rx9+7hgylGSnixG4tGo6C8c4IfJBjmwrLtihflhKMpldGZsELGezfvEGFGk9jKcwOOddCj+oWgnp mUKQ6YiKuX73A5n7W5WrbyfaWJuyDiqvgRoYOR4yYlLL+epJ/aUqZ9AO8b6jNZgQCClMtawvLneH SJMPG7PgO9O6s7KZZehthIO4ajd+Whf1aVAW8tmddg06ONJh5ddlBCW5E7MZA/ZZ1X5C0Lkx4g7u AlX49SSxwNp6+/0p9rHUf7nbDFg0DCoxX+BBkKGwD+6tQ7LxcmZ8zV7LCfeS2QfyHJfTJLgtuABx mjZJ27CgCvGswxMpjphA9qwv2IiMBs1T/gyHXQ9cKEEVQmDfvSi//vutnEzld6egKyobcTYxTM4r bIXn+2FSg9cjqwLMfmVDoYA2CD2OPcpqkNeLog3vgpVAyeu34k8T8CbJ/PRuxQ/Nwz2v1xFVt4g1 WIb0xbllphX+8LtSIlRysGm+5OaozOw0GruNwdhtsVJqsS/oERkPGcjX0Sa8ayqWzdGS3mCqPzU7 iatXpY+Bf5INPCU0NH9/Ja/jNTDxhR51nNHh1a6C24j3KvIenViiLDkj0zNJe/dmN/HZcf7zciQW rze7jVv/EJam5gwIrJAXO4Dv8FxOytwOVRvDbqVFRjUXPDwTXOmnEyQtRJhjIQZpoXK8SEZeAKDN 5l/jKnFrppNtwOlBmQ58Iv0vKy/s9OpvDWh//0RvVQACpyMzCJ+CJnavsxHk0XHQowq9RXKzs8sD 3hOOdULmRDZiD1ZK0NzEhKWp3KIM4/dXyja4sBRqKX33LCIGZ1Dhs0wWCd0ZmmNd+4bKp+eSlgtE 6GNj8ht9tDbShh+JGd9O8mvsZLtjI5tx8PKk/jTx23rvRvgc7Z2HLum3LpwlHLWVwo0KAoCvlMRf wOw3RBStw0v6J8tXmuVTwP+Wz6Du7YGxFeP4/LMhDvIC2cQZcDd1FM83ZRVjLpJKmtXN18rQTg4j hVjEwYnsj0uNRiEn6rTZmjMyAgCWE6lOXsJdgp0zv5EuXm1skChJYCe4XJ3f0k4mVA0OKwEMqxvG EHbzN8+IuoPdq8rMkNlYzdG9ax14Yio889pg4apSpOsGn1Hgxw5Egy1reVNPT7dBNDTYeYoOzpEz Z0UenXWLpvOd1ZBK8Y+7eXLQCgCPSqaOydTPlvC2J+TVUNcIlClP5sXVsodvXX41kwvUMU8duBC/ BpUIHrINnD11wju6fYU65gmqhAckkeA+pfKFk2G+iMR6h0YdBmFi8HYQ7K9woON8G7zapfez3d5A xuns/WsdOkxlPzwgHWi2TyR7lwoveLXKfG3XlsZSYYxPo99VK1mIHih4sTA2oNRTBXDpjwhz4R4s oeGYzK1eNNrhY+oT1ecPNyuVG1aHl3xqQ7W+QtNbE3xFvJVtm1JCjH8Oj0LQO3sIk64JhjoB9jav BvSHM5A5Co/GnfnX31yMFxiPHfer96EoNgVcaW0fbUzsnYfwLvfJ6Osn3XoHwOatTDHJcDETHPQu sVOKUmyOBGrhhlJCk5Y0kURlCB8VWk9ls/xOKvbUIqXBKdO9dN8Q4EvUwMd1kepxcUKDXspIvurt AKVSN54CbteddhHKf8gAgStQZNvJ/rnrbRQw2Yei1IALdMATRBIEwReLjUoMxEdXIH9SBKIweP+F rWXovZoLi+MuQoAs0zVWyq4V3hxYi6a/cJ2GPLqWknaDpaAtQxSIweUKBms31qPcxS5rWDrgoIPb 3cOMvtTDusYDB9OCC7USCv1JItIowqO+iZ6zlHnfKa6oozTbxlOrChpEcPPllxN2vLzN6I83C/VM VAq1a4yJZdMuQpr6lc6FGMg2DdslWZ0NdMxTXlBnDcm92RbhpwwFdtj6q8TkbV7h1y3xROrVLg/L Qqkzn0mAMuiTbOT8fBwf3xVejRgkHdJzzz/Mj2eJWsDPSepXxAd5cc2ThMDPR88Cw03Kozkef5l6 RpLY24yTA2eUW/IHzRs38vnN0me7ILBq7JB+r538rBmlm3S+q82/jVmI0NFDBfKHEDQMLcwd+kni 3BhTqJ51qZia0YNRIh4Ai/Bxs5UfQyta+9M8xBxj5NlBwoNXbNdF9dZUAcysRjTGQIlymg+7kbOZ 9jQPkAr9W0Rjr8O39Ot244UH2SDgJ+Sm2M0Kac/d50k/cvdgDN2gT+rUex9doeIvQxSfhqz+obTo xeCepoFU0W2l3iitd9Y+ffwTMxGXo6zl199gf8oEKe7UdGhDJJQvs0dvybxZPNd/GSd+giRi/tqQ Fbn+CyqG7WqBRcV63LYEvGcdSBoCBEkCrzZXgAyyECsoa3ICNLJNdWHyoaFWOz2l/KDUMBUD5f0A erBYO0kbsl1kTVr0ayHv7LHXbjkkH3cD/O36wiS6knTWL4nI3rVt8rGN1fZbJu1Ue23KhL5FP1hi JDcWwPYPehl+P4dH5783fzFYKgk1up8DxjcXrqbSWAu4wQGjFqOD6WiV/QjaYG0JHwI06drM0Tz4 ea/FqYS85BhuTXnc3HQasredyl1x9G/jAK0VnmNacnt1gJIIRI/M1twoFtSr/mB7bm/8YquCttVY wM3U9SkdLBuk4Xzfwdtf+aRz5nqFizJxXHparicR/4Rr3tHhYOFT2HNmoeWxv+n4KsjgeaPTTrlW fsGwlCfNoIGmfhau/JPtbgSdd9e72QOzmFLowVv+o5yoVzoKUWvWwJOYzTEHepl8nEQgOyH3L4H+ bFAuoZFbRYZRzUQFjC9jmwJzdY3Bzagl2nP/kLZO+0WQIeTowzom+wh+h4QbWsVC2J6ELIO/i5vV g1SB2sGiHcjxczgT7KDNfSzOMMbsfhC8t/tmJCQgwSQ6FOFQZI62k0xYVI0wbKXU8SuBza3s4qMX r2YHpa8Bp6jetwI69R+z6fuuqaBK8Sxe9smYhJcF96rGFGNz0S7gOKn6q8hdgzm0PFh40UiNAAcx 7E3BLfaLWfUqZKrkNTnvGWIQtiF6SCCpk6xr0tCiAgUYe4ScomymrdpH07lyNiZ5K38nJNAG5eZq nE9ZR1u7YgOadJuFTg5ejO42zDF0DuCxFIrtZuzZwue3bLgDJPtU11cBJhDKeruxR+wZ7ape6rb7 eX1A0Do7QHT67Ce4RuNcp8z/FflM5BzivsHQUjKUfQxFsiRsYB45BoiKPFUp6KU0UWdp9vtPEzkA Ky12rhPxKKZV9m6KxPUIxEKoOm3BVQwT+nBoWO0lvLLyvKddyo/pUQUxkt+E6xZwGvAXgffBIr38 99P7ZDvDjiY/y0i4AN/FboSWKF84Nkvvf3LZV6VhQidPXQcebXZArU/Di/JJM/R4er8yj7Iu7z6O h6iUvJnEkSKKPmCnlPmOtQ5StOHJka29qY/fO7xThSLkJHpztArxT+KP++vPyEWX5c8F2+CS9EcL v59wsfeiifTH+zQbl1IO/eqFcpxy/fy3HKpxTFi6o9j/LP1szgi496CNNbnnZFn7iO3p57HIFMrE 82tJt8Dcq+KxnApgWCCp3po1NM2rNxqjDMNOnSM+9QbQZUlxQjSm9QhFFufCOROdipRGG23SABJZ kHJAybCmjIP2IPXpvnSWV6juAMqAzcXX5XOMpiqLu2mgFpvvLUoLMC7wRz7OR4rXMnC/Cyvsyomt r+Prpf1SDch4cUcB8F0ovbFfpafU4GD9xtev8yQ9zKQIfvTGIGw+XUO8vGnncNa/cAr0HfNjyAca Tmaz3jNa6CrTzuUGYBnERuHg+fKmEBETIWDVwS0QRcZqta+lSIHQiaOylemzV9yoyxLu4HgMvtnR HRDqzMosovqDDNUuR5swmlUZsT+HvJ8vP+CpDukrDt1jYKLbG3Ks5Lz0xLyRJirNoBeAfxIMQYhc E7BwfyGlgCyn6V8VzNvLaYN3CkyQ3bAF+AHhjl94FuxBUVvO54Z0HLwgJZtgkmjWMCDZIv50X4hd e4YZ90p+gX4K/vYPMeuaVg/GL5aChUviORMuPmVzaNZrfsVPIhi1lH2o9qx42LRVAX71gLPwnk6b nspk9Hu2B2DRpynQ2ATFsS0JLN9RdicDeyf87zbwOefQoQ40z/HMk3OkkR3RF31vx7wjLNZXxkQD n0Km+fSPXRR5OruLY28vQTSnM3Ve3qiPiWpksiRvNI+Qo3PD8f5dNO8Pvb76N/oZHSePG2zaT+pV V/GK4ICEm/aO9b7UyzEPwgIRm3MJfzvJ/IfV6bqr2pWGyEGNEyiGWcXWqwNF1PfL3fwZQgMH2GiN oh2GxiEwk5/XYh0y7589XJEKXfVZ9zFDkk7S78jrxd12bjWetyxXcEc+lDiUi4STKQ0F5aojGfl4 P3jzIv+XVzEbOOmTXODKVznrfzdjeOKKkEHWdElykwJI+YqQEE5jZp9jVKLb0VQN27W0xNbpdmWO hoq4u9Y122DlLZr+TTsH/2PNm+GvUpAy9EFXybvghApXS2Fz7Ey/ET2qEUhX1ebK2w7oz+g88WWF 2pqE8T/4qaxmomTVOHzEWBBKi6W91ZHbbTzq5UcbGl1x/NqqgdPaHZOEW0eAwweXZ0QqNvFlhFWQ i1AxcviIeBHWXoaYEH8WmGfAUirqOUpBqdUdFSNyw2xZ89ivXATEMROLw6eg4bKbIOJaQmrTN0oc ZAE+6cjHJvvg1iham59WIsBuEYcmhf07+ixfdgLNPY4X89sMP8lVvIFgvDKozgVBqS3wXGcWIibL KVfH1KynF4M8L3gnGgp6m1gjqPP8TI5lISoWiS0VskTJ0peXj1sJbyLwBs/sq9jT91DcOQOLagC4 Sg+MvSEWLP16wbaANhOaVzgYO7LDxt0eXxz7cDu6sx4ZMUaB2LmI0Z6XSETpFphLjraq+havTYmw KZKNViIk9QuRrVKbmBr6ZQrsjlARR9FuBRX3YG5p9UBST2J0sX4Yds7I3GL9y1EeFP/yeze4Dl3l V+3RQ8rkmIFCLOy/b5kfV7AX67cXe9TZ/kyxLl+w+CrLSbc2rXjAdE5lE3zBv6baJD5B4y0upxTG mC9xKaSajaiUB5R6FHj8BL15DffFF+hqGl1iJxvNFk67vuYP7GYO/zGE6ZtzdRWbmTyLP/LX3heJ U4+trvJ/PvdDWooIiXVY8fl2itoewED9d+R1wM1J+E9RC6z1l/V5FOSXfJ8WtSiw7TAa3VQHrXUd 3eV1oXSnUo6WvRdED6JYwMIknizG/5aS/W+KUo7fjxOW0SOPAvdTewzmZ2JYu7ltIlTDyloQE0Rd 5pMdjW/2SyvT3WTjk+HK7UUSLpl3r+Q1kNpZCGKkQ1F7qgwgoUfju8a0qf2tl+KA+FpRpqefbPHE XWbifiaYiJdOEKbLxhEjQIStnuX9fklKKyR/fUBXyoq73NlS/ZRmaTfitLowXz9pmj4Z2nQ42W16 raZ2b5usyEUbqrKJPVIrG2/EgyuDKRXE7dsdwlKZGsN0mokWF+IT7DSziz1BX+btRn2fhhUzt1js oyLSCy2qQFHXLpBH85GHpevfxaKXQIjxp1mJXfJsHz9L/ltUJL8cTibiQ9ZNrCHJa73pUHe8AwKl ac8cZf308u2KcBnEzVI+MxeIaIwtkwbNdGQ+klHFgU5oPWWjnhrljPh539PMIiXnUeR5vra+AC8Q tWmxInM02HR4wW2yyqOM9Q98o1X+ViYhCh0jCPFunN9FT6z3nHJKM7pxA+oTJj3LMfe2EmRqE4xX tc6/LwmrMrYrfLVQyV1MvZum7AeiK76FdIlnxZMp4+fams+P1tofVMtAlWStxLsXhktfnugW2MKt 74PZCGlmLuRF5uhS24jWXk1TzaOEVXx1ZCiWuKWzsmBQNLnA2NKXBi2Mz0NjXyY4yBp8U6l8+yLD uC2E0gxrGmm9K5cYp3Mz4BtAG+PNEd+kVmlaZEoYsXrx/kT0eU8LQfMVuWX2w8ohYW6AXvQEyxGw 6pTB7+nuX1EMdwS1HBqiSgLYzYMWGeFlbWyNTLl96LUsKGrrAPoNPRftZOBnNnQkYuO4p/7igtBA Qps6kTI3fY8Nxdn+FY6MLbWn0kICictpVcqGRhlQ2C8dNBaTwJv/wjZ0cJzf852/WVcUz+8T9XCQ E9l9aqbdKEJQT54ofpUvlvz2wr9m4RVST7ANcspgxlOQ2jzTS6hKMqgeWtv3K6i+YBTeeMH/W/EU OQijlk/20EhELfrgHyr1dHDblx3ASplj8Nw7VpRjwuWuanYlK7ob6kZomkOP1E3i5sKj+XVGUjny LeGit/4zON8xKa8flOoze/9c+Q93yQm+daabjIfmVshUzubrWyya3syVZUOpRvsSG+zLrk51l+oi VQ5tr8VVM+7GleIhyNa0bm2716OwSmPRrm8OymDKK+mYd4B9A5z8R2obJjdb1YC2rW/yM+0FccHU IB2jQM8HMSC61nxKwFueuMxbWXgdSn/QEJbNLM7zupeksgUB4xBEURVAbiT3b/VBenQGsQw4EJWz rSYu/I6SVw+aioMcu8wBaNkJR7AXN2sDibwiZT7MvRMgZaizsrQKRXgsdutSTuK76YOVOwD6Ndf3 evwkwjQunTVxytS3hWEHEeRVs5tgCLIaPXmBn0Gqp92UWgLfcPmhmYM9Yes093PVXehaSrYacEYc Q7b0dmOYmqT/C1pwgcKdNu9BI4O/sjgTsm//lntMhBYsBr4YxQwlD7datdCvOJtl9oPMs7LKcbSY saRP0MBwNYS6Hubqqq7FXgEP0mHu+0VWIA9axrZ0PUj4yyUCoepBmtKOEbjzqzSSMhWaTaOGGlOX y31VeO2e904SoF49gnZ+owhffXN6G+8AsASO/agrocvexYHnlB5c39wgwwpH98DfhLyivkM7OQ5v 4xgTiqO30aFDIGRl46L/KCRwMj3f1Fp23ZjFupxl86bS+m75JfHohCHyVmq2JsPiLcNi3BM7bfvW XYw3x6iV8aHRE5iuYRuEEdiMCBdQD7wyNhG/3yNt1cUIscXPchxBiVMSbPmKrgiaLbsTd9BAUNuM DzExeNXorwTh6o8/BUlRdYGBhsLD8MxWsWD/FI2tiFkhO119ttYaHb8QmoqJHtXNCJ1YpipdclYn 0bUGPadH2T4NbrbYYXP600N2BSineBVIzDWeTTO4C+StdV30Zz1hkERIO0laRc/MVsAtJsvH2Vk2 WX4i7E3deqTUC7rsev4P5N4K6zRMnzPv09SiHA2IOt9MoBiB5xWo+Ye0MlW9LPxwWYAAR6+7ASUE pZWJwN3tWnjK18Rk6kRGzHTSK0ghL57mmD/73mdD8HWcTQqVOW5f1HwBAKAvFct41NlEEn2H3FO6 KwldiX7ayqnvC7nBnctpDNIn16sRbLoMjt4uJpmNfN5wp7v05WvCA7jGDmuVmEuLAcvj/RNL4IdV qMZTMzXD+2BF3dLb1G+Clf43KMl/HlaWuTVE2qgnIJK6aNUkYtLrYOEowkVfUJ+2M5eIGdChEEVE TlbGBGDG0wpUvbGEheFr0NQkgqKSvWsFz2/J4dqS+Pk93bwCxjR4OkylmtO2pGgoWG4gYVKr3Cic 7Mo9Vz07WHvLOrQp2k2lqIz7W6AWTWJ+UCegmlzuY2kqdJ+rnABznQ73TjpHkTyKYv6tBif/axLe 90NO+q9KWsW/qb1I5wfkutBI5rL5clR/4FtC3JkUP/jxJpxvgQtsvphktaeLnIiwyWGsk2qsMtqG 6kCV1Ic7UV2HNW0nqjROIOrQtB3CjDearnBQDrR7TVyyNh+mFefYlehVzvWpM91uyiq6efqAO79l TFXW9Uh1fZqPzSRi+phF9Ex4GKpbM7LhA+HYPYmPX/hUgztWTLEoy8s78ZBVH3VS3tAZ0dtYUNea nYnztqJOhmErxOGk2GWA0+Z76tE/z2IVEEu+aZIKlwjj3k7HZnS4dFjeiaZG9b3S2kbOZ5/tcrqU km7/g/N0ECyp88hD7UQSvTGlLUwwQghPnpbFL0thjxN0zGKa2a86gvkeiheDqgamhIWl+/TeD5jv v8FtmwcemWbM6/+OjXjlZzJxs3Rp3O1tJdjmR//fdOAHtQcn4qc65OwoMUzbMwvq0v5Gabg5hwf1 nYjt/zgiBpdiVYIG1YV8jj+VZgbgjhUWuutVQRTyxVNhjUBQasm0T/T/X0m78cVZMXlpVIzAdUII KgpLUCMqhjzd9bT73omqcytlS7xnQEKo0HA9zX/96yGh29DOkhKkwcyrBV9V/lQqj9Wm/QySe5d5 MEivjdKPbLri1tZnKHljW3H4tLCS/TH+Wdd9NtPVl7a0noxj2V1RwsjlpLfID2i50ipVmUHuLFDn RqTpJfvwAIf+gzq53Zi59STT50zYWdKJOSPGytZiwbANyP+AgCqkn6q9o8uaKKH7Hjq2+nCh7X5d HFJChoosQ05XTWr2zqm4NeHiWuDTXPFiPWWVqkVS8oPOP3W+XFGWrg0xMCX4/NpM4hnpuiUSY23D /lzlHvCQ1vryYw+sJywxc6db3j5FK4kP39hExgI+nNt3B4bCTJk4kq701nf+7VKybCrF/4Uc6Vy6 XRC6kVAMOSvTud4AY1/oKgRSL9cx9LLZGyNbisxmPREnk7LdYnPclTEgHu8tUW0InVgO1qV7knuN qx/dBnfywe+5l30p/KD14lHzoUplyh8Mo0Drl8IVM9O+jM+p0R16N5Fr+4QnubyE3fOQkUr+bW++ WtpPPpEBj15NfwEjMqtLXxsASEGFmtH0bXY11/CJEjtVSgPtFHKwbSCfH9iB8lLq9SE6HHC//EMx EtOPKcVt2CL7MY8V2HbQdjhjFDvfwkoMZHFBY2eCMq2INNtb5w/ur6PhCRbZ2qHUK0+AOTVF2KbI 1Nqei6g8wxxp1ljG/tmfQ9TeDca0m3CKVXMhBk0iVrJaQ81ZYZN/zHnA6nrXcoeWQP0rDZ+bGj17 McdsP3AyAEdsJlAFdBUCqxEMq/7ja1ddbScNNz3POLYy9E8A26x4KHge4tAYPuT3K9XF3BSEJuSq kpez/URb+l5qtr7OKMbN2ficD2L48P27K9OYUD7Jo/XWhrTIKVdb7X4HcqTIT41JVlALZ43aVWj7 dS+SGIFiLzTO9F/HFD2UZ3qzNS2t1GCGDC48NoBihH3tlS7d9VNNqPXnPVd005SjyEnOyuomc2WP DMzbcwllXDo8soqcpyqLmD6GViK3BfNk4sfXDKdhdA0re0b77sEqIgob4SvF1Tfhco7p8vGECR7P 2J9ajAEoM55fqqQ9GCuTkoheSnkSp2/kVYNpnGB8E7m0Bk2tuTaJArTys9fmamzCZkaQtRPNNNIP kxaYSSKt6Tgb6v/luDk5BDhnsiRocXKvi/QkbvJDHgUgwNb0JnFK7wmT5TwrOhgVuvJrry2CYw69 LyHAnFm5QxfFXbEG3ufa94IYbXEhGkWpDwLUNPWeX8tHGhePyWNAMeB6nuHLNkMLxPPj9jEE2sG4 RYB0aLt4g1kfY4T09mjrSARzmtrHnWMMR6ZuxSoHt12HnknH3a4Dg27eQ8kJqLHzCpT2s0zosCJN 7rlVfw8PIHuHhjRcrw7D5lCiHDfFEOqBx4OqCUuMNqqpm1vFWY1uNWNSD+rkpyuUTrhFOMZtN+pH jrb1dNeBIKqdH7NozFO//DFJUZxFSmgWo46pluNu8yeUHSoQGPnfSZS5SURhSuLmpOrNEEG71M/b /NYROiBzBXCKD8v2mQlk6OBYcbrPbXZNeo0tL6FHXSFsUoV6rgqEsl7CE1Z1+Xq34H4MSTzl/Suv G5Dr5eaJiFhvE9b8o4uZX7Xl92+QPMI1zz0yqAx5PtPKl3gOx6B+/9jpLbIiT/uDeU7wweJhFvHX 2pqi8PGbB0vLVyY/HJUMEyblC7awX2uvcFRHpR0ZklXM6Fex6QvSzaRmFB1hGIZiZlkeWfK2wMCy N/dWaJ+Ls5R0HHNcJNRkn4bqiirGsopGVnN2EGmkWHVOp4hqPQJh9DVAaSV1hXbMiWltnxDs8PnK N11CAM8qqk3+zDorOzWTkdtVA88gRq/vd9axSK3v0MO9+PUmS+fpHj+T60YDtgP6/JtFo3Tdcz6i j4Vyjo9pwQszW3/qf1FsV2xXvKmj7fwkrK7EfszpxpM7t12LH5ob2dEdLLX3p7urrJeiGZtDJxSS y7Ipc7Fn9fhRpnjBoSw8salLeeU0Ze4PorPMg5LlEZI5PYv6CFTyc1iecn6ZgM8EN1Z6Kbea4v5O nByQHdHLIm1qwBFwzCoGZQdSztHT42//cVVseK8a6zYE9uwmBYdX9og0JgEheZJwcp2cT+Z5pf5N CjTXTpHGGynhnSoqtkZwkzGQvSSfsxc5q9L6SBhjXSZ3licQTbd6CpbKD8BKVb5Kl6R7E0DNZn5z 2WS4uSmywykA3JwkTgjAM0yM5FExRHrWlkjsXQqVkK+qc7y1ntlBbf1z4cWM7zLTtVBYrqi8dMfi CqufJBL3JLdkcB1pe5lYtrqn1LPxDYTWpKe/KL7zqvsLtTxDKdCMIlwAyRBkmagwO1WHmfTmdbWi z9Xe2P08MdwdYE3hMjF/8zXoAKvmjG5V2+yS2VZYDmiim2zxmnYLMo+8fY/LRJP7HV+P++tPphDB qfWMNHY0Ohb5RsgB2zT1tuSbuJ2UFs8UxmeSRWjvuKAIMt2WW6C6YGrTK/SSLgmCgzbevlIcAmfj SALfuuU3UczssS84r7NCweHBM6N6J6LcR2EpojMgphiL6y9jogzgTIXUj8dTDeMV7UL/AW9/tuHl ivZl7KSb0rf2vqMAj1WL/qgid6Tu1U78hvlt0C0glG2RUubjD3S3x9V9B8YjGDA7qziMXEFdT1ig tvb2rF1DfWKeU1zAK1SrSFJ+ADgBgTjEMdujUGO6DH/4dAqmHVHii7xDQNihH+MvWlqUcVqaGoa3 YsIu2tdY0p9B8Q58JtoCEX8fMe6Q0YOAxHutljhsddFSI/gYnPzZ90L/ULOrCp3BTearsJUyCPeN 66t6FP+aIhtc0+D4FEFGDLkh1kh1Eeh+nhRmmVBTvhvJCS1lZOE2coT9EhxRV75AsnJrfrJwPeoE fEiW5swjOmL2+4jQSEFWJObqTP/uy1rGHpjEDmLndxpjiEnz/ePt6oQm3NjxIbFO/OvfC408A3gG I9hfb4QqtxJOXhv8TQu08PrdD2OK6NoD9x+YUr1gloaeiMUV2AjLuZBsZdYxrTNk87Ja8FsxnjLE zc+oK+Nc41zY5pzZkwhuYKA2jsbwdg1mg4AwT/V5z780whrsx7CbvBmdDGYQOwmbqit0am03zg1i zKc/ZhQ2dtTBnaB3tTzLyozffT27btn6y0q3ADYyC0W/5Nh7cndtmIyTNpQXLhD4idaEAvO0XCEG ISFnYpebEJUMyDXwOdIoC2Z6b5qW0sLy0isKNecHwCu0COYlSQz69U6eudzIRrGHWRgpjs++z4wv 4IPhPn1KFuQHBwsf4bptajAk3ywW/qjPYgxov1DMQzlGGbeMSq8BPrVZnvAzYvZmJ41MsZyREB5u WIGVaMfUKmKUy2oacrfSc/PhZlAwWAzwnWVNZazjhQSYt1NKUOmaugq2qm+p6kSR1m/ml5jsEaIz GbvR6MSIKWN7szFqD8qGMheIgacMZ3G3EUb5CnrXfeAZqpiJSt3jjxrW+7bvHi05oL3sUVQrjo0f v+cUV0t5KUDvrc1zap4atDuOQt4xKsFvia6dZOFlyAZOhjf72Dq/uqw+YaO0QIg+xJepHLjZJuAl ugiMJtq6ji22zlr8gbA8B764sWla/lhjaimNQDAF8DAtNtsd428mPm2YdkcvULaWa5K0vIFVdKWv 7U9Z1H+dvunNRJ5hifa7zkXO/taLwl21XzaVpAbFzqOvxsa94qr8iVHpetGov1H6qbPIeqg6jeH/ P3/KdWHy3a6MGCYmHsU/zqCE/p75qAxvRhb60a8nJD/L/FyE+iN5Apy7RttAOIzU+SDLWF/HrcTQ juHkVzMNzUT0+q6ivG5CcsEunYSpAWFHMLuaHWyncX9lrzXnww9M1Vcj/DnH3cdOC5IAaICH2T6U sKtG8mCs2067Gg/svpKCxGZkRVdiSFYkiR1Pt8dVdgqQE9k9lRWZtrx0eKIuItEOdmgqP6ycvL2v iD2f11l6hViIBu/4lT1FJF2jfR8IrDlh8W5zUSldr9vouh7eKulOLCzdhslpr3lMkAAyLlsTJAHv IDicgUKydU6qDuXKmA5opzwuWp9djGHECcN3A4l76xjpbsm3hUD1ZiOVHnb5qVlJmWo+fJF2saBO 5Zn4gJyJ9y6uYm9rhlRvN9ddvdB7mqSLr0unDCqJk1hbE//9fJFMLF8tHHyPsfXHg6F3PJYOclRU 4eMpQhLQKLLrovAdhD+HVywhlP+On4G6IB8gw2MiWgStmTJf/TPIygkb4JvpYXIC9Pp46AJpstb+ Rf00JVrxOZFTK58lwcSmf6EiLapniqcfGdOYLZ0oMwX6AbnDb6NOKZbl8Lku/oaGbndfwTmXNO0G H7s4Rl0nfH+BxMQNjwD8AC90eYVD2/JXvVJn4oLyiAqh4vugkl+GDdhsXMrvway8Gu7ZkWmlCNFt gnxTf1DBdGcT1mdNC+cCbNGno8Mrx3yVNAx8JskuzjJIqc6h/CwWyNpHIBPb8ckgQUDu/97yxWa8 kOEZ696AlbSG+UfpL6VdlgyDg97cNR8rkkTwZ8AJ5yDed350Rs59IfTc9L8ec8QctOmVrrn9enRW yLZJttsqGPfc2johGyKGF6ibwEb9dfeJuwa9d+9Aj4erAske0g23cuzxf+EThHYxa3/HioPVl1yg 3IKgAvKUM+h9CEI0/ackvYTM1DTo2quXuytyb0IuRI9WcspP6lAVV+QZnTvUjm5qme+yEkvdFwW9 j9I96PFIA0o7vYGJD6D6isJcjNB6UQj9SdSOyWI0JjV2L1rbbthoq/oPotlLmBefJVlGQ3uhkcck CyWDDIt7y0y1znWJc0Tn7Di9N0JWCYXaBWLR85j9eelvfWjsWlnWdEsupNF9txlzV9gaKz1hB+QK otBHc/eAAGzklYZlN+QvIBgd6RtDt9ZAOiE5Z/Khq+l92rClxJd1zbuFUTJjd3GpHkAT2D8TxAgO dkaTHyGyq5vc3m1uJBQldVigzvAX5K7rjyEPF6eyx+FOLA+iD8B7ZvZdl121iQHB3eFE12r0oN9w K64NBd50V6F8d/9QDApEtweOFrui2azhLfJz3xJYkmi3D1elMtaByBI0VG7Fo/FTIYS8rjYJDfK6 /T+BwLPa7bI7fNURhEDANNjNbLBoEdeacfplMEZI8ULG03mwSsGPcJ5zrEITmcf6z9BvwLjJ3vNk PmTVOG2fTdjZ2XXXemOc0FCvKh8L6BxCjkSZvkVidKw3o/hKE1GcvwzW/SNj4HNX6PubjU7HXt9x CdlLUNFFZvjf3u8iX4vq2Bw6YVTIbvWvntM+vP3o6e4xp/rUV22UZyzsI8JWYcgh27yTxtIC6NRy AMHNHQaIazp7+agOvjreAL5XhBIytn4RRTaME0kXnR9HjiQvF+N7vdFw1XaI+etAE8cEcAc258ZN LgNPM5QTLTWNYF85x/HiNEHpLGcTaDci/NVxqQP3vj6kw+E4yutf5BJSEOVXQm58XClMQDWKydCq Gz8UzvimnrhM+TpOV4CVsdJbrhaqYv8PIrytOnPneYuQRlCbiHMB+9e2OMtX088Xf2JhpnZvjur0 nngUP3X2Eo3hUbIvDV22fj9xWwGsqXe6us+CYEjDaiKb30ip3Gi5LtVxBABJs3g9HMeszdaMTExR P6ay7pPJ212192rNUs7AwgyuMZ0SjGWklawF+kV1pTRN3PTXRrsBjbdTcdTDbitwiFIQ4ATnmum7 v4Vai35TEpfNaVM0UD4AoTwKTiI3i37qE8rzXS9nunydBr0kpCSJiwaUOzmYXXAS76PZOhHRkHkq PJgiM0+OLCASXBgpcMQvTYXwBI3Hd8LFHx394nj781nnAG3V2splWrdkdF331UmeEsLN6/Fu+ALC 7++5/X+JxvFkiixotGCbp/QEP6WSfZ6rGH8HavqdT6besZR426SYmaOmzw/flfaBoQD8jl4egoHz mOis62HhohiKQDOBzkG9Gqd4bGao5PwWz2P2huG/vz7dh9vVOsP18Peod/OPH+QkS/3xhMVh+uyx AbharUO27sw81fA+mOxaPbr5/mPHmi/cTfTfG4/zSl4HfSrwEw+8al3R8tyf5cbk98wEn/P7NFZ/ 7SGLfoPtJy5me4hX69208neXOhDcAM8HILEiLGUN5TE1wX+hvxOIUExieGxklvuy5rIDfLRVkLkT 1VzagM3YgP/E9/S0UsS4vNl7h9XzjwqHi7+qPMN5IxAN4Z9KVkjGrpaKiXHqB8RfvakzWuj/gJKo gb1u1wb7PC52Vr/il9Zdr02aUtcnHt27KObDkWu2SlAQyL3M5bKrgLqXQoCagOSYZOlTDKAIS3L7 IencyGdCy5fcV9az73YOQjOUfcHqgC21ylCfp17/YwEG0C9Oqxt/4CPNbkjsCu3O42D+rb8SLD78 7idUS30LnBsWA68y+BhGykbDKjW1Smn+B9NSWdevGunlz+coRnnk4Fepxfy9nd2OgXSsj1QKcETF UMsqdUHeQE1cxv/3WEC/lldssnFY1WZO5j7GcZnWDtRnpNBOSRaQrvdYehIKANhwTB0uksTG9Tjn 83TGszYOuWH968xQtVfh8twIURx1a8Mz1p5h8UfkoKy3a2X0NPiMBofE2gmCC9vf5SyiAmPdJfcF V7SD58M0754xWEbVM86SJTi4EyCESGcJyqGopxemd9SNkh62rlMkf9xQab33fg8y0g6jr+8I7Dym zKUgrquDniRQeHqF9wxRUIGM0Sq29ivi8ROzWxrZVQryci0kVB9NFm6xjm0GYj6muE0j2G+6uFU8 PN+OBWLytXJTLA3oGssvoHmq0ACXQtUUTnwzjA1sC/+HEYT32dFYx/4qUCoOc496BFGg44CIls4i YOYKcE729uaVMS3nlArZhE/a9WmNA1SsHdPJdJWRXtLWL+5M72DsFMykZLG7lUlMUV2OBCYrrMZd 0sJ6raEZLZuw6sOVmLUo+BfnHMuxfpSZuAZuEQqNKFb8Ol+KoCeKEEMVM6vOavAXLvhtdTkNh2gJ 5p7O0+/eHSBlCnRfTHOxSgRVnikeZ4w8W3Br4m5y0WCfDCUJ2kKW1LjUJjGu1K2ZFHpbL4LZnGX4 M9mLQfyX7YK63cgbJyWAgSo8nbqtpPE+GKYqJRe5BBCgTbN0huTtd8RfJvUzftqnbEWycCiIzQit YLpoDOB7+0F0RLKomQCbgWxNG4D2AearSBI5Jn+cimAsRfbvWXeaw1Msu81qsWCmS2KIH3J5CNS7 zQP3JGGBRHDxunaZ7+cDxPYE2c5lnWIXyoSUH48zRJ+kjI9zClcQNhptbY7t+XPHY/QfDCXhEiMs YRiexzWL0SXJdqffC8f1aFwYn6UFtxeG7rV+cGowtZknyTTINhN5hrPkJybaW0rzoudGnj10ymPQ +fob4ivavjkZiJQp+Mt/ljZRCH1zHXUAw7PHKrc9NcXi6F3xRF8UVPMZgNhFgsdeGxLGNqsodg9N zzW9YCoBK0VLiNLl2Y0R4kN3Uu6sZaqusaiXpfQDtrZyxxbYnbBxn/u0RTtxk/XjlnrvxwQvcgOe DBuHygXMJiVDIXzyWfAVoU1fi3cwNWDEhPeFSS5MqbN4Spd8mLjU8HHt2CjU9pOL1tsnyL0JsXUb NgpERkqPVltCiS5ziJhvgJiAzi+uHR0J3pF9VX81arHe9H72W16hnXGZ11NcNqSDINJZC6hrMWuR oSGjnWVjhMGaheHPK36bXzfVllLgx63jteTD8jhxfUhOFVwgDF/J/X5fXoYNsN41b6dBAzlZ6vaJ /tTIoqd5xT8O+n760W1ktLyXfZe3gJVWw9ZCAeXHYRRJ41JfBWbpDdWVmQyMZXVjDDzTGnJru78D 6+QW6ssNE8TS9ypS4EBdCCT4Cdszprv7EttmxgaT4DW7F4tzNPAfBvqHsJvrq8gMsMi45+pOnnIa Mh2SXcneTzI1aI92uxhCwyyT4BqB6C65Jm+devLQXYRvXs1ywOQ3wqjJaJmke5ArUr8Qhmv6+MJL XH5nt02M0M+S/ZGttNwxPq99QDMlCT3345yaPWrFks0b9/O0ZqyHqIW+Z75KZUSyy9jajklltHV3 Za7QbEiPHBBsmNiHSJbkGibxE+vfXomwScIfuMUeWQHnRqMtlpuGF8gbb8VnqTAeYolabjM7+AHt pesoaW/nMqmOfesw2hpa4ujhkPwzxbkCeufF0ocez7jvGis5RbpyYaDKfvZCZBQzJk1dekpAbS+3 i7j4z0/P+yxkz4Zz/jKhjBzmfmD+IiRDTEBhBpyHQmq0Gs5+RAT2dcB26Uy2nd2Vfe1saKTYUxsF q6fqHy2iHtZm2YmdamwK9kW/pfj/DoZWx40cIqfLe9IT36Yok2FdbqIki6ReYKwMWKzKCE5PxYU5 zF6s32ofkDicdOjK/V9WpeWeX/Qi0pcBwx0b+UFtPbk4xwgsahWc/0aZTnsmIfh2ipGNKbyRbs26 KxubIL58HZ7WdjkMYOU4OMmLrZhWDiLPpBwnSrh7Ix8WI79ERv+/i+vP8VS4/ScYi4eDisBhSWkY JcRNKgJ4paGS4WzBO1B6h273axVNyGcFszSVdIoPMvhv8R5TbtWhNmK67dP0dS0KJUY4Hgda4Trz mcpFjl71LuO7Akq4aLjhaMjcEyGXxOIlX1Qo+ZoZa8fC0Kw7O9QhRe7cCiQvofdXxlftApXDAgiq 5QuHpjnC121d3OgGuTERliO+yqW3VXTsUR1GymFrPL7251euET+hP49V6V6Ifz7ENC9L27kZZYvS AUIVZ3rVQAMfr1FsOOJj2ZGlRP5zRn53NphSxTel3LNnLfwJ84/NFkL/dWxHkylUzdf1O5PCAy+h FhTWhyeQLzNnhKDDaKeX/Pre0Dcztb7c19GRNmp8dvhfIMApozdt3uZLinRO3+Dr6VdErK9fzIVU LB+VBBuhIqeq9nesAHIVyFTO677GxjddG63y+gdeyVia/yFDm0WR8KgrIkbfx9vpqTcS6aC9RNzS Q8UA5rfg95ydVoYtWAMC2WiE8cwhv7XOQ80INilpC7rbQbGt4kBkPpeAL79R7XdLBjDyJk+6D+X8 EJLBVWP6EgOVmlQLKXUKQZMuYZJjnzCK0NaAOqmjlVCyCdJB+QqJY+0ixGmlMEZREOG5j+CD44aY 4zgsxpkgqBarP9Xq8eBPxTmrY0UmI4mysff8SEiqNvy1IeAWMZH8X58jBTw1BbjdiBApkAeg3JOq QXNA07QG09bmzr08SP0KbHPmPyLyxa9pR7gkndo8Vs1VZyKS+yIoRAJFz3D5Dyd3uhBW5x6tVY4r 1+06XsxAVm+9aTm1MBWZ5oG1u3BwRdtRDInY+LpN+Te9d3zFzjJR/h4ynrMQv3Q8cE6fF9YzquIL qJikAzcxxHD5j3FiUbJAoaWX5xZSZuD7uKPoNuWf+XCVu+r0fF/lKuhKAmB8FsbCRltV9fz7PbyI yUgWY7zbe0AWUAmbY3lXXUbzR/3y+Q0DXGtX3+RbVpXnazMEoiQ/UhtrAuHo71a+ieqxK961zP3D AamG1hGu0PuThjElVKtWaTYU1R43VLuYAP5MyagUqxPPBv0heG0fzHKteyeIuQso0D7CkUCOGKGR /302NidpRAKFXvLaZoxvStzMeYmKe82Ptfxqbr2B4DfO1k+GQ7vFWxUwev0fnWDTwKvmQzXz4h3j z9GrnmlUOTPXsvgO8i0Cw8uI/5GActHJL32PQb1Am9znWSc/QcIXUhtk6MU+JfMWtI0MTce3K8PH M+1ydCnXzR43YTdbQumQ/N4KmoO9moTX654MFCwdyDk0jiof0ITxfosaxex6GxmT0ZopOFpPuEQE r3wfvMIyzBaLa0VYIeLDy6mVPyi4br61tSokqZihCOh6LAmV8hlVN7vqv3wZNvWH71KdS3VpXd5y GioKN0sXTatSzZGH4dXHPDFgYZcBiKJav0/2vqJu3SH3WQBg9WlG5N9MOqec8FeyNXovzclTZ2kR +mYsl1YaUhGoeqgaka8ZC0jtW49QOFExTJXeq5QuaIGuYTouBsbLJtPX8ZXYLvQkWJNEBe+cv/OE 52/lteWufU4tmJDV7R8AInnLj01xdijCDE3aikP0DwxeEETH6MwnQ3M9yCEFf8gkaqeyfRc/vOba jblTaJ+vpRluclb4GTDOD5nFvOn0tpGD9z9xOK2MrHS0Q+wyPdMUwvRUvaZm5Gs4bEV6vxDOdvFW xzN/3jWkP+egX+khGzRQBmCifV3pbRihtBRyjZewCwHcFqVqDe/D/j3Qj+plcehsAVYXwMKNfmvU FFoX9QkcAPvQa6AK3Kt0CtZMwyOOzvt/+ANhD26ojOBqUy54SmHPHzf3FXeuUbUIt11kRdm+HMEs H5xfohTJJq40G/1r4oXE5/OPoFibjbraDyffug/AT6RupwwY+P3XLu0qdEn6yEEPQECmbOfin5qd VtANwkbrz1knSHPIjVuZQl/Wa6jBb6yWfvC5wmdjhuALyp+MGokQSM5Udtxrqwi/CLWcMkzer0jy rjX+DFVOZb0s0CpOdMJmAmjHTJKL5B4aUPv7jLdoxjW7U7K8WzaAlDuzb+iFAyTAzNK7TYiOf0lS Tr28ksAQ5qDtxTJbQDNX3y9KakmFq0FXd1DBGX2psbGEE325suxOtakvDIWoViAhl82d9dvNcT3c /baCdT4dbuYpaJ1GOGg1VpPljdb6aSNgJrCUGatSUtBspo1n55UUQi2OLNcBcEbCOsAqP+Zlrcg2 QkJwFlOApoF+s4DyVkzIld1/T4sbwA5TsroxgjHoUlZ8uR3O6A7p5Nq5zuHJM/82NSS7v/zFdpKt Mvry7oPqGfGmoXABPN1tRcNqaJ3Jux+dezjIzlj58/2LT/rNzJRBN/j1FnQsB5uIFF71u8lafYgj 8s9PUH6OxiQqNySYUYH7lgRaaxXQ5tYLYrjJlExq0JUjOqp6gWFHhAkUUkwSLhzuUYznI0k0k5oT vENypQ5dC2ReatK7u/Bs6ULJXX3rsbE2/ZuU/BD005fx0/zKHKX6NKBRsDnNbWcuGNHVPezVqwdT d3q6ne7WY5h0zKGXWlLPvy6DU2h+xcbqgPcTYGtXqyscv6rgCZlsE6hUuPVaHO32V8hcxhi6XBKN AcdX+DJx87rk9oXbEM5bi4GhF+29YklpPSoxIQ6okpz5UrxEQYQIcAnK3LbmZi3RZl0FiL3XGUcB oNYVDv7MARBwIvYAt6J+0JXjgLgjNmIXWO8qI4ytUbSTCf2m30uzfBwYzz0PFShn2r4NIDzbmIL4 DwsSWzwWzHI4/kMw9dfu0Yeapd35z6ebOLGJuAqLofxHsYKOAzDWcd5fsS0Cu7zd+Iv/DlCKUQXv dGGpZtaoTU/pGqVBsbxBuPe34NoWY+3iwuVyG2Bmqpz0CrO2cuNrG27MduL56V9KCKoIMaGluud3 cEG4DJeg/9a/8CgSOMtJ8l3suyvq3CJqlubRhRyqGIz4YegBrbWAc/j13o8iTaANWxlYQ3k67901 97XUCwX/uBOkNe4/udC1CR8BeajrCwAr01YnIYmtb3wcz2a6r1Cpyn4xcraHUtwIS4b38mVj1vb3 i75Eq7/oF9lSdXCo1Tn7dqoPez6t7YHIq2zDSjr9CjX/d96PycOz8VdDD9tTzLpR5+Fs+NGfFju2 1oLCsl2D1Q8pyywTXrPVKyhAbeJGoFyv1Qxs+qI4kM+xQLYMnP9lEE2Ww08jDtwKlD3y94nqQFIg 0Iy8tOoTpm/31rYcCNhq3zjteEZRoQ4rOanfEgxpwwpoThUZpobddgNUvzVp6aaNUbMKrZvprLbj BCE6JOZ6w+kia2rfNcHaSbXqlRxISDlLjOmwlWyI7cKC4uRuImRCOdeZ1ZeY3s7PgKU0iSalmogR dZ2r64+BgVf5ZvtFZwQi6ra/kl9rdFSyHvVL9q6WCLIla7su2cLiLarJHx8o0XeF41dHqV9roZS6 s9LkxNCIN/9JHMapqs9+WnRhOmkrQoEUPsQFDkyOde98wOM76gWVyKg3UECuA+Qgilc5VQW3jnBk HRQZJFKLqQnmMSg0dip7r88MnXsrzVacP55ZMFDr2aDCN0DjaXvP8dDZMK3Ut2Vwj21D9Ti3Sn5R rK6AWk39PjP9sygZCBrFJC7BDbfLvqniV+VZXPj6E6xVqowJ1+rVZ9Pd1q5vOg3KIx/8itLaTK9h wWpf6XSeA/RD0an1a7eg7TYU0er557D4X+ovgCWZdu8QmiI4dK/Ozz6ud51AE6MqU9IDzQON6lVI tI/TiYkKf7nOn9ZdEGddGJgaeOvpPhOYtl2au1MkN00udtWIJU1qsc20RzSAujug+045MWqrwkF5 AU+UVq/uL7wtjCeTJphkMlzvRaBQgm3qTf3cLJKzFUK7v9kZMjGZ+EOOFJ3dfhesk7Pmegt4HHq3 LRVZbYZ6KWz5XQDIaO+SBXJtSUQR+OVHZZgMP+FUPLYTK5E0ciagCT8X2cRuBeJ0ArTLbre/X56O ff2RPHDJMeo12JWDjc2I6PLotIjO7bN236OlKDanGUgknIa04/GF27BkLB97cEhoqRYk09iFabiN pwzPBeZMBkx6nt04uavRSj7fwsvizvynb5Z5Z5o2cXOXt4reboLEQ6WDBQUJ9hsx1KkcQfFhxM88 Cy81mQuKKrp4rRkEiEod6UaQSykpJO/ffJHqlC0YjHIcoXolJnAZ6EHKV2pOj9gcA6cnRgCZ9eL9 4ZYndkX2RahfzSCXldOlxSwtOXagsKo+4zxyGHGg4dXTphWnVYTbj9DeDgDnVEf3My+gFIXj05dh Y+MYegnXvw6eRFrI4aVDZ/eS0Tqdb8NBcHg0zsiejlbs3FCRRI4FM8xalrTJ6orUuJzNMGbaoqLe fkKFEgGqKpatulethnZQ6A66y00zxr9z3siteqzQ3Tmr+jclBurk0eobVFJFhSGcL0pCtj87Za01 Bwf1mw+K5FO6Or31mKQXCmvIq6eUd6CXywPgKhhXhuto1tqrpc6KpNr16hkTTZ+wOx4mjr+Mmbg6 +XP33T2U1VBVfYG0uCVVVHtvoBFFN8thYHFeDkY/bzqdRDQJWqxOuBI9lOyF/iJ7E/pHkxoDenIG VNqN9EyuDTihv2DdBFRGcIqfR+GyptJvB5GEj5SLCoy+yZ6C5Np3t2RhfqG2ZWUPYUHn70Ivh9qe KK9X4wyquh3voOMRcujFcU49rSnz+pKHhQoOiCuAwYAgnv0xlpHwQPUqeWqmf4K0AfgZVA333iLQ mBwUXBUf6ysPwQI0vkqpDtwnRyhbfPyLB1xTtPWWH7l0onso7T+8d8PTKsMmiyGJ8yf49O3suQkv r95PkHJncVKdOAgmGh/Bhls245dUK9y0XmLEMrg1NlkgX96IiIc+12Njwovzx1ZVV1HAKbkMMsGh sabvsvl4EvwNZE4LxMWizvfnajj46R5lvvI8GUPwu3NEIcTssT1CJ0ncSfDDlKi0lK8HFvacUJLL J60rSH7jXF2Ub2kjqK4hNurE9HiscB/2xupH50JWaRDI9JIxfzNH7GRvFRIWVRTGJCE6cNEMB3mE 5qnA6Ws+H/ojbjiO2R6xqPNno1GUMbtzYm14/rye2Xbs3EqkVVH0UyXaObKfCaXgemoawSPlQRUA pdwQnpXljZJ/Q6EZr7VTiaS1t3ZOgmlb0UeJy0CccUUW3daaQOWg5eQbNC0S9FWAsZKjL5P7q2q6 VuIKPS4PaS05VEc5+zJRh1A9C5U0q6Fc/Siv/wGeFMwbMDuSIAFr/g7Pl8UT7xqvAnZhkawa0snv 7QjjPXDQAgZmvv7pF84Pd3uk2KrF6qOboIMDGGHZGc+LN8tjg++m/pmFnkfTfKBk5HdEAkSL7CxO AC14mKssfydhkxG46vpKkpcAwDAc/LQt0jgIg1mkSP9FR++bcN90zK0Nmn6Jv6s832x+4pWyjzgF T6b+ZWYKZVlBcbXsimrFCkDKyy+fY8j6lOyl5+TlL5z+sb9MfK8HDr677gyDxyZT5VAH902z7OkE j5FhG8Ao7t8+o0RekdJGpFjL3CWzDjNJvSMQBv5wuNUzIZf7b1vXZtuquIqAg4jroUF+4CFDe6mL lTHVuDjN3ut8WyqvDO4jjUbXLkdDGAeWtLOgTucZ+lhMn3bjeZAMGkxAgYrfmCBAuNcBe/AgW4AE Ws40/DFsWTr/3tgHZiU9MBYDS1BeSAySmQQSDjM/NbPpOSojzWixbYEzKolpkrELNUfBsPSmDEds I7ZEGVxv5prYW61jRCdTY9eH273wS0Ipd5WyKggYEubHrpxGWqwFRxzn+pf/rL5qhIcVpfZ5nfnV rFn95Jp5WNQ41fNVOfLoqaZiT2Jc1ifwLJkaJxzdVIC9sjhVrHckRip1Qc5uvyhSvzirmaOzaGYc xQIghiFy8DW53WZCWxCgv/5upqg9BMXvG+L63oTebt4F8NSzcAP43xyyoHJgsIAcx2pTUVGuvJAg BYVBAbhnmT8rNWsuFofIKLnL8EI2YGnQu4gQTJ9GxO2jYKYuz1kzAom/BRhYpOCtSwEueaAqc2c4 xamN7Q7UYPy9VXQZFJFPZsur1sBv+3cQ2yrSEafdtH7fl3zbmwQ1mI8tTBFlzwoYN9V0VroA2RWW km/WzSAGt07utqIekRzgyfN00EEy7Nd18NC6Uui//oJQJ+w81mUH06XgAjOfV+QavTiJOlwa1Ipt 4FyMKqelJDRw4n7a/TxJAiDiLUIHLZUAYPXbPD0fxCjv1G9Zvorqv8sabRUjTLLYz9l5HDgTipaj 5rPSTfxIh2FGYwTEK3BcdSQUalj01miDLFpbQHZJeKQh3b0lEKv5F6A4wVfrguWglQ7rnKjswx6d sLgEGclwawrqZ8xiz/H6e63prkJJkiOe5n+z7C0KnP5ta7tNumXCA2SRkDUuIOa58MgeST7gzLHH QHqSLg3NV1Et4FoKw8QWdzU6c3101SWSQkmxldyIgCdV80WJyxDIBPQM7EZua+ZnZ8OLZk6f4wRZ RQECF7KBQC977H6eGPZ+wPI9ZpFDiZaKbM/3gvXU5kE22Dx68mJWR/fIgEh17fz1uScSf+2p6vYY BEBnDRi+TqJc4qd0zftYxHmCmA/2hdxjhySvMGx9fdJo1nsG4d6MHRXZMDQjJUbIZJTY1iOD7zWW ag88ICcUtHprRtyjT0+Up94zqMtumldyPpFsXPkwFmr6impA8ekrvzuQEKjmgyWA9pWglIvrcIi6 ginTXuCADuvx/HneP4YRNPgPuka0ADgi1eRqt2TR+P8S77zMQy7fmuSGh5Lma1WHw32Qn/aUvM5G 8AUTtiwTqyQr275A2zCaX1sBYeIk0c+Uk5raWbNZ6zLmyRyX9n7UV2+BfRV66eedjAtD7dOHbYDj YYujY5WMFEIwjJOd3P6nQhpUQnPRXwGcUsz6xkB/N+1o+4/QLcjyG/SJbmkkYwsYf8H5vlp3B2AR LWSpCN3nH6ZYU3YxV8Kth5sPgBV9fZgZSQwmz8n08UmU+4sJAqt3T/HV4y3Qr//ZiUf/yMmm0FPw jawJXZV/9XRVHwb1pydF0DEwuGa+FSxXYjtWnpgsXONQSB6475P2iHJksDFATK8kB0fwkLs04bv8 Bu/ejjKSKOSyOhUW+NOfKOX1XiBra3zxFDc012qTqZWW8FfXhXcXJNxWYsGrBZAUZWa/jcrQv6Ne SeIbQBCsNGAuZp86xJ2IC1Pf/NxbQJGOUT9O2+PrNpte6p2/LP91C6FRhIn+lymBhNmPsQmBYFkR vVRa2clxx4vjB641RPzIyx9+fIIK4Tq5F3ZKtCdxccA/5keNkBzjqBeiBYXj9MW/OnUlwOBDy8zE COzhSysWUiThV8rVb/U3+6pnVkOH1TWJ0KyPwpyWL5uUxwBV0DmzCJSGhnyBerCS0RrEm+vJUNwp MRSFtIakRc1AQkghBfWm0p6eoZWwXoA37P1/FLNyrn2DXPUq9f3YTKEhHs2L1Rv1qpQn1LoKMWwI VBf/s+bb5vS7ZxMd5BgjF6t2EfZPHZJf+SXTYPnuJNLz3C0yRNV5ad7bKng1Cd1XUExPmeGmQ9KN 9imyYQvQaMHFuNrYEHGx8Nsqv9B+hkQT0n/d3JLagBkb5fY6vzI6+3N0WO51Yi3c6ZaLkq0gEruY By1ZXjhl88iVez14/FbhZf4hbgtu9VbGskUpW2RalAkO5iEqouZtNVjKQ7DbK1ysY+9sX7zf3j5/ ECW0MLrhH8Vkbwh/i6ovVOYTYfBuhIOkYD/ddsnJJS3AR8SrR70wq6eBRWfLEB2FRxq2RDtoQmaE +TO7NV7/ySkAc8gLT//qyCj6t3boGNuVQywLtIjxCJdAgLVpS9uRTyUxzHCW7x4sdJuZJRmppUwS IQEcOfZOhLx2YLaxc3m9K+JwvFkiBqN5QPJP6NqGiYaXX6/YjMVlyK94V1/lJFmKHM5d0WvwUrj2 b3+bYsYbFiai7HRIIgBPFeQyylxbSIkiQkwllGU2ZC8XGNDMJxTaqtpNOiv1WTZGqEsZRfkWkBxi RWNICmwiWEj3f0U8n2zR6vMQAONlPjqKEruRn42kPJEC34i2xQOD5wuOq32QnPi68puCMWR1AcH6 XvJQuvyPVgkCZRb/ZeOkIW2CDjFeIqNVh3vdRDB5YanBkeUc65Ddh+gBQitSd7Ynol8/N0r4pmCj yACwnB02nIrJp5Dp3RboL+JsytdETig5RtoBm7YdNNnxDF0KojPepqlp5VQrT5Tz12/Rk3CuqyU2 0HjZSqGKjZjoQVm2VUoyNgzBBMNriZ4ijXx2Fza+b+MJ71v8XabdlzPGtGBgRzuHTi/H9kn8cG+r hkqhS0Lp0sglnVfJbd2jO0b37ilbHN2Xn08/2sDIIQNyMg1/ysPmsrI9l7j80bNzd6qDxU1HUlFf o/gtabh9BgBR01h6BWZFfi+5x6PAPSTkZVV8AC2ZRMIobTyfHiMursafEiOF7DgeGJy8m/9LDnHN fX4tRGKogM9UzvYzWnP0pfSRVzB7Y2014f8ZCb8T28qOL0pbgwlIMynzbZwE6sZVo/1HSEnFGJBV oDOIP9STTEmaRU5sOau7hXV0eoI8A3HKG5naP//FuC6NxKDZdSU1Tsm7fXTDa2VMs3M/Ik9VBZvm QNC0NeM/Jauz6V9/wLMWs3ntk0vvW1wjT/KYOHhbrAfNa57oMzXtTpr26RZZabn41Bfnj8ObfpwY kRjuSCk40PgJ+GgpJxubWzOXUuoOHBbaSyP/T5KyY6aqezDQabf05mVH+eMwu/8V6PezWbYqtzlg F/eIORLGTcHK6ZzTRL4G6MKeXgUxU0oOvGI99URRA486H6eHSGU6DzCIY1nVJqCPQRul/6bhotj0 aXVvSdg+pl6zdo9PaMv3u1epoKJjzIovsS4vqUkS9nJJCqVxNPNmXy0zWmwmufc6yNwGA5tDEMpw 5sHj01iTqA+p+h8HzbsmtsDyAqOwsSVKlkDujAxIUvNUlwt9zD2qzas2uIZj/tzrEjHayI/hx/Rq zmvxRn9F2qS83eG/PUu/a/MRod27J2QDsRuc0NWpKj2SgZHm0bjjI+xCrVmgojSHKjCbYGkE941i LNihSeKT8a0ynhim+zMmrajwB7t5OeUZvE0sZNVJy6etKcnczfh55R3/W+6qbLuHJgUjN55i8dN7 lgKiT9lOxr5AQI373Wp9ktTOmjFgjgSn3670fJQzG1XqRr5lo6s315HdLiP2on1oSJ7M/uRMftRQ n73GIzcHrxsqSmPqXqumuNu4MqHZr3uDRvFUwbMv8DLhVDEggRecehQJ8czpyspwi+FpM7zuWqDY p+e0KUD+B+OwwKImdSdg8Rs3so0jj8n+KwN6jNOSDvMzY73R8G13WCnbJ8djNVh+ba9n0+NgQHca BoNkc0jLv0iwZ8rZjjlaK8xR+PgXSTwVW55hbEmgSVu/rsO2qn81zEuU2MlTXXasEWrc5xQd5MS4 jG0We87DU283o/jIoGj1UBhHbjEyHz70rkmyYitnpZ5lV6k0wb5Y6+tHgmAaIieFp0BhLiLLXF31 kPWZbR7aiaNvau3lGVY4M2BGJpIbY9MzBjuvMMhahIOVrnAI1jVv9KaZLibCqlmRLvL/yydAgp/c 30mkonYNwPgwPxbGDaf/dVJxp+vJuWdEqWvG65TpZyLd8iUXJteQuQY2E+pwUypBL6m6sIOdnfHu +uVzvBnpaINL9ydTJUI/wbzlo4O3dbR6uNW41koctTzfz2nq1wtFl5bUD/OyypRvJY5lGM9ieXD6 e3s1fSPr7eOy+Z2FrMXdh5X7papA2UfGxnfxt+FPRDx/MarlYn/2PQxJxKdtkbtSKQeZrzCGRxEJ 6SqU7+nAHX3RH8js2TQH3nUC+UVnOnjREp5kl4UHqRhcEie6f+5C9au4smBtFe2EuLFSRYnj3CQ2 K/XkY87z244rvYdcv3SmAxBUYNdD0TB8WhkcOm1Wg5wqIlHYsTsBTKNUa8hZrLd8wGadT3AWxuvI 7GqD72WcAJ5LvWOhkFL3a5Uv+Vb2liqEcBDf8Aq1O4ZiLjuOBvFovynbfF7Y5+o3mUWcLrzCVuBV tkLPC7A9GxKMfcQTVkcqvUPU79fnZf10LvoymGe28N4cBuFwGvPBJ5FtzIUgxhCTKkjwBKhC5b5G JhWYHzL0sft+mXCNoWn586vCmFGlEMxKBAbMcIN8sgLWZ85j8A1d0dr73wD5sVUUQ7EtPSSKSUQN i1hVnm16wSienc9/KCp+RP9W1n1jFcg67X91OEaIcGEbmAcOb63AeHZUotERBaM1yFSgkfXKpEuf CmopBD6o2mCJ5q8wED9szwnQlI+pndhCoIQ0qJCYwGAUdFe9ZwPtJ7DvnIIEeAMgC6QHZt4i9KAT elEdSNcYbVkJTKEbHy07797g3WmfhbwkP4socfOrh3sKkhTI5tfa7xkUOsZ5ecPm8321eNdmMkEj k9W5Wsu0sbEkrWYmaH90JzsjAmOHjeGFWN4LlBFWUIHibXLAjNu1lajbdwm5SRC9kYYNBcPfbzcd 8kR51W41lR0fkzOVNg4QE3eGXPa/JMCcr3yBAtIewklVY6IV4PjBd/QrQJZDC+ixFu38t7c9Rtwp GClPzf6JIVOzvCa3AUDzf6Ms+z0nhZP9zvtZw2hjjwm1v6jiXyXs8NS3XnTXWZJyxiluo/+rHcR1 cQPoSqTPoELf60ezD0/wTj1CYbe+0nzpw8/E4pdd6etTRJluORoGrS0YZaDe0E9m2SSC8MxDcJnQ xb/j9G97XnPMNoTeNM/BFVRUoQ9nhBgcMKFBDiAeVwUeHJpXTcvT3hiBNkmmh2Yx5cNbLw+9QVat IWbZ4FL7JNLKGSdSCqS2ZQRj181InXBKyaFK+w9HTEw8E+5YS6MvCZYSI2jy45k6oxENmOb9M8Ww g2rL5DLo8zD9FJ4JDMzdM+q4xCHozDVOqHTaRtJJRys+bCE17mAeNzwAVcTlpRa3cY6jXUBVGGGs v8kvNdPOX9R1vu+nJOak/xpticWpNIRfPgvAhdK5FTvgXxzbEuCWtVfoWj1hN5GmV9PsmpjBBh2F gp4vOeIfU/bwbjMn6vCybnEWBQ8c8MwsSrbje5+rb/jMhrC1BndYliuTiMb/G3hk7KFy285d0AbA F9pUa1hFcFg59bfUSxQfevdv8Icr6O9vExlZwHA8QDRo0ix5bc4AAVVoBhrXD4O+uxcELL7E1KEK VudZS8+gUGmMnq/sL8NVlZG/rvcQKMCsK64lEr/CYrr/+uSoNj1Y0q/USpTIBBNF8jWGOaZUKk4h c3sw7lOE4098h+mPFdxWMtibSbDqCwfEG9GBVNlax4KY3Ub0wEkP5XZSJ74GWmnrTTp9vRC1atlh PYQt9hKm0gZy6aPM0x37h957N+VzEzeVEr32OVNpnNcYYMBgsAa/CTiF3TknijLhcA0Ze7v/q6sQ lI/wwt/ekEVJqjJcAIqwHhgeXFe8H5p6Wf3OdgiJ9tZ+lP8yHWDHDTWSeJ9gtjQzt474z9N1SJQ2 qTQfTYrFPm1nIZkpCVw6G2aXATg6FkGWn4wXoxIY5Jn8pKiULSoM1VZQdEPuNb467EGSYXNZS8ZL P1YohEtinOUENHl7Ww2YzVly1VCDM/Hfxqsv0AN8rx4SMgHiLlRGKOImBUFKHt3ZXb3L3RGcyVPa TBl+YNJOUm6w3ZCAXufyhGwzfbXPsszIhComzhjr8b7YAD0FBYmvZmhptonXtxhKQ8ptml+dr5Vv /BojJa1ok/zgHkQOltk84k/AaFcNvG2qZlNFOuC6wOnsAcRqlArYIxfluI6vweItGG1IjHQqhpm0 k+0xQstHHHQgTb94qRW8VzlZqLSR4PoSwCeUwD8ng0Ylb+JrjiVwkPPmpMRAgK2fLXHYW8QFopO7 C/89viicp0iiL6i+Gsv8fEZqwBXDaakV8kDBVSUEwPcWQY4tbOz5YpJu4SmMCzOLGOD05GFX+qzm BYfK6gn21daeOLm3a2yRSaIgi6+iFZTXrXB4jYaI9TrJYA4ewzEIfR9JeIWaUod7bsTGNoEBOvQJ xuQdkyLacdxGTb1g1f3Lc3a3RQiMGvcn+COXxKG9elzF+stJxeKf51tdEcf6D5rmAmNht09u1uxq u5qfxCOVdoeI7JFMkuqtnDLliFzWbWGGiO4jvdd5g2PdG6prSYXwGVxiAaNRUruNHzEfFV+AA6PQ 7/kIHwHdGiPGR+Idq1Dt3Hjy+T3KcnNODKxcsss89bIIU02MfGuCdd7OmB1po6xlRh4t25jjFV+a BJiZNsP7OgtyVeY4jkKnzWHHKCo514b4Musxr3nFhfVq11pq9xA6k2MJrBijlf32exlCYpEAuMWA Z09ADQsWaOa2DltgmAcV4gxQMVbF6WJ6+gLEAx7AfbiHLWKAJftGTVLm22teNh7ZTF6/a1jFwHbD XK2CWBI1Q3O+dVCibAbRzkAeOwfBwUSb0jbT+IXaYRla7ChCcS2hnaLSvfNMD0bnq+qQpBOZX3fA Pxo0deXCEI5SeJ3fWbz7GnfxymrBooS7DBNfoELYAkBpvB9smTnF9DDotK9m15ufwprhYkqWoBWv 30zXa+PNauyjOSvIb2kYxcS16zJdl7Nj1+EbO6sMHr2H06sF6pApAeUdeXagfr83N5w6exNryR56 cprcWfdTvHwcfLbKVpdJw/dBFZ1QOq04WKjrQkj/iTqOY0g51hQa21qKDt11aujMvwNYiEpi0giq s9dHNWk5tv6mww0pdIx6oQWg1W3VF0f4v4qt9clD2BhrNONV5AUaT9HiYc9r4F4OzuzRsS+UJlwO FA71V5XR9ZAJjG9ezrZZX14HJL8lFrccGO1uaSF6afrZv1U2cWBUOHOy14s/f1iODjXuFvOy/aqS wFh/Vf6so5BDcdSRZFHulsmlnwftCVmkIvod6wVJnM/mXOVC1r8XuJf/DWanYLK7incdFSbmREGS OkdV6jx23KZFayIQFh50RBNvkB0WG2t/gV7nBZOGTWqo1f+8toS393Bx0ZLhA66loMJOttkS0NSm nySwG7jn2fDgpRTp8PS8XBesCMq29HOLepQCSPWne/PIwMtV2E5j0ruwJD/fEWZMWr7YWUgwEKY/ SVOGmYWN9+tyQNtDKdEEt6zR07G2DLdJGiVsz856Z/+VoSk6zVR/PF+LDe/GwEgCkwiNF17gobs+ 52ymsRv/rPbhTs1EmPjKHhUBdAKbkCBw6rBf9nNvOSYleIl9LenQwZ5vs75mUaicNSiT5UofiznY NxhWX5+cf7aL4rRwzNQPCpXYJ9plEQPqfoJCV5QxSds+wezs7lwcrwwOOqoL+BX/NrehD0JQO1oO tMVXCNTWyQy+97Z12RagQM0MjP0JNeDaBmkPj2NYuEjVvZxUb8/U1SsCXNqUyHT9muGcUXoeAFAt rBRUQBeuCAA/2qucge4clX/0AxpF+eUPHHm6wIztSD2oCR0/TNA89EcsTyER3Sm4vcGi1eCMdpva vj0Oc7ryIb5yOLbTwjm7WFy5OKZaZyBoxqE4/9baHNYrW06HFUCNMZIcN1IsQAYvrS82B2lXQMNv sxVt0OHVfhwZhjyzRk17mSz2QhjyPpYjj2N1HZdH0omorfM1aUYJr1cMT4hr2PSSRXsLplkfb67/ phhp00mb+Nw5arqlS2gxd5Kc9NW9RsZ3R5ZRBrf0NfGKqoIpa2EX5kcqDonyuVwMOtFuCigfYGNS twNNpACjXTMMLFzzHxO0NfXMJDEEDuQSceyJ+n2qmRwI7PJZp84wy56JXl8+L7cIwimaA55S3QlP Snl3I7Sfrc8gp9ZgGVcLR/c8Sw5f56ybyASIujlNP7PFYmZetSgOR0U3j6a4K9eWacEKdCCLlay5 gAloY1kNOLolyGKPKUaQCBRR0BIbmnzG7ygYDBrlGOm9FIWw8DG9UtpOEQO72ct3S2lQW3mIxfWx 6qU9jzONjsO2EZ/WEKN6FqfV6lwPBAcnRqxvTGPkyw2miaY9DtrjxTomKAhSu1+afrg0GYwEbv+r oRyiIgmlHZfHglgUbwqVRFRorZ7EHYq6L+LMpIg6iLe97JkuqfASibrzdkpnqaVg+TmatVWWOkfm SzmHHLouUJZVLvckGjmIOaDTB9rzu1JpqMNjv7n4ADv70rFBNe0tAkwkvyVS4wbtYFi/M7q5Rogn YxLDyOoi8C99PpDl0aPCEDjcH1lIhMKyZlL31SSx5NbmsVnj6JyV9Pp37glAUe0aSmm4lcPCDUAb 5uPqd7SNv0ahoCUnP054UDuEGzhe6Q8aWh3dTDmX4HRJtUcnPR0RLTo1b+TSQF5izBw8q8Lw4Brr XQKPBg5BgpfrGHLNXZ1DSe0O4fEfxZFD9u96anLuzlofbfmmYfZpJDOZIvgtoR2Lt7vzxb9vtR+Z RWaF8ossAR0ruA2y1S4a66WOamnI2kUi/6j40RBaWDTVXrhBORw8NGcOdXSotlqFets3w7Druizn lUpPPCwyVF+89kvROUoCAryg9/bnP0Vsx5mxp6hYrICA4A/Sx/mcZjheP6p404+4/i+W5PzbxE/n /lh5OxGfXrFmPqJ07C5nXyolqIN7W30INl8EMXYfXWieNS7dzn6yVBI356YfccumpIr4AHscuKdG uxdRf8k0P5DXHoZ1trt/1/fykyGQW83/3/aRj7qLZU1rv0OMeJdnBUB8eyjtZk5oC0hLT+AFgymA yTH9XBG97uP6HOZAumOev/JD/gmEqMJ5p2tqknlyQWlhMAVVrtX2/W6m5qAUZDCtAFlh4QEC5vcO HOuKUsI6nStfXu/ueQb0kBxs62nN2I0nVp/aZUKeAgJrVILBo/VUBKFrM5EocRXKOod0a2VN9+qe KunAH9hE7WEkc70DjoWqbjdQY/oa3cM96BqgWS4hydFDbKDAYtf4b1cKHtQ/GdETqoAvd2N6V8zM KSbAmISrI5aKGFNZBVPxhSWiVOv+XQ6x1XdgPg9h4T+XIi1ZFbrivjRG7iWCFyPscugu6/urHxw2 MRrBGIu6edSuw4yaAa4k6EEJDGkZ95ePOtAT5COjL6ioxOsriweLUnB0AO8446FrssThsGWp4Ecb w4nPOSuMt2SZS/uPoylbsevErv4j3cCQsilFYzCgo+EfZ+TqUG3+fN3iaul6kzJDWyiVcOZy+xgH vdIfCwEmN0v2HbOQhHD1IP3eUHsnPpBYbcEhhxoQBkwvyqBjiGntaoGUUUUeGx2hc0GqFOD6asnQ OJmnBH5iq6A4PoWZ+j5Q0kDKD7Y7hhJzTSgm5taClLnr8AwNKx6Y/m7YlpHDQZGeQs+kChzSMWF/ f43fecNhYl+ihUNlTFpQz47LrtsKoQKqNTXwnBEwmBLgFLszhFqXH89DC6KrWJa6y/EdqiMRaxWa sdgQdRqq3tntaod2zE1W/8ZTp8Wl0T/J0LIf7OB9iaW9tIVRZ5LlLgoVZUnrMb3cpy735H2dF6s2 qQnPdCY+FRj0K0IBnRZRRfxN5d57MXKS6crQMHEImIOQoNgIOv5T+GeyuidRXEtOR8moeAHtvygY Zahckl7TyhDc7kXDKgbEjVRA2mMVwrtAyeMucfe5xQN1NYlEPFivLZa9ggaf51HmNwKljKHvTyf4 5BKihbVvTkKZopDaQVb8+NSPv2myXSqbr89C5f8abiLt4EfyfmsqcZwWzSl6MdO4ZC8Z5cJUr3ti jRHGJBo1i5FALo+dVU+wO7pWtV3941b9td56rKK1eP/zG4P+O1yorXVAU5C7bQUnaV+W1cT+JJa9 D5op/riZtmRx7+0h123vliMJWUiHYkrrOuvLuzlOt27Mw0AzUGXAL3CJlm0mesk2lUSids53y3kV b+ry/nx8Ak3jp9x6VnenOmDlCvJHLun+t/wKP/KKMQVll7PtuAa6jpYh0/rVWpY5714Jvxv0jG7Z XFzFrCMCuiz4HFEovow4evzfOnB18mg7wB+Q66NeB4k9WGmD8dn8FN8RS09SExEXypaYxtQSngHG CRumqCbZwrbYQJXnAyHPOGtUXumSIrPNFYmmeff4c7mUlaADUpx+ib/rU39RnaWNT4txvykWYIL2 9Fy+5fy8X7wS56C16fkFrqxilHpyhC5xllfGpQzwxk7fsEzK9F0B+sO9R5SiaiuQeOYWNE7VbnIF v7kSXBZW5E8719Q0D/GlxCnmqkDfaVDuGujX8NXPpY48w45FaogBLHnSSUN5tTb9J2+1Tfny56UQ Kspbf6mfrQC/191tJlQbO9BPMzYlNPIiqH9eUD2imM/0Z8eD+LBMGWrn4t9kIIpHHF2Jnv69GltE 4IY+K/6/kN8KuRYzNC+RD5xix7Pi67TKq7MkXspPU5oAYlsJjVg2Rv/5DpWtp6g2Yeg0f/lsqFxb M1nRM0qOIzKF8Quh01ZEhGnJDJLcnAi0Y5ZLDl3ZTBmZD6iH5rJ8U0t87urgPP6DchPRXcZOkdVc bPgolFNooPq4W1axPaho63/t8qeEqClXg1NeWWhgRqfg6Bi1swTG02Oz35R4MvB733sr6sHxCXS3 EJ8P8pUJzRK4lOzsTRTXVs89IALs+s2kW0OY3N49Pdzu2lu1ey1oYXBrhVd+uTgVN9LR2WNsKRvY C73RtOTacs5ggSJ4YNiIoAtQ54dQ2uQeGfCJdQ4LW0dTfzkqNg6ijg2QOLSj/bM4tw6DVHJiCaei BLyCNjmQVfgd8047jV3xegZqDH3dNcotFe6zohPc63IybL14ED4vlsUtT95FJn7C7tRXTkCGSCq5 WPPtMpiIhqNnpYFuCW29nUJTLQHKKvLWfaLlFTKM2CdcQWvq2YIut8VAMfbhSTo+yt8Wd9HDQ0HF UtDzXi0Afd2sZC0rYgmvGrhpWaZ0ytBeME5vd3bQQZm7K5Hl8SStTZ2zGi53qYh3AHqj6V6Oyy8A WJ29gDweMWuCByT6QW39SqE9OIUnDnLGWrF4oPLKAXiYTKd/kfLmz/FgDtgdzKzB+2yeVDzASo7k dRgD2OzCAXC4WIKCiC0FtAiKp5wZVG/ylOdUipIr4VINCNpwV57Me9J2cb3YFUdSLMrHEZg+KbLj 2wjoZNvKfvpwAmThoLKOhigyRI9HipA9rKM+yNea789IK2yRMFY1XZdo7h/0KXR+Z1hN6CI33yiz vuwHR+AhmzVuOWgO6tdbjZbY6vgZsfVoTxejDKb8FR/VYT/0RUQiYiZTWMZfFdpQbhhhNrce6GjW aCsEFGrsBEY05vb04T1vK7GYjvUWxRnL03yoNyGib2V+06zX9ZVfx4Of7qappcLNONSU94N6sH/l FpjhuDVojbtnzcNO0baulRtuZJNI0Oi3BLIHRXWlwn4gOqF9/xi64MhwbgEDC9UOoCmp1k9AMCLW TGkKg4cjH+4xJMJz2sWCQSTSVrtOxcjB0pxw6gE6LckWxJT//v/kAM9sQaxqKExebjwJY3eYSfcP cpBAVZTiHGrPRGxsC4jDONl4PNhxxG05+rzOWHhDm/rwv8cgNRv+RurFxPyj/HlXiA2MVXrrjJTR x61Vvcd3NAUBPLztzbkNdu6yaTVBLK5Odwkp7TUqyBvHgK3NE+e5ETzF+eO144jYrzOefbHonPJ6 7ZJvOtAxijvfSbZZrz+zOAm0A3a8dazyrFI0p/MCByMgYUNCZt0A7N6y9XmASIr6MAlOG6LrkC4v LkVuTJcm/5ynk2jPqJA5uSbOnQCYCpYGWN3s9cbZU9dhv+rSN5CsNT4pbHGmoVMAVN4Ow2O1X3Oy Lur+8HDR3H/o+3Tw98aWqyg7Ee+Sn7QWW+pH2LKTUuzbKbfr5L6TLTy6UjDabmIg/kVcKHungh3d Laaj6mtO9JlI0SvZKRa4PNkgOUkjw19FouyPmKYOjGuUNfrsKiVCgYika5sZ5F2nVPjtnvoPj/53 pjULVwEO8zQFOs6tQwT4Eza59uWZoYrjfWVn3APm7GWPevnjDESSm9tp4Gl4TXIMyn2OetotoDMm NIW8JufQKF4gVBMb93BuLpaUW/TYhk/vSwvA1tMRoHSV9GCntViXKthG06jWH6RpDvG4gmyI1ReD LV/BKIsrEyuWzFS7U/3UXHxefToiGuLhRC+hanEkaT9piqDEp5QoLlK38b4ZacUENG240Vo0WCrs basq+iEUriWXBmHVTNWtsj9tegykcZnQsVJ/GDk+pxGBV147B4YaPpDj5IoRl1VJ0AKemJYpUGXk tLGf3Lzj5bsFdnDhuuQEnAViEcAWJ7cePnJitG0zduYVV4Vtql5cVbm6FGUE9kfTphNCYk5i+OUj fpxicYnS52De3OlcRj4vB8NA91MJn2/b/CldorlDMGl82cpxtwH1KWXtCoz8r/yMxa9YFcWKiyU5 EGDP+bOmxic9wVdovNRo2EIXMw8/BnX8OyfzhP11BR5NQM08bDbxbIKsSd97IOWZ+MX1FZiP+T9N NOQd8Pg7pAsMmbA9vJTvKwCPUS3/p/0Ih4QOGIJebtneZFx0wREHLtH79Dwel7OFBmD3i81O+OK3 N7fo8GAx/CQHMQV3s4awpN4ruLbSrNjfltlwoYhr+qwY+CjIuZwhuA6nPaFqPbq6E9mknsmN9KZy qKjTR+JiRKur2pdeMCqEBAwJYOdvm9wWeauTvwusSXprPiS/y0J5q6nbb3g0n2TYviDgt5WkUWMx QfSBWvdvcmHC1tQRB7LRQYcpf1XfPFAurxlPQl1oFhaMmJaIsJG5YeXFGIsA26Lkd86xXQARRCr2 sSMzrwvQy0Bs6dwf8vJiNJ3Dg5XayqS7ClDnyt8cPdl2/fzL3aDM8R5mHYtmpqGVXLeOLSjSSSWg A53xuucTcOYUKRiM4+4GOC/KtOPshLn3JgjbtUoKADZKyBzEbp4rqcDAoQrvL33Ym55GyWthKdA1 zVAmS23Wr97/NWMBVw+sofPFpkr1tqo35Aoo6szD2Yamvd4ItKJyQjG+xVQDumv6WiiEtbyRwWxu EbAYsl4QZxmez0apkZ0FP6jQ9/aO1gtZCxfhQTbJ6erdFuW7Ydowc0rWKdtSrjpID0Bi358gFcJM K5R2+7PAINJpRcFlzHML/jDOHQuqayEBfNPu/fTeYu4Se8XOxaPJj0AMsW3awPMzGY/n8Lzk6XK+ +pksQODYNIUg+ieV762+srKGwxSs6HMooBTX5ajLTJv/V/AdtuXQWZdk7q2xk3Abik42aHEb38Hu KcyXpWOK0I1MOHtx1m7S45UXsvzXoe0+otRKjDXz1uE+WjtmJi7LZNJZ1xLEhxx1MZjgjCKXDGpe 7MJPnmeGC7QykkdthdLCL9yzo4YhaehrmZF9N/FOokil42l3lIiccGkH8WkY5tlM+fypuRj6tDj0 sUPZ5uIaK2BrfWPov4NbxGyvWFlMAum+TH4vYh0MKtJeJK0O2wrZP8u4AqW+UYHmf5nu9mbTLNNy eEigso3urt9H4eF73DjvvLso7nP/blgKDbLIdqd/IQKHE6ZTXvNO5TWEn4c/Nk1K2xkpunqzEpH2 vzCu9ecvFcFSzBwnm6nwh4D/UWQVHKauPU7c8TzC41/gDubZfkmYTPa+QCCfMeKK13SCSrkIeOxx Wc6E8T7+Z1D2UPhWHqFSiKgE2VikTTPjS8WTTiF6AVD/BRJlkHYvDAM8xggJPJFOQNdFcs2Thgma oiYMbdwE4itwSG5+QHe3r517FEwTywUqD1cPFcXi9ZeZ6JrVc3QsoEhYAnQJ/f7D0ARgOxgRPxJi csPaUDfFlVyiGfToMn04uLogmIMVPWLdekZWynJo/V9A7daUgjTd3m5d73TwsZyKvmmdRTJY40YG vRUafYu3bglq4J1SP7TeNwKWPvSX8sjQh0WlmBdzV/Ww/YQC4s8urD7tORuyWZocQAlrtrSmUT6U 8YaeV3zw3++QBcyXbLHcgx153r51mXvjF0CLY5Q8yTZ7yU5RFDoxlxqTQUPzxYRVomJJP7lUdYZx Kh+XU2u5mqSe43ZKJpePi61teNnN1qCayw0oj+sYTxtqbjhNan06sepaOU5qXNFotQsODZ80GZB3 KwtCfHfJGAwHAtISF+FzB7lebJ5lEJUx+CIVO0PJW8e3Xtxx6777F/WKAgouptP2iQn+FdcM4ILA r+xd+VXift1NOEVGSmtzwCGs1B8FVKk85hemOsF3fkVcE/Npdu+0P0u7V/dG6g6/I2EnAvaZwN/z X2DWSgbdwzR3jfpPxc5NaKha6rgScBS0FQhRr1MSUmLhsn+ELvMb1gY6B4a57TGjewMG9VmGXnWa +D5eSdzWWGnKF+5PivbmHFPn2SRFOhTr6CQvkNnmOIAe7VwtU4qUbrGCdkRsjNANixwk5O3fCoVy LcSp2rfbABNLlOUko37uc3XJkzQBIwl8rgZQ+onj6b1G+I3qayqHSw8flC6GjIMaWwoJrpRoUhGL zb2G9n6iSANYL3Cqvn4mE//oZkW6Tq4kEWIx7GSL7d2gnLZDxIoqh+ANJY0wSGGxILbW7WwfHsWX I7hF8JSYdE5jn5G+H7Y7sg5Isd32WvGVXogGMi7GfWbPNy1kxEZtCytBD3jtbwXFrx+j+eeMi34n U56ocXovcEtiCkIpWTJDRR+V4Ec6QL2al/BAxqgYBwa1Y6W5vXp0cTPXX45luf+qwItsHrfEs+k+ QCU87CTB3nU3q9WcfH4fBYjhhfaHkrtUuyQjL1/aQV28guzFIdexmFGT2WX3DrBr3UnuGsL7mpH1 Kk7p2sybS+sE2PCKO8gsf4lDqCMfxJtajGriicIAR0Wva/ek9ykMavYQeXmh/GilgEBIkBohEPSZ CL08Ewa15a+kkvPOjF0Lf0D2JVrBKSDuAilLQHPVMiolAFBLav/PVPCSv2fL5W5q1nEyXKlD3w1J /fL9qPPVy5KCbx1EcUW6TT+W/HtSi4QhXNEaVcBaX7oA29B8aeykd5JoXWdzS/m+atNYYWCtF8me 32aGqjdZDs8m0tZI4OBuYGkMV8Ghghn57LhzLITS/CnJVBh33ycCo9rnHe5K0Ku3PkmgiMv+3cbb /wZWVphSkZQ0JafmBpRIdRt2dBlhwEnO2diMN9j49jUMvsA8+VTohgfvIqWDBSdelvVSYL/ufjTJ 2QPjypenDY+5XeDZhIHXZHlcDlD1Y4nsgrYkbsL0f2fm84Xi+0qycZE3wAgqbuhdPEaYDrYZxp6X id+uO+tgRr1lmNfXgzN3qd1Az602wRKRHztgF/xekU7AFqQEvJbjs9JIZ/OrVGfF5jwFT3d/dmg/ la4cWG2zGwIHTa3DREGwo7jvrIOalTsM+sTZOxIdUnSHLY2/XL7FYaMH8cJDyO9NU109xm3g66/G Lexx9NqSIg3mjAWaVPAd0VoONORrUhFgjZjygm1f8qgpv5ldHQi6x8WSRUlW/yuFNQVxd5iqzQHY KbzBPHEmnLt1E0J3fy7DArZyAbzc4OpeKOH+eVpDHtSu3dFfngn/ZZSu7vBkApx0Usa33kxrRN/P hWcYU4KEoQYXOY2txhUXXvm3pzUQTvx5zgExt4eO1Q15p3fvLVTnNXQaOqAiPjpmRBksQBtvM6jY 14mhJFNW4gXn9skVDxN0q2zYfkd7wYMutopPvLjwx4FnvABY/y922G26VAoMltb5CE2r++pg99PM pwTu+xImXn4P3+rfVIWhF4QkPqYheEHOCgxsHDjMsSMuc0irk6eGauhKm2Un/Wtk4tvMse7zqrfl aYxjVkCs9kSbdDyI73pZtia5YMbKR2tawazA6aw+q4fyTWCeys2GCbajEVGWzSYVHNJI472R4U1s xJXddi5B7ssURyhpRZmJlE3yAVMUSw+ic7p9ZMeaWp14t9REl+QorBhA8ysN62K+qE05IeSo0Py6 4vHT5NZIMKmCtvrIJgtq/eF//VSnpRdbhox3vDKnvVZ/TVy7HiL0qZYc4wCtDb/JK5Llrcs902rW BaAEbe7XbmxkGrA0j8znK0S2gPC4V4lBiU/HHXqaIWyBFkd6f/tz5QcL6SExmMUyEeYH6HTKlbkR XD0VRBytTWlJrvsuCjKwX6FGjJr0wrG5fOrAqjQ1A8oUogvXNAZoct/4z6h/JccU1xd14NWuw5Y7 UebAB+6zeG8AXzcvKEqFC2t/LZfwbfPp2I1lM7YejuHYk8SWgaWxz4Fb2svO2FnJx1hNghVja2ae WK2WIze0FzUSJdg4BaGzihh0ouTDObB4bAiNRKir7eIbLijoYItoi5WMKh0L8ZLtg0BLEG+fFXEP XqG2CPO09YVEm2s5aGt4IXoTSdMrfxYCIAH4h2Z3I67LqgBfYjV+4LNL8Us6Dz1Vg0qBIPkKISKK s3/Ll3A78pDgPtWYr86lisNdA+AiKDFuvf1o32Rl9HEThwKJzrkzKzE40O/XIBgRQXSXORbzJrHF k8xR18FaEyertTm/YhFLFVsXjP/JVNHZ+rzklTUiHu7WVyL1HQMsqi8G2bKgkmy9+SEpr/i1cVmf uP5PH/GanAg7gLF4AvBMYbA1+hWJ5fH2OYy5K0FYJ1YGSfT6aTyAApoKHFpCyOE4uQjSlPvRDBuX LRALkO7RSjZXvHxBMgmMs2JJSYP7bmVS2q5YhLjvQSSlzX2Bo4f5yrPYxd9eGubGSKGJWu8G64+6 GwiCxYp6ydKvl0hsUkB2wMuEvzsbDYVyiTZq0/cI45d2pWx3+O4a/r3Rjp7fFf9eYEd4IA0EuJx3 xoC+PmgF6m+bNIdsqw4wTyhIBdifOF3fdchuGhB5jyvvooydgdpp9QQB7RFyx5tCfR5xT3PvdffS 5BrMPPt1GPFigDcTQsm6YPY1Deg8DmKOmef6sIKfFuTxEWFW5BrYZLQKu8vvzjGZ7akRz4Ubz1CA KCI8dHgHAWfsYo+qPfgWf2CIj+cSwJ80e77L1D1wZrzgCqGqS2rtoutCjQ0DAhjDVXlsEPaMm06E Xmg0g/OGJRtlUHbgGbPAuar8TpZVpwgbXgnKc9syFtpDtpl5NEB50I1nzPKsbkBxkKxhyM5qvSVZ xSDBt7Zmw5aEPhbHDWyaCa5kbaMSKZ8P2RbWjjSdu459B/Y2HOphFnk1EQNEjw/E4S3AV64tgoMS c3wWHhGyXEzEJyV3BJrP1dgISWT8RiYT21v/0oJBjI9xEZgOPvdMYldOoJhduN0M6t3VpHXa43e/ AhhJNe+wtaoE+Iu88DBnCeUKrPdzsKP7prGlK8dJNAkUOfsDGOjEwYL7YsQmR8WaIqUSUVVqmRRD cG0G9gns9cMzF7c42p+4OdADB4LZ8IM6NeFqxgvzZafbTe8d5igyTa4gvOICZJHchzprmesJwt9I L/s8HFagJ5jknzUxQFDFP1LXkPT73RhL3Fg9H49yrBFHP03QmAs3nJU2jk1pckGEovRrESoyq143 Bvx44F3VPWYSSBwSX3rFDDseQXnL1+iuYU8tD93wYbu5kL/4I6+2SfzWdWvx0faZQaAZrWeFRdzk qJNTryKRdgJfyJaxUDoRsCs9kXOUSu+adKP9HzWwoI8xpSpj6p+TV6rF3V8UIwbWHNzu7BFrZO/c qO+GtF6e1186fko69OG6GjFPzPm5mWKa23owIJWGPe4pr5Tgf8NxCHr52yGRNcksNhRa/zyFb2LQ M4BW2f3AQKuQZSLeqBwIBCBI3T6kg0axqfJFzNI0Kjj1sMfrc3jRmahFHp8mKc6FgjdUlW5eTHHt gJ0XLZtzjZ0uQZtuN9M7VIVGT6fKhludJEbnA4d6K97e2wY7f+xdWjLLHsktgbngbMT+FCAnv9wy 5v+nKvaAP/j+RVSJWSKfC1bCshSJ7b/K3N1pnxMpLHLSHJOw9eNRn34WOvEFBm+CqLbqN/YJ6o+h KQDRNpCRDnOfDLLZ87T/08Yd2z9I+j4OKmRi+6xSZolvny2m/jBu/ytWirIdsla72aejiZweijOf KlvZ7+8WcVZkAAaQxWgkCyO5zCeq3/arqtlJ0heGobhRBkt4WyVipvdE9bUY2tPrZseqJZNI0kO8 aKBBujKeKIHrRDO/xOvvrVaCHA0/gAtRizdWRwOXrEb3r/rAnNxj7DvnDh78CkSQ16QJLuroqeHf 4DTHI4CjWg4nO3HpBjKgd2k8/dpQGo8Hx9+XHX57aoOwMwYOw9L7lx/xyZTAaelooig1948d9Ge3 UkRwZnCN02D4CpANldUrLTTGkogCXOG/kSpbaiQ6BFNCnPOAGODYf9wys23n0eHFSuRYn5+zBrr2 zmn8Z8T1hI9reFrsi9jSZiJGOQG46QG/LMatsAl3RlHr2pMzkwdEvFniRfFgjkZ9yG6TMXLb29L/ SuoRyaZHzycfg5V5XqRsaYQXbrdCifpMdsn6PBYfeS0Avl2/I3wVUuWJHz34FlItcwJtEfPhB5Iu 5p3M85OePep+DdjrVNZ4NDIBXL5P6OiaFKQ5n/1DzFwZGjrGRJZIFI/ne1NobrnG5ucCtP8HjdTx 2BMnKmjuzhk8V0aTqHu1hygfDD8NNjeFhgPHXSQ5KWwZ9xcYMcW6E+ExkJ5BFPk7qNn3XyvbGAh+ KHp0DiPRivNyD6ROpJXTSoA9ZBGhe/93yJiIOXmaFQ3Z6HWKrgqkXcncEyGLVm/nTM4CHYUIoRyK +trScNMKkLsWMJ0S/klCtf9Qa0LU85AtyQSIbFthpMiSnNWvJzWFbymumXPTIwWB4qyldj7VZjFp SD9aB1t98fGcioZwO/hm6X8OXh9xtmLZbeQGQXzhhNVnEV8//CLnD6x1F7kYZ/akR5Xuau7TCmdt 02tXQlO8npusie7Yctb8J24GVBGmF6v43uov4Y/AOfbR3cH9VGb6B8sNs99ZBf9XcpYw2SQ71Fg+ AnipKLGQPVd5jII66RX/+mMn4r2HdGpT2XzTGXN1RR1XGUFO98UA76+WJJpymoCkLNjOXsMdobq4 CtVCrG9u5ru/514Yo/crPZn3gbdIM6v89YyuDc2kBqB03M+4Y7DiCz+dr19qOj5ZpZAHasboqUXX Xg+SHeJYvQJjq8AjL/YFJrK2LFheRQLSbUZZF2X6qlnjHHm0dEJ5Zg5ItwxYFwAVDr62EdE2KOpb y24dhSsFBkGREmIdSvIyrxK9XnnECVLQfmk0x7lofiWGAJJhhkZxdL/4SdfuUfM0XePyES1I1MPe wtrpPkJq3i0cDnvi+8CAybX1t7vk7mfjP8VPdiWP15loTB3OYsS/1bdWU7XcsD0xmsrN39/kyh2v FtKYdAKagkc+R/HbZJI1tqjdHwzUmtwHFewSARsgkOiBRYAWDkAmhNB4uoL6r+RDd2Scn5Ze84PK 5LOsu1d1fEnruD7/KbhuMVCtOFlsSKULiw5lCLd/7QjnPrmwgiYOPSpV9pZcVLzoi3y/iZfZ8JRn 9aSJ2w== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XVsO2yqxkwukTlllOn9r2YFvQqzUiy48/orH8u9vgz/yp3gdcH6Z7QIsny8tnVuyYVfDN3xHNQSP ZBqRlAk7vg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G3dc59jE/g9mtfsBmUJpeoHtG1oiTk9X6hpCWoLcEtHbXzrFkSJfY9lU8w/n755hq4O+nNWzJVUX wVVmSyumBoVkin18a2gUGyvkbIWqkbQAv7keCDUoK7RqViKd6uwwRmzPi2nx4VgeBRAP/9p5KEPR UiUCkOdZfbEEfIYS+mA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ro/UU7PnLADjtyzOlOP8waMmJmPHAmHmK8nV48iaUFVhFKy/Mokvr6jh6kGGtW+gwJcEM6ccLpOk d2J1kC0ztrTyjOFGofFmmNxUCYjPV9pcRoQ/T0lIBx9qTfucb2+CyFoM1/MOIiEhFL1agd8ErkFn 2+LsRKJ/Gl9oTR4NPYE3tgdpGGT+0p5xq3NTLpGVKgRPE/18h2ukAOn1aZJkLkC/G9HrckxJpbKd cb0vZSi7Rv06SGket2yzR36jvCqSgqKnFlQW+CX90JRbfvvBBxIFMkwsREkTrqDGtniglu6Yl/rP C0kVerVtuwZ+jMNo5C/od2Fxz+7kuAh9VzCzqw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EhKBjNXu3mek6PkrS0g2ZPilo1H/mH+sxvgEHwDRWXx55bXqprU060n7i7BgQZI63fnQEoCRaPms m1lFTUKLHnVsRkyxWZb3ANMwy20GXgzMgYOU1lwGXbpd7Lp4gefysm2dIgvieN65a5pUr2oYkPh1 NC19S4yrp2y7UU49p+E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CV6BN7YiqT0kUWjsnLp3WqBSNY3PRk14hT7gW9wLTKoxQ2NTgRKLSUMWb3+bRsvr3DiJTufrl84N MycLseYka148znnMSuxvijEXz3Vo6mpgjjlMSj2W1z9jjZephpN/1kSU8TBwbmGNqWO/SQY+Aqxd 78h1cC4hg8cl0gkKZDhCDXHT4kOSXjCclHbDwB3ImJBI7Fn7cbFFixga34FB4xsMfUvXK5UQiSpg +K5jJ3uCehTX3T2OqhhHu7pPCr8evPBopemQw5mMgd6k3OuiW81JSLrkYPsU/5hG2rCsNlzfLjki mgqrocUmzSc4yiD8m0uDbTRyMmpijhJ4G++DtQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33520) `protect data_block Pli5VRS/06nlgbalYaY+4fBIHlSNoKxk0//1Znxtgs7VOsONCFRhmLf49YvTbgOwBBzK0jWVn1sM nYVk86FsO3XbxTI89RVuvH3kHwSHdJ1peVcaRkEJnjVnq8BIEHmFKK/4DXm9IF/AtL8cLa7Ppft4 apcvCu0XI439o4xsyUUj0xVPE7CaOSf/ZHGqkeG6n1cq1FdR49F3FyqhTzgDHgQbYJA1fzDSrcBW LQpbQIkYC6NWlSLHJQz/G4Snp6hGASIbUY/GexdasLukwlxOyYXZCazx6c8l7PYXuy5M+PvV1FEZ hNvBbUpm1qa+2jV/TjoqWlwkUu4xSxLPtXZInIFU4iw/g3EDRqDk3jYmdq0Srx7sK6uyUOinnZRb WOn84OFiyCfqzXn1HTIBp/DI8VjVuj3pnHOk6uEo8Da9I7Hq8WtghoBhxnymOAFy6zq62sti6Pbs nsFX2iOjs9RaJBGrimGEoKDoDKg8gP6LhwQYpwSWFRrIRycTp77gqRlLaTnWzczTGYvpS6caBuYZ zyC3M6sxgTHktrSr0Ab6iPw04BarZcH89NCjRViAjfNpIna5cZijXDCPmsOYHJqnwnaY0qQg4T6B I8q6kogVBkuh+ze1BA7gN3dqV4p2sO1kuBtK6Iymg25rOQpzGKuMdnVdXCNg+xm1/EEhPwO5OnDy cSkV4q753H1X+WBq7b5rTLI82ffoFqRJvsJqS4NmER0D43Nvrw7LDFQZrrlkaRnhuhNYI05HLCWB 20BoAckqMov9cUDXjUGwoD6/LwCF/NIBrU2GBb5e5ILwoTTYykaSXAC6OCOr/CGFxivbLNDkVtEv 71fmqstI2ja9qZzIp+6JSOU+RzEIt56nSp8w1rifPEK0oengae3Uql/Ut5c04CTJu61w6jWUMwaS ssPSKZ5LdmTNToUNbMy+Q93rIDR50Vs4J24MGJoA7N2sSjyJi1fJV9CWia9xLiiKN9ofEft7jaky XKwu9+NLCdPskgPJ7QImCW53LaSpOia0UpmSUo+0l4oO5epOU4BtJsI/mGVCRoExCtviuureNAIe r14lw/8rX48Q5JWNfpFOSJMmnFT2k6jpScdCB4ZtlH8lkpi5XaJVt3soTE1lD+Sga8I0TUnQ5m7E no4Cs4bT4XIeQsWRyRY7XNm/hfcySS9qFNR/18VWi3nodqDSgAIyWD+IS/xKCz5nc0wbRJE79qxq 3p1xDbYG4/8mUwvKQYv4usTLv7zZ+OFaiWEuacefjSmORJ9UeYTZ1X6E5n7/ZLyeZHleiDdDDBsg U98s4N5JIkJuRgTjFljveAeZL+IIc6WJVVai0d6aKHleTBSEbyGrc1FWcBg8vuhs6ATE5wLzJNlB NuP3uIMuuVXQJBChqhtqoeAdwOec1xytKr7OWOSj83y0KpPKgehIUUEbsk42u9aOoTbG8GofU9zI MdD01KURYAl2txussh18pkxHwwOaX8z0YZp7fw9DpSkG/aEsJea8N4vg8LkfUd9mELtiPXxazrNb PzeuSycNGe8Scf+uKdQI8g6lqMgBPrkJAvp0u38UySmdYUpE4Uh5LXeG/GproWWcSR5e2eockLgJ DurftwM8lK4ItvNAFAlOfInyNWUcX6tr5ILnPHuYuqL9TAyH9LLZBW/+iYLke60erIgaQsGYXRPo evM2Nlo7iOuNIAdNQAyxImMz6dgWD540BJclDC+KCVRadAys6d8XBlfkQdXAb3xHFrnCTYA3gPGC EUsD0JtjZafcjYHZVeCjPZIED6UiEz4+YZ/RJ1qLPAIvic47B3mozp1S/qpx3QKxKFRQpUr7hO+A BmFXVbJkWRzsLBXiqK4PtNthXlWiR4o6Z59Tey5uOAkvu/uteRP9vhJcpwQR2CsuaWJLI89oawRp IJamF099BI+LSVtnMm1Z4GlWIE2Waj7MKQvIwZ//y1E6CczoaSemIMAGwX1TDY1GcfnHFBdCU2HD iHOCxoJl5M205OxORzNIlqlFZt/qfQDfn0skfutPCbb3h5ILdz0brzYprVHf3I2QY5GAVNDr0Lcg tq+Btnm6eudkcHfi2azzATkfGgBnA5QwNly88mt810oQP+daUgYTw9+trdXOpGXPKUdbBp7b/fjH w+VN7/dUYKKRk6vzvmk3YukCBNGp+Z0crKXQKqrVHKxKJ5jJ9SPJ4Lr/VCx0cS5nE1lMZ0Cdt/bo FtZknQXsw3rLYq9+UlsugYOz7VsFlLgnuJKxCrR5R+zVARmi5fjU5kcxb7MNIYQy2lhYehXu8E3D MSlau1ryonKyPyCdBW7I5QbJvCJPPO7qgWVlqhD1G/BEy3foIJfVocrtcL2+gOVfahLBbR2pxE0/ djVhF32tpXu0R0kfBWkrrHWYHYIkBz18C1qEiMZWTTpDMWapOH926KZDlk0G4YnutBxGe7PqyvlR cjIywvrasuw9cqWzKpREVrQ6TOmOSTyXaLjrfzD6RpUGlaNDNAS+5mKPIqmQYDFBDWInq0Wr4LaD RcvGrKkNpPhksPkQqyVi9+Yri2RmK2ElkDeO+vAN/D3+vRuw7pWkpiz7vRbOyfSE6I4SOA2Ya6Gw 5w3v/TmwF4o0FRm/2HHiqmH5kp6y55Ik2yVEkUSLZG6gEL0cMLRlqjJJirdUz/FGrzP90scFqTti Wr+HHqRCSJfASANWnA2D7uNi2oUxPqNDgwoCSFSCYUkwaBM2F67M96IJBZaDv1y+2WWfArxvroKu rx9+7hgylGSnixG4tGo6C8c4IfJBjmwrLtihflhKMpldGZsELGezfvEGFGk9jKcwOOddCj+oWgnp mUKQ6YiKuX73A5n7W5WrbyfaWJuyDiqvgRoYOR4yYlLL+epJ/aUqZ9AO8b6jNZgQCClMtawvLneH SJMPG7PgO9O6s7KZZehthIO4ajd+Whf1aVAW8tmddg06ONJh5ddlBCW5E7MZA/ZZ1X5C0Lkx4g7u AlX49SSxwNp6+/0p9rHUf7nbDFg0DCoxX+BBkKGwD+6tQ7LxcmZ8zV7LCfeS2QfyHJfTJLgtuABx mjZJ27CgCvGswxMpjphA9qwv2IiMBs1T/gyHXQ9cKEEVQmDfvSi//vutnEzld6egKyobcTYxTM4r bIXn+2FSg9cjqwLMfmVDoYA2CD2OPcpqkNeLog3vgpVAyeu34k8T8CbJ/PRuxQ/Nwz2v1xFVt4g1 WIb0xbllphX+8LtSIlRysGm+5OaozOw0GruNwdhtsVJqsS/oERkPGcjX0Sa8ayqWzdGS3mCqPzU7 iatXpY+Bf5INPCU0NH9/Ja/jNTDxhR51nNHh1a6C24j3KvIenViiLDkj0zNJe/dmN/HZcf7zciQW rze7jVv/EJam5gwIrJAXO4Dv8FxOytwOVRvDbqVFRjUXPDwTXOmnEyQtRJhjIQZpoXK8SEZeAKDN 5l/jKnFrppNtwOlBmQ58Iv0vKy/s9OpvDWh//0RvVQACpyMzCJ+CJnavsxHk0XHQowq9RXKzs8sD 3hOOdULmRDZiD1ZK0NzEhKWp3KIM4/dXyja4sBRqKX33LCIGZ1Dhs0wWCd0ZmmNd+4bKp+eSlgtE 6GNj8ht9tDbShh+JGd9O8mvsZLtjI5tx8PKk/jTx23rvRvgc7Z2HLum3LpwlHLWVwo0KAoCvlMRf wOw3RBStw0v6J8tXmuVTwP+Wz6Du7YGxFeP4/LMhDvIC2cQZcDd1FM83ZRVjLpJKmtXN18rQTg4j hVjEwYnsj0uNRiEn6rTZmjMyAgCWE6lOXsJdgp0zv5EuXm1skChJYCe4XJ3f0k4mVA0OKwEMqxvG EHbzN8+IuoPdq8rMkNlYzdG9ax14Yio889pg4apSpOsGn1Hgxw5Egy1reVNPT7dBNDTYeYoOzpEz Z0UenXWLpvOd1ZBK8Y+7eXLQCgCPSqaOydTPlvC2J+TVUNcIlClP5sXVsodvXX41kwvUMU8duBC/ BpUIHrINnD11wju6fYU65gmqhAckkeA+pfKFk2G+iMR6h0YdBmFi8HYQ7K9woON8G7zapfez3d5A xuns/WsdOkxlPzwgHWi2TyR7lwoveLXKfG3XlsZSYYxPo99VK1mIHih4sTA2oNRTBXDpjwhz4R4s oeGYzK1eNNrhY+oT1ecPNyuVG1aHl3xqQ7W+QtNbE3xFvJVtm1JCjH8Oj0LQO3sIk64JhjoB9jav BvSHM5A5Co/GnfnX31yMFxiPHfer96EoNgVcaW0fbUzsnYfwLvfJ6Osn3XoHwOatTDHJcDETHPQu sVOKUmyOBGrhhlJCk5Y0kURlCB8VWk9ls/xOKvbUIqXBKdO9dN8Q4EvUwMd1kepxcUKDXspIvurt AKVSN54CbteddhHKf8gAgStQZNvJ/rnrbRQw2Yei1IALdMATRBIEwReLjUoMxEdXIH9SBKIweP+F rWXovZoLi+MuQoAs0zVWyq4V3hxYi6a/cJ2GPLqWknaDpaAtQxSIweUKBms31qPcxS5rWDrgoIPb 3cOMvtTDusYDB9OCC7USCv1JItIowqO+iZ6zlHnfKa6oozTbxlOrChpEcPPllxN2vLzN6I83C/VM VAq1a4yJZdMuQpr6lc6FGMg2DdslWZ0NdMxTXlBnDcm92RbhpwwFdtj6q8TkbV7h1y3xROrVLg/L Qqkzn0mAMuiTbOT8fBwf3xVejRgkHdJzzz/Mj2eJWsDPSepXxAd5cc2ThMDPR88Cw03Kozkef5l6 RpLY24yTA2eUW/IHzRs38vnN0me7ILBq7JB+r538rBmlm3S+q82/jVmI0NFDBfKHEDQMLcwd+kni 3BhTqJ51qZia0YNRIh4Ai/Bxs5UfQyta+9M8xBxj5NlBwoNXbNdF9dZUAcysRjTGQIlymg+7kbOZ 9jQPkAr9W0Rjr8O39Ot244UH2SDgJ+Sm2M0Kac/d50k/cvdgDN2gT+rUex9doeIvQxSfhqz+obTo xeCepoFU0W2l3iitd9Y+ffwTMxGXo6zl199gf8oEKe7UdGhDJJQvs0dvybxZPNd/GSd+giRi/tqQ Fbn+CyqG7WqBRcV63LYEvGcdSBoCBEkCrzZXgAyyECsoa3ICNLJNdWHyoaFWOz2l/KDUMBUD5f0A erBYO0kbsl1kTVr0ayHv7LHXbjkkH3cD/O36wiS6knTWL4nI3rVt8rGN1fZbJu1Ue23KhL5FP1hi JDcWwPYPehl+P4dH5783fzFYKgk1up8DxjcXrqbSWAu4wQGjFqOD6WiV/QjaYG0JHwI06drM0Tz4 ea/FqYS85BhuTXnc3HQasredyl1x9G/jAK0VnmNacnt1gJIIRI/M1twoFtSr/mB7bm/8YquCttVY wM3U9SkdLBuk4Xzfwdtf+aRz5nqFizJxXHparicR/4Rr3tHhYOFT2HNmoeWxv+n4KsjgeaPTTrlW fsGwlCfNoIGmfhau/JPtbgSdd9e72QOzmFLowVv+o5yoVzoKUWvWwJOYzTEHepl8nEQgOyH3L4H+ bFAuoZFbRYZRzUQFjC9jmwJzdY3Bzagl2nP/kLZO+0WQIeTowzom+wh+h4QbWsVC2J6ELIO/i5vV g1SB2sGiHcjxczgT7KDNfSzOMMbsfhC8t/tmJCQgwSQ6FOFQZI62k0xYVI0wbKXU8SuBza3s4qMX r2YHpa8Bp6jetwI69R+z6fuuqaBK8Sxe9smYhJcF96rGFGNz0S7gOKn6q8hdgzm0PFh40UiNAAcx 7E3BLfaLWfUqZKrkNTnvGWIQtiF6SCCpk6xr0tCiAgUYe4ScomymrdpH07lyNiZ5K38nJNAG5eZq nE9ZR1u7YgOadJuFTg5ejO42zDF0DuCxFIrtZuzZwue3bLgDJPtU11cBJhDKeruxR+wZ7ape6rb7 eX1A0Do7QHT67Ce4RuNcp8z/FflM5BzivsHQUjKUfQxFsiRsYB45BoiKPFUp6KU0UWdp9vtPEzkA Ky12rhPxKKZV9m6KxPUIxEKoOm3BVQwT+nBoWO0lvLLyvKddyo/pUQUxkt+E6xZwGvAXgffBIr38 99P7ZDvDjiY/y0i4AN/FboSWKF84Nkvvf3LZV6VhQidPXQcebXZArU/Di/JJM/R4er8yj7Iu7z6O h6iUvJnEkSKKPmCnlPmOtQ5StOHJka29qY/fO7xThSLkJHpztArxT+KP++vPyEWX5c8F2+CS9EcL v59wsfeiifTH+zQbl1IO/eqFcpxy/fy3HKpxTFi6o9j/LP1szgi496CNNbnnZFn7iO3p57HIFMrE 82tJt8Dcq+KxnApgWCCp3po1NM2rNxqjDMNOnSM+9QbQZUlxQjSm9QhFFufCOROdipRGG23SABJZ kHJAybCmjIP2IPXpvnSWV6juAMqAzcXX5XOMpiqLu2mgFpvvLUoLMC7wRz7OR4rXMnC/Cyvsyomt r+Prpf1SDch4cUcB8F0ovbFfpafU4GD9xtev8yQ9zKQIfvTGIGw+XUO8vGnncNa/cAr0HfNjyAca Tmaz3jNa6CrTzuUGYBnERuHg+fKmEBETIWDVwS0QRcZqta+lSIHQiaOylemzV9yoyxLu4HgMvtnR HRDqzMosovqDDNUuR5swmlUZsT+HvJ8vP+CpDukrDt1jYKLbG3Ks5Lz0xLyRJirNoBeAfxIMQYhc E7BwfyGlgCyn6V8VzNvLaYN3CkyQ3bAF+AHhjl94FuxBUVvO54Z0HLwgJZtgkmjWMCDZIv50X4hd e4YZ90p+gX4K/vYPMeuaVg/GL5aChUviORMuPmVzaNZrfsVPIhi1lH2o9qx42LRVAX71gLPwnk6b nspk9Hu2B2DRpynQ2ATFsS0JLN9RdicDeyf87zbwOefQoQ40z/HMk3OkkR3RF31vx7wjLNZXxkQD n0Km+fSPXRR5OruLY28vQTSnM3Ve3qiPiWpksiRvNI+Qo3PD8f5dNO8Pvb76N/oZHSePG2zaT+pV V/GK4ICEm/aO9b7UyzEPwgIRm3MJfzvJ/IfV6bqr2pWGyEGNEyiGWcXWqwNF1PfL3fwZQgMH2GiN oh2GxiEwk5/XYh0y7589XJEKXfVZ9zFDkk7S78jrxd12bjWetyxXcEc+lDiUi4STKQ0F5aojGfl4 P3jzIv+XVzEbOOmTXODKVznrfzdjeOKKkEHWdElykwJI+YqQEE5jZp9jVKLb0VQN27W0xNbpdmWO hoq4u9Y122DlLZr+TTsH/2PNm+GvUpAy9EFXybvghApXS2Fz7Ey/ET2qEUhX1ebK2w7oz+g88WWF 2pqE8T/4qaxmomTVOHzEWBBKi6W91ZHbbTzq5UcbGl1x/NqqgdPaHZOEW0eAwweXZ0QqNvFlhFWQ i1AxcviIeBHWXoaYEH8WmGfAUirqOUpBqdUdFSNyw2xZ89ivXATEMROLw6eg4bKbIOJaQmrTN0oc ZAE+6cjHJvvg1iham59WIsBuEYcmhf07+ixfdgLNPY4X89sMP8lVvIFgvDKozgVBqS3wXGcWIibL KVfH1KynF4M8L3gnGgp6m1gjqPP8TI5lISoWiS0VskTJ0peXj1sJbyLwBs/sq9jT91DcOQOLagC4 Sg+MvSEWLP16wbaANhOaVzgYO7LDxt0eXxz7cDu6sx4ZMUaB2LmI0Z6XSETpFphLjraq+havTYmw KZKNViIk9QuRrVKbmBr6ZQrsjlARR9FuBRX3YG5p9UBST2J0sX4Yds7I3GL9y1EeFP/yeze4Dl3l V+3RQ8rkmIFCLOy/b5kfV7AX67cXe9TZ/kyxLl+w+CrLSbc2rXjAdE5lE3zBv6baJD5B4y0upxTG mC9xKaSajaiUB5R6FHj8BL15DffFF+hqGl1iJxvNFk67vuYP7GYO/zGE6ZtzdRWbmTyLP/LX3heJ U4+trvJ/PvdDWooIiXVY8fl2itoewED9d+R1wM1J+E9RC6z1l/V5FOSXfJ8WtSiw7TAa3VQHrXUd 3eV1oXSnUo6WvRdED6JYwMIknizG/5aS/W+KUo7fjxOW0SOPAvdTewzmZ2JYu7ltIlTDyloQE0Rd 5pMdjW/2SyvT3WTjk+HK7UUSLpl3r+Q1kNpZCGKkQ1F7qgwgoUfju8a0qf2tl+KA+FpRpqefbPHE XWbifiaYiJdOEKbLxhEjQIStnuX9fklKKyR/fUBXyoq73NlS/ZRmaTfitLowXz9pmj4Z2nQ42W16 raZ2b5usyEUbqrKJPVIrG2/EgyuDKRXE7dsdwlKZGsN0mokWF+IT7DSziz1BX+btRn2fhhUzt1js oyLSCy2qQFHXLpBH85GHpevfxaKXQIjxp1mJXfJsHz9L/ltUJL8cTibiQ9ZNrCHJa73pUHe8AwKl ac8cZf308u2KcBnEzVI+MxeIaIwtkwbNdGQ+klHFgU5oPWWjnhrljPh539PMIiXnUeR5vra+AC8Q tWmxInM02HR4wW2yyqOM9Q98o1X+ViYhCh0jCPFunN9FT6z3nHJKM7pxA+oTJj3LMfe2EmRqE4xX tc6/LwmrMrYrfLVQyV1MvZum7AeiK76FdIlnxZMp4+fams+P1tofVMtAlWStxLsXhktfnugW2MKt 74PZCGlmLuRF5uhS24jWXk1TzaOEVXx1ZCiWuKWzsmBQNLnA2NKXBi2Mz0NjXyY4yBp8U6l8+yLD uC2E0gxrGmm9K5cYp3Mz4BtAG+PNEd+kVmlaZEoYsXrx/kT0eU8LQfMVuWX2w8ohYW6AXvQEyxGw 6pTB7+nuX1EMdwS1HBqiSgLYzYMWGeFlbWyNTLl96LUsKGrrAPoNPRftZOBnNnQkYuO4p/7igtBA Qps6kTI3fY8Nxdn+FY6MLbWn0kICictpVcqGRhlQ2C8dNBaTwJv/wjZ0cJzf852/WVcUz+8T9XCQ E9l9aqbdKEJQT54ofpUvlvz2wr9m4RVST7ANcspgxlOQ2jzTS6hKMqgeWtv3K6i+YBTeeMH/W/EU OQijlk/20EhELfrgHyr1dHDblx3ASplj8Nw7VpRjwuWuanYlK7ob6kZomkOP1E3i5sKj+XVGUjny LeGit/4zON8xKa8flOoze/9c+Q93yQm+daabjIfmVshUzubrWyya3syVZUOpRvsSG+zLrk51l+oi VQ5tr8VVM+7GleIhyNa0bm2716OwSmPRrm8OymDKK+mYd4B9A5z8R2obJjdb1YC2rW/yM+0FccHU IB2jQM8HMSC61nxKwFueuMxbWXgdSn/QEJbNLM7zupeksgUB4xBEURVAbiT3b/VBenQGsQw4EJWz rSYu/I6SVw+aioMcu8wBaNkJR7AXN2sDibwiZT7MvRMgZaizsrQKRXgsdutSTuK76YOVOwD6Ndf3 evwkwjQunTVxytS3hWEHEeRVs5tgCLIaPXmBn0Gqp92UWgLfcPmhmYM9Yes093PVXehaSrYacEYc Q7b0dmOYmqT/C1pwgcKdNu9BI4O/sjgTsm//lntMhBYsBr4YxQwlD7datdCvOJtl9oPMs7LKcbSY saRP0MBwNYS6Hubqqq7FXgEP0mHu+0VWIA9axrZ0PUj4yyUCoepBmtKOEbjzqzSSMhWaTaOGGlOX y31VeO2e904SoF49gnZ+owhffXN6G+8AsASO/agrocvexYHnlB5c39wgwwpH98DfhLyivkM7OQ5v 4xgTiqO30aFDIGRl46L/KCRwMj3f1Fp23ZjFupxl86bS+m75JfHohCHyVmq2JsPiLcNi3BM7bfvW XYw3x6iV8aHRE5iuYRuEEdiMCBdQD7wyNhG/3yNt1cUIscXPchxBiVMSbPmKrgiaLbsTd9BAUNuM DzExeNXorwTh6o8/BUlRdYGBhsLD8MxWsWD/FI2tiFkhO119ttYaHb8QmoqJHtXNCJ1YpipdclYn 0bUGPadH2T4NbrbYYXP600N2BSineBVIzDWeTTO4C+StdV30Zz1hkERIO0laRc/MVsAtJsvH2Vk2 WX4i7E3deqTUC7rsev4P5N4K6zRMnzPv09SiHA2IOt9MoBiB5xWo+Ye0MlW9LPxwWYAAR6+7ASUE pZWJwN3tWnjK18Rk6kRGzHTSK0ghL57mmD/73mdD8HWcTQqVOW5f1HwBAKAvFct41NlEEn2H3FO6 KwldiX7ayqnvC7nBnctpDNIn16sRbLoMjt4uJpmNfN5wp7v05WvCA7jGDmuVmEuLAcvj/RNL4IdV qMZTMzXD+2BF3dLb1G+Clf43KMl/HlaWuTVE2qgnIJK6aNUkYtLrYOEowkVfUJ+2M5eIGdChEEVE TlbGBGDG0wpUvbGEheFr0NQkgqKSvWsFz2/J4dqS+Pk93bwCxjR4OkylmtO2pGgoWG4gYVKr3Cic 7Mo9Vz07WHvLOrQp2k2lqIz7W6AWTWJ+UCegmlzuY2kqdJ+rnABznQ73TjpHkTyKYv6tBif/axLe 90NO+q9KWsW/qb1I5wfkutBI5rL5clR/4FtC3JkUP/jxJpxvgQtsvphktaeLnIiwyWGsk2qsMtqG 6kCV1Ic7UV2HNW0nqjROIOrQtB3CjDearnBQDrR7TVyyNh+mFefYlehVzvWpM91uyiq6efqAO79l TFXW9Uh1fZqPzSRi+phF9Ex4GKpbM7LhA+HYPYmPX/hUgztWTLEoy8s78ZBVH3VS3tAZ0dtYUNea nYnztqJOhmErxOGk2GWA0+Z76tE/z2IVEEu+aZIKlwjj3k7HZnS4dFjeiaZG9b3S2kbOZ5/tcrqU km7/g/N0ECyp88hD7UQSvTGlLUwwQghPnpbFL0thjxN0zGKa2a86gvkeiheDqgamhIWl+/TeD5jv v8FtmwcemWbM6/+OjXjlZzJxs3Rp3O1tJdjmR//fdOAHtQcn4qc65OwoMUzbMwvq0v5Gabg5hwf1 nYjt/zgiBpdiVYIG1YV8jj+VZgbgjhUWuutVQRTyxVNhjUBQasm0T/T/X0m78cVZMXlpVIzAdUII KgpLUCMqhjzd9bT73omqcytlS7xnQEKo0HA9zX/96yGh29DOkhKkwcyrBV9V/lQqj9Wm/QySe5d5 MEivjdKPbLri1tZnKHljW3H4tLCS/TH+Wdd9NtPVl7a0noxj2V1RwsjlpLfID2i50ipVmUHuLFDn RqTpJfvwAIf+gzq53Zi59STT50zYWdKJOSPGytZiwbANyP+AgCqkn6q9o8uaKKH7Hjq2+nCh7X5d HFJChoosQ05XTWr2zqm4NeHiWuDTXPFiPWWVqkVS8oPOP3W+XFGWrg0xMCX4/NpM4hnpuiUSY23D /lzlHvCQ1vryYw+sJywxc6db3j5FK4kP39hExgI+nNt3B4bCTJk4kq701nf+7VKybCrF/4Uc6Vy6 XRC6kVAMOSvTud4AY1/oKgRSL9cx9LLZGyNbisxmPREnk7LdYnPclTEgHu8tUW0InVgO1qV7knuN qx/dBnfywe+5l30p/KD14lHzoUplyh8Mo0Drl8IVM9O+jM+p0R16N5Fr+4QnubyE3fOQkUr+bW++ WtpPPpEBj15NfwEjMqtLXxsASEGFmtH0bXY11/CJEjtVSgPtFHKwbSCfH9iB8lLq9SE6HHC//EMx EtOPKcVt2CL7MY8V2HbQdjhjFDvfwkoMZHFBY2eCMq2INNtb5w/ur6PhCRbZ2qHUK0+AOTVF2KbI 1Nqei6g8wxxp1ljG/tmfQ9TeDca0m3CKVXMhBk0iVrJaQ81ZYZN/zHnA6nrXcoeWQP0rDZ+bGj17 McdsP3AyAEdsJlAFdBUCqxEMq/7ja1ddbScNNz3POLYy9E8A26x4KHge4tAYPuT3K9XF3BSEJuSq kpez/URb+l5qtr7OKMbN2ficD2L48P27K9OYUD7Jo/XWhrTIKVdb7X4HcqTIT41JVlALZ43aVWj7 dS+SGIFiLzTO9F/HFD2UZ3qzNS2t1GCGDC48NoBihH3tlS7d9VNNqPXnPVd005SjyEnOyuomc2WP DMzbcwllXDo8soqcpyqLmD6GViK3BfNk4sfXDKdhdA0re0b77sEqIgob4SvF1Tfhco7p8vGECR7P 2J9ajAEoM55fqqQ9GCuTkoheSnkSp2/kVYNpnGB8E7m0Bk2tuTaJArTys9fmamzCZkaQtRPNNNIP kxaYSSKt6Tgb6v/luDk5BDhnsiRocXKvi/QkbvJDHgUgwNb0JnFK7wmT5TwrOhgVuvJrry2CYw69 LyHAnFm5QxfFXbEG3ufa94IYbXEhGkWpDwLUNPWeX8tHGhePyWNAMeB6nuHLNkMLxPPj9jEE2sG4 RYB0aLt4g1kfY4T09mjrSARzmtrHnWMMR6ZuxSoHt12HnknH3a4Dg27eQ8kJqLHzCpT2s0zosCJN 7rlVfw8PIHuHhjRcrw7D5lCiHDfFEOqBx4OqCUuMNqqpm1vFWY1uNWNSD+rkpyuUTrhFOMZtN+pH jrb1dNeBIKqdH7NozFO//DFJUZxFSmgWo46pluNu8yeUHSoQGPnfSZS5SURhSuLmpOrNEEG71M/b /NYROiBzBXCKD8v2mQlk6OBYcbrPbXZNeo0tL6FHXSFsUoV6rgqEsl7CE1Z1+Xq34H4MSTzl/Suv G5Dr5eaJiFhvE9b8o4uZX7Xl92+QPMI1zz0yqAx5PtPKl3gOx6B+/9jpLbIiT/uDeU7wweJhFvHX 2pqi8PGbB0vLVyY/HJUMEyblC7awX2uvcFRHpR0ZklXM6Fex6QvSzaRmFB1hGIZiZlkeWfK2wMCy N/dWaJ+Ls5R0HHNcJNRkn4bqiirGsopGVnN2EGmkWHVOp4hqPQJh9DVAaSV1hXbMiWltnxDs8PnK N11CAM8qqk3+zDorOzWTkdtVA88gRq/vd9axSK3v0MO9+PUmS+fpHj+T60YDtgP6/JtFo3Tdcz6i j4Vyjo9pwQszW3/qf1FsV2xXvKmj7fwkrK7EfszpxpM7t12LH5ob2dEdLLX3p7urrJeiGZtDJxSS y7Ipc7Fn9fhRpnjBoSw8salLeeU0Ze4PorPMg5LlEZI5PYv6CFTyc1iecn6ZgM8EN1Z6Kbea4v5O nByQHdHLIm1qwBFwzCoGZQdSztHT42//cVVseK8a6zYE9uwmBYdX9og0JgEheZJwcp2cT+Z5pf5N CjTXTpHGGynhnSoqtkZwkzGQvSSfsxc5q9L6SBhjXSZ3licQTbd6CpbKD8BKVb5Kl6R7E0DNZn5z 2WS4uSmywykA3JwkTgjAM0yM5FExRHrWlkjsXQqVkK+qc7y1ntlBbf1z4cWM7zLTtVBYrqi8dMfi CqufJBL3JLdkcB1pe5lYtrqn1LPxDYTWpKe/KL7zqvsLtTxDKdCMIlwAyRBkmagwO1WHmfTmdbWi z9Xe2P08MdwdYE3hMjF/8zXoAKvmjG5V2+yS2VZYDmiim2zxmnYLMo+8fY/LRJP7HV+P++tPphDB qfWMNHY0Ohb5RsgB2zT1tuSbuJ2UFs8UxmeSRWjvuKAIMt2WW6C6YGrTK/SSLgmCgzbevlIcAmfj SALfuuU3UczssS84r7NCweHBM6N6J6LcR2EpojMgphiL6y9jogzgTIXUj8dTDeMV7UL/AW9/tuHl ivZl7KSb0rf2vqMAj1WL/qgid6Tu1U78hvlt0C0glG2RUubjD3S3x9V9B8YjGDA7qziMXEFdT1ig tvb2rF1DfWKeU1zAK1SrSFJ+ADgBgTjEMdujUGO6DH/4dAqmHVHii7xDQNihH+MvWlqUcVqaGoa3 YsIu2tdY0p9B8Q58JtoCEX8fMe6Q0YOAxHutljhsddFSI/gYnPzZ90L/ULOrCp3BTearsJUyCPeN 66t6FP+aIhtc0+D4FEFGDLkh1kh1Eeh+nhRmmVBTvhvJCS1lZOE2coT9EhxRV75AsnJrfrJwPeoE fEiW5swjOmL2+4jQSEFWJObqTP/uy1rGHpjEDmLndxpjiEnz/ePt6oQm3NjxIbFO/OvfC408A3gG I9hfb4QqtxJOXhv8TQu08PrdD2OK6NoD9x+YUr1gloaeiMUV2AjLuZBsZdYxrTNk87Ja8FsxnjLE zc+oK+Nc41zY5pzZkwhuYKA2jsbwdg1mg4AwT/V5z780whrsx7CbvBmdDGYQOwmbqit0am03zg1i zKc/ZhQ2dtTBnaB3tTzLyozffT27btn6y0q3ADYyC0W/5Nh7cndtmIyTNpQXLhD4idaEAvO0XCEG ISFnYpebEJUMyDXwOdIoC2Z6b5qW0sLy0isKNecHwCu0COYlSQz69U6eudzIRrGHWRgpjs++z4wv 4IPhPn1KFuQHBwsf4bptajAk3ywW/qjPYgxov1DMQzlGGbeMSq8BPrVZnvAzYvZmJ41MsZyREB5u WIGVaMfUKmKUy2oacrfSc/PhZlAwWAzwnWVNZazjhQSYt1NKUOmaugq2qm+p6kSR1m/ml5jsEaIz GbvR6MSIKWN7szFqD8qGMheIgacMZ3G3EUb5CnrXfeAZqpiJSt3jjxrW+7bvHi05oL3sUVQrjo0f v+cUV0t5KUDvrc1zap4atDuOQt4xKsFvia6dZOFlyAZOhjf72Dq/uqw+YaO0QIg+xJepHLjZJuAl ugiMJtq6ji22zlr8gbA8B764sWla/lhjaimNQDAF8DAtNtsd428mPm2YdkcvULaWa5K0vIFVdKWv 7U9Z1H+dvunNRJ5hifa7zkXO/taLwl21XzaVpAbFzqOvxsa94qr8iVHpetGov1H6qbPIeqg6jeH/ P3/KdWHy3a6MGCYmHsU/zqCE/p75qAxvRhb60a8nJD/L/FyE+iN5Apy7RttAOIzU+SDLWF/HrcTQ juHkVzMNzUT0+q6ivG5CcsEunYSpAWFHMLuaHWyncX9lrzXnww9M1Vcj/DnH3cdOC5IAaICH2T6U sKtG8mCs2067Gg/svpKCxGZkRVdiSFYkiR1Pt8dVdgqQE9k9lRWZtrx0eKIuItEOdmgqP6ycvL2v iD2f11l6hViIBu/4lT1FJF2jfR8IrDlh8W5zUSldr9vouh7eKulOLCzdhslpr3lMkAAyLlsTJAHv IDicgUKydU6qDuXKmA5opzwuWp9djGHECcN3A4l76xjpbsm3hUD1ZiOVHnb5qVlJmWo+fJF2saBO 5Zn4gJyJ9y6uYm9rhlRvN9ddvdB7mqSLr0unDCqJk1hbE//9fJFMLF8tHHyPsfXHg6F3PJYOclRU 4eMpQhLQKLLrovAdhD+HVywhlP+On4G6IB8gw2MiWgStmTJf/TPIygkb4JvpYXIC9Pp46AJpstb+ Rf00JVrxOZFTK58lwcSmf6EiLapniqcfGdOYLZ0oMwX6AbnDb6NOKZbl8Lku/oaGbndfwTmXNO0G H7s4Rl0nfH+BxMQNjwD8AC90eYVD2/JXvVJn4oLyiAqh4vugkl+GDdhsXMrvway8Gu7ZkWmlCNFt gnxTf1DBdGcT1mdNC+cCbNGno8Mrx3yVNAx8JskuzjJIqc6h/CwWyNpHIBPb8ckgQUDu/97yxWa8 kOEZ696AlbSG+UfpL6VdlgyDg97cNR8rkkTwZ8AJ5yDed350Rs59IfTc9L8ec8QctOmVrrn9enRW yLZJttsqGPfc2johGyKGF6ibwEb9dfeJuwa9d+9Aj4erAske0g23cuzxf+EThHYxa3/HioPVl1yg 3IKgAvKUM+h9CEI0/ackvYTM1DTo2quXuytyb0IuRI9WcspP6lAVV+QZnTvUjm5qme+yEkvdFwW9 j9I96PFIA0o7vYGJD6D6isJcjNB6UQj9SdSOyWI0JjV2L1rbbthoq/oPotlLmBefJVlGQ3uhkcck CyWDDIt7y0y1znWJc0Tn7Di9N0JWCYXaBWLR85j9eelvfWjsWlnWdEsupNF9txlzV9gaKz1hB+QK otBHc/eAAGzklYZlN+QvIBgd6RtDt9ZAOiE5Z/Khq+l92rClxJd1zbuFUTJjd3GpHkAT2D8TxAgO dkaTHyGyq5vc3m1uJBQldVigzvAX5K7rjyEPF6eyx+FOLA+iD8B7ZvZdl121iQHB3eFE12r0oN9w K64NBd50V6F8d/9QDApEtweOFrui2azhLfJz3xJYkmi3D1elMtaByBI0VG7Fo/FTIYS8rjYJDfK6 /T+BwLPa7bI7fNURhEDANNjNbLBoEdeacfplMEZI8ULG03mwSsGPcJ5zrEITmcf6z9BvwLjJ3vNk PmTVOG2fTdjZ2XXXemOc0FCvKh8L6BxCjkSZvkVidKw3o/hKE1GcvwzW/SNj4HNX6PubjU7HXt9x CdlLUNFFZvjf3u8iX4vq2Bw6YVTIbvWvntM+vP3o6e4xp/rUV22UZyzsI8JWYcgh27yTxtIC6NRy AMHNHQaIazp7+agOvjreAL5XhBIytn4RRTaME0kXnR9HjiQvF+N7vdFw1XaI+etAE8cEcAc258ZN LgNPM5QTLTWNYF85x/HiNEHpLGcTaDci/NVxqQP3vj6kw+E4yutf5BJSEOVXQm58XClMQDWKydCq Gz8UzvimnrhM+TpOV4CVsdJbrhaqYv8PIrytOnPneYuQRlCbiHMB+9e2OMtX088Xf2JhpnZvjur0 nngUP3X2Eo3hUbIvDV22fj9xWwGsqXe6us+CYEjDaiKb30ip3Gi5LtVxBABJs3g9HMeszdaMTExR P6ay7pPJ212192rNUs7AwgyuMZ0SjGWklawF+kV1pTRN3PTXRrsBjbdTcdTDbitwiFIQ4ATnmum7 v4Vai35TEpfNaVM0UD4AoTwKTiI3i37qE8rzXS9nunydBr0kpCSJiwaUOzmYXXAS76PZOhHRkHkq PJgiM0+OLCASXBgpcMQvTYXwBI3Hd8LFHx394nj781nnAG3V2splWrdkdF331UmeEsLN6/Fu+ALC 7++5/X+JxvFkiixotGCbp/QEP6WSfZ6rGH8HavqdT6besZR426SYmaOmzw/flfaBoQD8jl4egoHz mOis62HhohiKQDOBzkG9Gqd4bGao5PwWz2P2huG/vz7dh9vVOsP18Peod/OPH+QkS/3xhMVh+uyx AbharUO27sw81fA+mOxaPbr5/mPHmi/cTfTfG4/zSl4HfSrwEw+8al3R8tyf5cbk98wEn/P7NFZ/ 7SGLfoPtJy5me4hX69208neXOhDcAM8HILEiLGUN5TE1wX+hvxOIUExieGxklvuy5rIDfLRVkLkT 1VzagM3YgP/E9/S0UsS4vNl7h9XzjwqHi7+qPMN5IxAN4Z9KVkjGrpaKiXHqB8RfvakzWuj/gJKo gb1u1wb7PC52Vr/il9Zdr02aUtcnHt27KObDkWu2SlAQyL3M5bKrgLqXQoCagOSYZOlTDKAIS3L7 IencyGdCy5fcV9az73YOQjOUfcHqgC21ylCfp17/YwEG0C9Oqxt/4CPNbkjsCu3O42D+rb8SLD78 7idUS30LnBsWA68y+BhGykbDKjW1Smn+B9NSWdevGunlz+coRnnk4Fepxfy9nd2OgXSsj1QKcETF UMsqdUHeQE1cxv/3WEC/lldssnFY1WZO5j7GcZnWDtRnpNBOSRaQrvdYehIKANhwTB0uksTG9Tjn 83TGszYOuWH968xQtVfh8twIURx1a8Mz1p5h8UfkoKy3a2X0NPiMBofE2gmCC9vf5SyiAmPdJfcF V7SD58M0754xWEbVM86SJTi4EyCESGcJyqGopxemd9SNkh62rlMkf9xQab33fg8y0g6jr+8I7Dym zKUgrquDniRQeHqF9wxRUIGM0Sq29ivi8ROzWxrZVQryci0kVB9NFm6xjm0GYj6muE0j2G+6uFU8 PN+OBWLytXJTLA3oGssvoHmq0ACXQtUUTnwzjA1sC/+HEYT32dFYx/4qUCoOc496BFGg44CIls4i YOYKcE729uaVMS3nlArZhE/a9WmNA1SsHdPJdJWRXtLWL+5M72DsFMykZLG7lUlMUV2OBCYrrMZd 0sJ6raEZLZuw6sOVmLUo+BfnHMuxfpSZuAZuEQqNKFb8Ol+KoCeKEEMVM6vOavAXLvhtdTkNh2gJ 5p7O0+/eHSBlCnRfTHOxSgRVnikeZ4w8W3Br4m5y0WCfDCUJ2kKW1LjUJjGu1K2ZFHpbL4LZnGX4 M9mLQfyX7YK63cgbJyWAgSo8nbqtpPE+GKYqJRe5BBCgTbN0huTtd8RfJvUzftqnbEWycCiIzQit YLpoDOB7+0F0RLKomQCbgWxNG4D2AearSBI5Jn+cimAsRfbvWXeaw1Msu81qsWCmS2KIH3J5CNS7 zQP3JGGBRHDxunaZ7+cDxPYE2c5lnWIXyoSUH48zRJ+kjI9zClcQNhptbY7t+XPHY/QfDCXhEiMs YRiexzWL0SXJdqffC8f1aFwYn6UFtxeG7rV+cGowtZknyTTINhN5hrPkJybaW0rzoudGnj10ymPQ +fob4ivavjkZiJQp+Mt/ljZRCH1zHXUAw7PHKrc9NcXi6F3xRF8UVPMZgNhFgsdeGxLGNqsodg9N zzW9YCoBK0VLiNLl2Y0R4kN3Uu6sZaqusaiXpfQDtrZyxxbYnbBxn/u0RTtxk/XjlnrvxwQvcgOe DBuHygXMJiVDIXzyWfAVoU1fi3cwNWDEhPeFSS5MqbN4Spd8mLjU8HHt2CjU9pOL1tsnyL0JsXUb NgpERkqPVltCiS5ziJhvgJiAzi+uHR0J3pF9VX81arHe9H72W16hnXGZ11NcNqSDINJZC6hrMWuR oSGjnWVjhMGaheHPK36bXzfVllLgx63jteTD8jhxfUhOFVwgDF/J/X5fXoYNsN41b6dBAzlZ6vaJ /tTIoqd5xT8O+n760W1ktLyXfZe3gJVWw9ZCAeXHYRRJ41JfBWbpDdWVmQyMZXVjDDzTGnJru78D 6+QW6ssNE8TS9ypS4EBdCCT4Cdszprv7EttmxgaT4DW7F4tzNPAfBvqHsJvrq8gMsMi45+pOnnIa Mh2SXcneTzI1aI92uxhCwyyT4BqB6C65Jm+devLQXYRvXs1ywOQ3wqjJaJmke5ArUr8Qhmv6+MJL XH5nt02M0M+S/ZGttNwxPq99QDMlCT3345yaPWrFks0b9/O0ZqyHqIW+Z75KZUSyy9jajklltHV3 Za7QbEiPHBBsmNiHSJbkGibxE+vfXomwScIfuMUeWQHnRqMtlpuGF8gbb8VnqTAeYolabjM7+AHt pesoaW/nMqmOfesw2hpa4ujhkPwzxbkCeufF0ocez7jvGis5RbpyYaDKfvZCZBQzJk1dekpAbS+3 i7j4z0/P+yxkz4Zz/jKhjBzmfmD+IiRDTEBhBpyHQmq0Gs5+RAT2dcB26Uy2nd2Vfe1saKTYUxsF q6fqHy2iHtZm2YmdamwK9kW/pfj/DoZWx40cIqfLe9IT36Yok2FdbqIki6ReYKwMWKzKCE5PxYU5 zF6s32ofkDicdOjK/V9WpeWeX/Qi0pcBwx0b+UFtPbk4xwgsahWc/0aZTnsmIfh2ipGNKbyRbs26 KxubIL58HZ7WdjkMYOU4OMmLrZhWDiLPpBwnSrh7Ix8WI79ERv+/i+vP8VS4/ScYi4eDisBhSWkY JcRNKgJ4paGS4WzBO1B6h273axVNyGcFszSVdIoPMvhv8R5TbtWhNmK67dP0dS0KJUY4Hgda4Trz mcpFjl71LuO7Akq4aLjhaMjcEyGXxOIlX1Qo+ZoZa8fC0Kw7O9QhRe7cCiQvofdXxlftApXDAgiq 5QuHpjnC121d3OgGuTERliO+yqW3VXTsUR1GymFrPL7251euET+hP49V6V6Ifz7ENC9L27kZZYvS AUIVZ3rVQAMfr1FsOOJj2ZGlRP5zRn53NphSxTel3LNnLfwJ84/NFkL/dWxHkylUzdf1O5PCAy+h FhTWhyeQLzNnhKDDaKeX/Pre0Dcztb7c19GRNmp8dvhfIMApozdt3uZLinRO3+Dr6VdErK9fzIVU LB+VBBuhIqeq9nesAHIVyFTO677GxjddG63y+gdeyVia/yFDm0WR8KgrIkbfx9vpqTcS6aC9RNzS Q8UA5rfg95ydVoYtWAMC2WiE8cwhv7XOQ80INilpC7rbQbGt4kBkPpeAL79R7XdLBjDyJk+6D+X8 EJLBVWP6EgOVmlQLKXUKQZMuYZJjnzCK0NaAOqmjlVCyCdJB+QqJY+0ixGmlMEZREOG5j+CD44aY 4zgsxpkgqBarP9Xq8eBPxTmrY0UmI4mysff8SEiqNvy1IeAWMZH8X58jBTw1BbjdiBApkAeg3JOq QXNA07QG09bmzr08SP0KbHPmPyLyxa9pR7gkndo8Vs1VZyKS+yIoRAJFz3D5Dyd3uhBW5x6tVY4r 1+06XsxAVm+9aTm1MBWZ5oG1u3BwRdtRDInY+LpN+Te9d3zFzjJR/h4ynrMQv3Q8cE6fF9YzquIL qJikAzcxxHD5j3FiUbJAoaWX5xZSZuD7uKPoNuWf+XCVu+r0fF/lKuhKAmB8FsbCRltV9fz7PbyI yUgWY7zbe0AWUAmbY3lXXUbzR/3y+Q0DXGtX3+RbVpXnazMEoiQ/UhtrAuHo71a+ieqxK961zP3D AamG1hGu0PuThjElVKtWaTYU1R43VLuYAP5MyagUqxPPBv0heG0fzHKteyeIuQso0D7CkUCOGKGR /302NidpRAKFXvLaZoxvStzMeYmKe82Ptfxqbr2B4DfO1k+GQ7vFWxUwev0fnWDTwKvmQzXz4h3j z9GrnmlUOTPXsvgO8i0Cw8uI/5GActHJL32PQb1Am9znWSc/QcIXUhtk6MU+JfMWtI0MTce3K8PH M+1ydCnXzR43YTdbQumQ/N4KmoO9moTX654MFCwdyDk0jiof0ITxfosaxex6GxmT0ZopOFpPuEQE r3wfvMIyzBaLa0VYIeLDy6mVPyi4br61tSokqZihCOh6LAmV8hlVN7vqv3wZNvWH71KdS3VpXd5y GioKN0sXTatSzZGH4dXHPDFgYZcBiKJav0/2vqJu3SH3WQBg9WlG5N9MOqec8FeyNXovzclTZ2kR +mYsl1YaUhGoeqgaka8ZC0jtW49QOFExTJXeq5QuaIGuYTouBsbLJtPX8ZXYLvQkWJNEBe+cv/OE 52/lteWufU4tmJDV7R8AInnLj01xdijCDE3aikP0DwxeEETH6MwnQ3M9yCEFf8gkaqeyfRc/vOba jblTaJ+vpRluclb4GTDOD5nFvOn0tpGD9z9xOK2MrHS0Q+wyPdMUwvRUvaZm5Gs4bEV6vxDOdvFW xzN/3jWkP+egX+khGzRQBmCifV3pbRihtBRyjZewCwHcFqVqDe/D/j3Qj+plcehsAVYXwMKNfmvU FFoX9QkcAPvQa6AK3Kt0CtZMwyOOzvt/+ANhD26ojOBqUy54SmHPHzf3FXeuUbUIt11kRdm+HMEs H5xfohTJJq40G/1r4oXE5/OPoFibjbraDyffug/AT6RupwwY+P3XLu0qdEn6yEEPQECmbOfin5qd VtANwkbrz1knSHPIjVuZQl/Wa6jBb6yWfvC5wmdjhuALyp+MGokQSM5Udtxrqwi/CLWcMkzer0jy rjX+DFVOZb0s0CpOdMJmAmjHTJKL5B4aUPv7jLdoxjW7U7K8WzaAlDuzb+iFAyTAzNK7TYiOf0lS Tr28ksAQ5qDtxTJbQDNX3y9KakmFq0FXd1DBGX2psbGEE325suxOtakvDIWoViAhl82d9dvNcT3c /baCdT4dbuYpaJ1GOGg1VpPljdb6aSNgJrCUGatSUtBspo1n55UUQi2OLNcBcEbCOsAqP+Zlrcg2 QkJwFlOApoF+s4DyVkzIld1/T4sbwA5TsroxgjHoUlZ8uR3O6A7p5Nq5zuHJM/82NSS7v/zFdpKt Mvry7oPqGfGmoXABPN1tRcNqaJ3Jux+dezjIzlj58/2LT/rNzJRBN/j1FnQsB5uIFF71u8lafYgj 8s9PUH6OxiQqNySYUYH7lgRaaxXQ5tYLYrjJlExq0JUjOqp6gWFHhAkUUkwSLhzuUYznI0k0k5oT vENypQ5dC2ReatK7u/Bs6ULJXX3rsbE2/ZuU/BD005fx0/zKHKX6NKBRsDnNbWcuGNHVPezVqwdT d3q6ne7WY5h0zKGXWlLPvy6DU2h+xcbqgPcTYGtXqyscv6rgCZlsE6hUuPVaHO32V8hcxhi6XBKN AcdX+DJx87rk9oXbEM5bi4GhF+29YklpPSoxIQ6okpz5UrxEQYQIcAnK3LbmZi3RZl0FiL3XGUcB oNYVDv7MARBwIvYAt6J+0JXjgLgjNmIXWO8qI4ytUbSTCf2m30uzfBwYzz0PFShn2r4NIDzbmIL4 DwsSWzwWzHI4/kMw9dfu0Yeapd35z6ebOLGJuAqLofxHsYKOAzDWcd5fsS0Cu7zd+Iv/DlCKUQXv dGGpZtaoTU/pGqVBsbxBuPe34NoWY+3iwuVyG2Bmqpz0CrO2cuNrG27MduL56V9KCKoIMaGluud3 cEG4DJeg/9a/8CgSOMtJ8l3suyvq3CJqlubRhRyqGIz4YegBrbWAc/j13o8iTaANWxlYQ3k67901 97XUCwX/uBOkNe4/udC1CR8BeajrCwAr01YnIYmtb3wcz2a6r1Cpyn4xcraHUtwIS4b38mVj1vb3 i75Eq7/oF9lSdXCo1Tn7dqoPez6t7YHIq2zDSjr9CjX/d96PycOz8VdDD9tTzLpR5+Fs+NGfFju2 1oLCsl2D1Q8pyywTXrPVKyhAbeJGoFyv1Qxs+qI4kM+xQLYMnP9lEE2Ww08jDtwKlD3y94nqQFIg 0Iy8tOoTpm/31rYcCNhq3zjteEZRoQ4rOanfEgxpwwpoThUZpobddgNUvzVp6aaNUbMKrZvprLbj BCE6JOZ6w+kia2rfNcHaSbXqlRxISDlLjOmwlWyI7cKC4uRuImRCOdeZ1ZeY3s7PgKU0iSalmogR dZ2r64+BgVf5ZvtFZwQi6ra/kl9rdFSyHvVL9q6WCLIla7su2cLiLarJHx8o0XeF41dHqV9roZS6 s9LkxNCIN/9JHMapqs9+WnRhOmkrQoEUPsQFDkyOde98wOM76gWVyKg3UECuA+Qgilc5VQW3jnBk HRQZJFKLqQnmMSg0dip7r88MnXsrzVacP55ZMFDr2aDCN0DjaXvP8dDZMK3Ut2Vwj21D9Ti3Sn5R rK6AWk39PjP9sygZCBrFJC7BDbfLvqniV+VZXPj6E6xVqowJ1+rVZ9Pd1q5vOg3KIx/8itLaTK9h wWpf6XSeA/RD0an1a7eg7TYU0er557D4X+ovgCWZdu8QmiI4dK/Ozz6ud51AE6MqU9IDzQON6lVI tI/TiYkKf7nOn9ZdEGddGJgaeOvpPhOYtl2au1MkN00udtWIJU1qsc20RzSAujug+045MWqrwkF5 AU+UVq/uL7wtjCeTJphkMlzvRaBQgm3qTf3cLJKzFUK7v9kZMjGZ+EOOFJ3dfhesk7Pmegt4HHq3 LRVZbYZ6KWz5XQDIaO+SBXJtSUQR+OVHZZgMP+FUPLYTK5E0ciagCT8X2cRuBeJ0ArTLbre/X56O ff2RPHDJMeo12JWDjc2I6PLotIjO7bN236OlKDanGUgknIa04/GF27BkLB97cEhoqRYk09iFabiN pwzPBeZMBkx6nt04uavRSj7fwsvizvynb5Z5Z5o2cXOXt4reboLEQ6WDBQUJ9hsx1KkcQfFhxM88 Cy81mQuKKrp4rRkEiEod6UaQSykpJO/ffJHqlC0YjHIcoXolJnAZ6EHKV2pOj9gcA6cnRgCZ9eL9 4ZYndkX2RahfzSCXldOlxSwtOXagsKo+4zxyGHGg4dXTphWnVYTbj9DeDgDnVEf3My+gFIXj05dh Y+MYegnXvw6eRFrI4aVDZ/eS0Tqdb8NBcHg0zsiejlbs3FCRRI4FM8xalrTJ6orUuJzNMGbaoqLe fkKFEgGqKpatulethnZQ6A66y00zxr9z3siteqzQ3Tmr+jclBurk0eobVFJFhSGcL0pCtj87Za01 Bwf1mw+K5FO6Or31mKQXCmvIq6eUd6CXywPgKhhXhuto1tqrpc6KpNr16hkTTZ+wOx4mjr+Mmbg6 +XP33T2U1VBVfYG0uCVVVHtvoBFFN8thYHFeDkY/bzqdRDQJWqxOuBI9lOyF/iJ7E/pHkxoDenIG VNqN9EyuDTihv2DdBFRGcIqfR+GyptJvB5GEj5SLCoy+yZ6C5Np3t2RhfqG2ZWUPYUHn70Ivh9qe KK9X4wyquh3voOMRcujFcU49rSnz+pKHhQoOiCuAwYAgnv0xlpHwQPUqeWqmf4K0AfgZVA333iLQ mBwUXBUf6ysPwQI0vkqpDtwnRyhbfPyLB1xTtPWWH7l0onso7T+8d8PTKsMmiyGJ8yf49O3suQkv r95PkHJncVKdOAgmGh/Bhls245dUK9y0XmLEMrg1NlkgX96IiIc+12Njwovzx1ZVV1HAKbkMMsGh sabvsvl4EvwNZE4LxMWizvfnajj46R5lvvI8GUPwu3NEIcTssT1CJ0ncSfDDlKi0lK8HFvacUJLL J60rSH7jXF2Ub2kjqK4hNurE9HiscB/2xupH50JWaRDI9JIxfzNH7GRvFRIWVRTGJCE6cNEMB3mE 5qnA6Ws+H/ojbjiO2R6xqPNno1GUMbtzYm14/rye2Xbs3EqkVVH0UyXaObKfCaXgemoawSPlQRUA pdwQnpXljZJ/Q6EZr7VTiaS1t3ZOgmlb0UeJy0CccUUW3daaQOWg5eQbNC0S9FWAsZKjL5P7q2q6 VuIKPS4PaS05VEc5+zJRh1A9C5U0q6Fc/Siv/wGeFMwbMDuSIAFr/g7Pl8UT7xqvAnZhkawa0snv 7QjjPXDQAgZmvv7pF84Pd3uk2KrF6qOboIMDGGHZGc+LN8tjg++m/pmFnkfTfKBk5HdEAkSL7CxO AC14mKssfydhkxG46vpKkpcAwDAc/LQt0jgIg1mkSP9FR++bcN90zK0Nmn6Jv6s832x+4pWyjzgF T6b+ZWYKZVlBcbXsimrFCkDKyy+fY8j6lOyl5+TlL5z+sb9MfK8HDr677gyDxyZT5VAH902z7OkE j5FhG8Ao7t8+o0RekdJGpFjL3CWzDjNJvSMQBv5wuNUzIZf7b1vXZtuquIqAg4jroUF+4CFDe6mL lTHVuDjN3ut8WyqvDO4jjUbXLkdDGAeWtLOgTucZ+lhMn3bjeZAMGkxAgYrfmCBAuNcBe/AgW4AE Ws40/DFsWTr/3tgHZiU9MBYDS1BeSAySmQQSDjM/NbPpOSojzWixbYEzKolpkrELNUfBsPSmDEds I7ZEGVxv5prYW61jRCdTY9eH273wS0Ipd5WyKggYEubHrpxGWqwFRxzn+pf/rL5qhIcVpfZ5nfnV rFn95Jp5WNQ41fNVOfLoqaZiT2Jc1ifwLJkaJxzdVIC9sjhVrHckRip1Qc5uvyhSvzirmaOzaGYc xQIghiFy8DW53WZCWxCgv/5upqg9BMXvG+L63oTebt4F8NSzcAP43xyyoHJgsIAcx2pTUVGuvJAg BYVBAbhnmT8rNWsuFofIKLnL8EI2YGnQu4gQTJ9GxO2jYKYuz1kzAom/BRhYpOCtSwEueaAqc2c4 xamN7Q7UYPy9VXQZFJFPZsur1sBv+3cQ2yrSEafdtH7fl3zbmwQ1mI8tTBFlzwoYN9V0VroA2RWW km/WzSAGt07utqIekRzgyfN00EEy7Nd18NC6Uui//oJQJ+w81mUH06XgAjOfV+QavTiJOlwa1Ipt 4FyMKqelJDRw4n7a/TxJAiDiLUIHLZUAYPXbPD0fxCjv1G9Zvorqv8sabRUjTLLYz9l5HDgTipaj 5rPSTfxIh2FGYwTEK3BcdSQUalj01miDLFpbQHZJeKQh3b0lEKv5F6A4wVfrguWglQ7rnKjswx6d sLgEGclwawrqZ8xiz/H6e63prkJJkiOe5n+z7C0KnP5ta7tNumXCA2SRkDUuIOa58MgeST7gzLHH QHqSLg3NV1Et4FoKw8QWdzU6c3101SWSQkmxldyIgCdV80WJyxDIBPQM7EZua+ZnZ8OLZk6f4wRZ RQECF7KBQC977H6eGPZ+wPI9ZpFDiZaKbM/3gvXU5kE22Dx68mJWR/fIgEh17fz1uScSf+2p6vYY BEBnDRi+TqJc4qd0zftYxHmCmA/2hdxjhySvMGx9fdJo1nsG4d6MHRXZMDQjJUbIZJTY1iOD7zWW ag88ICcUtHprRtyjT0+Up94zqMtumldyPpFsXPkwFmr6impA8ekrvzuQEKjmgyWA9pWglIvrcIi6 ginTXuCADuvx/HneP4YRNPgPuka0ADgi1eRqt2TR+P8S77zMQy7fmuSGh5Lma1WHw32Qn/aUvM5G 8AUTtiwTqyQr275A2zCaX1sBYeIk0c+Uk5raWbNZ6zLmyRyX9n7UV2+BfRV66eedjAtD7dOHbYDj YYujY5WMFEIwjJOd3P6nQhpUQnPRXwGcUsz6xkB/N+1o+4/QLcjyG/SJbmkkYwsYf8H5vlp3B2AR LWSpCN3nH6ZYU3YxV8Kth5sPgBV9fZgZSQwmz8n08UmU+4sJAqt3T/HV4y3Qr//ZiUf/yMmm0FPw jawJXZV/9XRVHwb1pydF0DEwuGa+FSxXYjtWnpgsXONQSB6475P2iHJksDFATK8kB0fwkLs04bv8 Bu/ejjKSKOSyOhUW+NOfKOX1XiBra3zxFDc012qTqZWW8FfXhXcXJNxWYsGrBZAUZWa/jcrQv6Ne SeIbQBCsNGAuZp86xJ2IC1Pf/NxbQJGOUT9O2+PrNpte6p2/LP91C6FRhIn+lymBhNmPsQmBYFkR vVRa2clxx4vjB641RPzIyx9+fIIK4Tq5F3ZKtCdxccA/5keNkBzjqBeiBYXj9MW/OnUlwOBDy8zE COzhSysWUiThV8rVb/U3+6pnVkOH1TWJ0KyPwpyWL5uUxwBV0DmzCJSGhnyBerCS0RrEm+vJUNwp MRSFtIakRc1AQkghBfWm0p6eoZWwXoA37P1/FLNyrn2DXPUq9f3YTKEhHs2L1Rv1qpQn1LoKMWwI VBf/s+bb5vS7ZxMd5BgjF6t2EfZPHZJf+SXTYPnuJNLz3C0yRNV5ad7bKng1Cd1XUExPmeGmQ9KN 9imyYQvQaMHFuNrYEHGx8Nsqv9B+hkQT0n/d3JLagBkb5fY6vzI6+3N0WO51Yi3c6ZaLkq0gEruY By1ZXjhl88iVez14/FbhZf4hbgtu9VbGskUpW2RalAkO5iEqouZtNVjKQ7DbK1ysY+9sX7zf3j5/ ECW0MLrhH8Vkbwh/i6ovVOYTYfBuhIOkYD/ddsnJJS3AR8SrR70wq6eBRWfLEB2FRxq2RDtoQmaE +TO7NV7/ySkAc8gLT//qyCj6t3boGNuVQywLtIjxCJdAgLVpS9uRTyUxzHCW7x4sdJuZJRmppUwS IQEcOfZOhLx2YLaxc3m9K+JwvFkiBqN5QPJP6NqGiYaXX6/YjMVlyK94V1/lJFmKHM5d0WvwUrj2 b3+bYsYbFiai7HRIIgBPFeQyylxbSIkiQkwllGU2ZC8XGNDMJxTaqtpNOiv1WTZGqEsZRfkWkBxi RWNICmwiWEj3f0U8n2zR6vMQAONlPjqKEruRn42kPJEC34i2xQOD5wuOq32QnPi68puCMWR1AcH6 XvJQuvyPVgkCZRb/ZeOkIW2CDjFeIqNVh3vdRDB5YanBkeUc65Ddh+gBQitSd7Ynol8/N0r4pmCj yACwnB02nIrJp5Dp3RboL+JsytdETig5RtoBm7YdNNnxDF0KojPepqlp5VQrT5Tz12/Rk3CuqyU2 0HjZSqGKjZjoQVm2VUoyNgzBBMNriZ4ijXx2Fza+b+MJ71v8XabdlzPGtGBgRzuHTi/H9kn8cG+r hkqhS0Lp0sglnVfJbd2jO0b37ilbHN2Xn08/2sDIIQNyMg1/ysPmsrI9l7j80bNzd6qDxU1HUlFf o/gtabh9BgBR01h6BWZFfi+5x6PAPSTkZVV8AC2ZRMIobTyfHiMursafEiOF7DgeGJy8m/9LDnHN fX4tRGKogM9UzvYzWnP0pfSRVzB7Y2014f8ZCb8T28qOL0pbgwlIMynzbZwE6sZVo/1HSEnFGJBV oDOIP9STTEmaRU5sOau7hXV0eoI8A3HKG5naP//FuC6NxKDZdSU1Tsm7fXTDa2VMs3M/Ik9VBZvm QNC0NeM/Jauz6V9/wLMWs3ntk0vvW1wjT/KYOHhbrAfNa57oMzXtTpr26RZZabn41Bfnj8ObfpwY kRjuSCk40PgJ+GgpJxubWzOXUuoOHBbaSyP/T5KyY6aqezDQabf05mVH+eMwu/8V6PezWbYqtzlg F/eIORLGTcHK6ZzTRL4G6MKeXgUxU0oOvGI99URRA486H6eHSGU6DzCIY1nVJqCPQRul/6bhotj0 aXVvSdg+pl6zdo9PaMv3u1epoKJjzIovsS4vqUkS9nJJCqVxNPNmXy0zWmwmufc6yNwGA5tDEMpw 5sHj01iTqA+p+h8HzbsmtsDyAqOwsSVKlkDujAxIUvNUlwt9zD2qzas2uIZj/tzrEjHayI/hx/Rq zmvxRn9F2qS83eG/PUu/a/MRod27J2QDsRuc0NWpKj2SgZHm0bjjI+xCrVmgojSHKjCbYGkE941i LNihSeKT8a0ynhim+zMmrajwB7t5OeUZvE0sZNVJy6etKcnczfh55R3/W+6qbLuHJgUjN55i8dN7 lgKiT9lOxr5AQI373Wp9ktTOmjFgjgSn3670fJQzG1XqRr5lo6s315HdLiP2on1oSJ7M/uRMftRQ n73GIzcHrxsqSmPqXqumuNu4MqHZr3uDRvFUwbMv8DLhVDEggRecehQJ8czpyspwi+FpM7zuWqDY p+e0KUD+B+OwwKImdSdg8Rs3so0jj8n+KwN6jNOSDvMzY73R8G13WCnbJ8djNVh+ba9n0+NgQHca BoNkc0jLv0iwZ8rZjjlaK8xR+PgXSTwVW55hbEmgSVu/rsO2qn81zEuU2MlTXXasEWrc5xQd5MS4 jG0We87DU283o/jIoGj1UBhHbjEyHz70rkmyYitnpZ5lV6k0wb5Y6+tHgmAaIieFp0BhLiLLXF31 kPWZbR7aiaNvau3lGVY4M2BGJpIbY9MzBjuvMMhahIOVrnAI1jVv9KaZLibCqlmRLvL/yydAgp/c 30mkonYNwPgwPxbGDaf/dVJxp+vJuWdEqWvG65TpZyLd8iUXJteQuQY2E+pwUypBL6m6sIOdnfHu +uVzvBnpaINL9ydTJUI/wbzlo4O3dbR6uNW41koctTzfz2nq1wtFl5bUD/OyypRvJY5lGM9ieXD6 e3s1fSPr7eOy+Z2FrMXdh5X7papA2UfGxnfxt+FPRDx/MarlYn/2PQxJxKdtkbtSKQeZrzCGRxEJ 6SqU7+nAHX3RH8js2TQH3nUC+UVnOnjREp5kl4UHqRhcEie6f+5C9au4smBtFe2EuLFSRYnj3CQ2 K/XkY87z244rvYdcv3SmAxBUYNdD0TB8WhkcOm1Wg5wqIlHYsTsBTKNUa8hZrLd8wGadT3AWxuvI 7GqD72WcAJ5LvWOhkFL3a5Uv+Vb2liqEcBDf8Aq1O4ZiLjuOBvFovynbfF7Y5+o3mUWcLrzCVuBV tkLPC7A9GxKMfcQTVkcqvUPU79fnZf10LvoymGe28N4cBuFwGvPBJ5FtzIUgxhCTKkjwBKhC5b5G JhWYHzL0sft+mXCNoWn586vCmFGlEMxKBAbMcIN8sgLWZ85j8A1d0dr73wD5sVUUQ7EtPSSKSUQN i1hVnm16wSienc9/KCp+RP9W1n1jFcg67X91OEaIcGEbmAcOb63AeHZUotERBaM1yFSgkfXKpEuf CmopBD6o2mCJ5q8wED9szwnQlI+pndhCoIQ0qJCYwGAUdFe9ZwPtJ7DvnIIEeAMgC6QHZt4i9KAT elEdSNcYbVkJTKEbHy07797g3WmfhbwkP4socfOrh3sKkhTI5tfa7xkUOsZ5ecPm8321eNdmMkEj k9W5Wsu0sbEkrWYmaH90JzsjAmOHjeGFWN4LlBFWUIHibXLAjNu1lajbdwm5SRC9kYYNBcPfbzcd 8kR51W41lR0fkzOVNg4QE3eGXPa/JMCcr3yBAtIewklVY6IV4PjBd/QrQJZDC+ixFu38t7c9Rtwp GClPzf6JIVOzvCa3AUDzf6Ms+z0nhZP9zvtZw2hjjwm1v6jiXyXs8NS3XnTXWZJyxiluo/+rHcR1 cQPoSqTPoELf60ezD0/wTj1CYbe+0nzpw8/E4pdd6etTRJluORoGrS0YZaDe0E9m2SSC8MxDcJnQ xb/j9G97XnPMNoTeNM/BFVRUoQ9nhBgcMKFBDiAeVwUeHJpXTcvT3hiBNkmmh2Yx5cNbLw+9QVat IWbZ4FL7JNLKGSdSCqS2ZQRj181InXBKyaFK+w9HTEw8E+5YS6MvCZYSI2jy45k6oxENmOb9M8Ww g2rL5DLo8zD9FJ4JDMzdM+q4xCHozDVOqHTaRtJJRys+bCE17mAeNzwAVcTlpRa3cY6jXUBVGGGs v8kvNdPOX9R1vu+nJOak/xpticWpNIRfPgvAhdK5FTvgXxzbEuCWtVfoWj1hN5GmV9PsmpjBBh2F gp4vOeIfU/bwbjMn6vCybnEWBQ8c8MwsSrbje5+rb/jMhrC1BndYliuTiMb/G3hk7KFy285d0AbA F9pUa1hFcFg59bfUSxQfevdv8Icr6O9vExlZwHA8QDRo0ix5bc4AAVVoBhrXD4O+uxcELL7E1KEK VudZS8+gUGmMnq/sL8NVlZG/rvcQKMCsK64lEr/CYrr/+uSoNj1Y0q/USpTIBBNF8jWGOaZUKk4h c3sw7lOE4098h+mPFdxWMtibSbDqCwfEG9GBVNlax4KY3Ub0wEkP5XZSJ74GWmnrTTp9vRC1atlh PYQt9hKm0gZy6aPM0x37h957N+VzEzeVEr32OVNpnNcYYMBgsAa/CTiF3TknijLhcA0Ze7v/q6sQ lI/wwt/ekEVJqjJcAIqwHhgeXFe8H5p6Wf3OdgiJ9tZ+lP8yHWDHDTWSeJ9gtjQzt474z9N1SJQ2 qTQfTYrFPm1nIZkpCVw6G2aXATg6FkGWn4wXoxIY5Jn8pKiULSoM1VZQdEPuNb467EGSYXNZS8ZL P1YohEtinOUENHl7Ww2YzVly1VCDM/Hfxqsv0AN8rx4SMgHiLlRGKOImBUFKHt3ZXb3L3RGcyVPa TBl+YNJOUm6w3ZCAXufyhGwzfbXPsszIhComzhjr8b7YAD0FBYmvZmhptonXtxhKQ8ptml+dr5Vv /BojJa1ok/zgHkQOltk84k/AaFcNvG2qZlNFOuC6wOnsAcRqlArYIxfluI6vweItGG1IjHQqhpm0 k+0xQstHHHQgTb94qRW8VzlZqLSR4PoSwCeUwD8ng0Ylb+JrjiVwkPPmpMRAgK2fLXHYW8QFopO7 C/89viicp0iiL6i+Gsv8fEZqwBXDaakV8kDBVSUEwPcWQY4tbOz5YpJu4SmMCzOLGOD05GFX+qzm BYfK6gn21daeOLm3a2yRSaIgi6+iFZTXrXB4jYaI9TrJYA4ewzEIfR9JeIWaUod7bsTGNoEBOvQJ xuQdkyLacdxGTb1g1f3Lc3a3RQiMGvcn+COXxKG9elzF+stJxeKf51tdEcf6D5rmAmNht09u1uxq u5qfxCOVdoeI7JFMkuqtnDLliFzWbWGGiO4jvdd5g2PdG6prSYXwGVxiAaNRUruNHzEfFV+AA6PQ 7/kIHwHdGiPGR+Idq1Dt3Hjy+T3KcnNODKxcsss89bIIU02MfGuCdd7OmB1po6xlRh4t25jjFV+a BJiZNsP7OgtyVeY4jkKnzWHHKCo514b4Musxr3nFhfVq11pq9xA6k2MJrBijlf32exlCYpEAuMWA Z09ADQsWaOa2DltgmAcV4gxQMVbF6WJ6+gLEAx7AfbiHLWKAJftGTVLm22teNh7ZTF6/a1jFwHbD XK2CWBI1Q3O+dVCibAbRzkAeOwfBwUSb0jbT+IXaYRla7ChCcS2hnaLSvfNMD0bnq+qQpBOZX3fA Pxo0deXCEI5SeJ3fWbz7GnfxymrBooS7DBNfoELYAkBpvB9smTnF9DDotK9m15ufwprhYkqWoBWv 30zXa+PNauyjOSvIb2kYxcS16zJdl7Nj1+EbO6sMHr2H06sF6pApAeUdeXagfr83N5w6exNryR56 cprcWfdTvHwcfLbKVpdJw/dBFZ1QOq04WKjrQkj/iTqOY0g51hQa21qKDt11aujMvwNYiEpi0giq s9dHNWk5tv6mww0pdIx6oQWg1W3VF0f4v4qt9clD2BhrNONV5AUaT9HiYc9r4F4OzuzRsS+UJlwO FA71V5XR9ZAJjG9ezrZZX14HJL8lFrccGO1uaSF6afrZv1U2cWBUOHOy14s/f1iODjXuFvOy/aqS wFh/Vf6so5BDcdSRZFHulsmlnwftCVmkIvod6wVJnM/mXOVC1r8XuJf/DWanYLK7incdFSbmREGS OkdV6jx23KZFayIQFh50RBNvkB0WG2t/gV7nBZOGTWqo1f+8toS393Bx0ZLhA66loMJOttkS0NSm nySwG7jn2fDgpRTp8PS8XBesCMq29HOLepQCSPWne/PIwMtV2E5j0ruwJD/fEWZMWr7YWUgwEKY/ SVOGmYWN9+tyQNtDKdEEt6zR07G2DLdJGiVsz856Z/+VoSk6zVR/PF+LDe/GwEgCkwiNF17gobs+ 52ymsRv/rPbhTs1EmPjKHhUBdAKbkCBw6rBf9nNvOSYleIl9LenQwZ5vs75mUaicNSiT5UofiznY NxhWX5+cf7aL4rRwzNQPCpXYJ9plEQPqfoJCV5QxSds+wezs7lwcrwwOOqoL+BX/NrehD0JQO1oO tMVXCNTWyQy+97Z12RagQM0MjP0JNeDaBmkPj2NYuEjVvZxUb8/U1SsCXNqUyHT9muGcUXoeAFAt rBRUQBeuCAA/2qucge4clX/0AxpF+eUPHHm6wIztSD2oCR0/TNA89EcsTyER3Sm4vcGi1eCMdpva vj0Oc7ryIb5yOLbTwjm7WFy5OKZaZyBoxqE4/9baHNYrW06HFUCNMZIcN1IsQAYvrS82B2lXQMNv sxVt0OHVfhwZhjyzRk17mSz2QhjyPpYjj2N1HZdH0omorfM1aUYJr1cMT4hr2PSSRXsLplkfb67/ phhp00mb+Nw5arqlS2gxd5Kc9NW9RsZ3R5ZRBrf0NfGKqoIpa2EX5kcqDonyuVwMOtFuCigfYGNS twNNpACjXTMMLFzzHxO0NfXMJDEEDuQSceyJ+n2qmRwI7PJZp84wy56JXl8+L7cIwimaA55S3QlP Snl3I7Sfrc8gp9ZgGVcLR/c8Sw5f56ybyASIujlNP7PFYmZetSgOR0U3j6a4K9eWacEKdCCLlay5 gAloY1kNOLolyGKPKUaQCBRR0BIbmnzG7ygYDBrlGOm9FIWw8DG9UtpOEQO72ct3S2lQW3mIxfWx 6qU9jzONjsO2EZ/WEKN6FqfV6lwPBAcnRqxvTGPkyw2miaY9DtrjxTomKAhSu1+afrg0GYwEbv+r oRyiIgmlHZfHglgUbwqVRFRorZ7EHYq6L+LMpIg6iLe97JkuqfASibrzdkpnqaVg+TmatVWWOkfm SzmHHLouUJZVLvckGjmIOaDTB9rzu1JpqMNjv7n4ADv70rFBNe0tAkwkvyVS4wbtYFi/M7q5Rogn YxLDyOoi8C99PpDl0aPCEDjcH1lIhMKyZlL31SSx5NbmsVnj6JyV9Pp37glAUe0aSmm4lcPCDUAb 5uPqd7SNv0ahoCUnP054UDuEGzhe6Q8aWh3dTDmX4HRJtUcnPR0RLTo1b+TSQF5izBw8q8Lw4Brr XQKPBg5BgpfrGHLNXZ1DSe0O4fEfxZFD9u96anLuzlofbfmmYfZpJDOZIvgtoR2Lt7vzxb9vtR+Z RWaF8ossAR0ruA2y1S4a66WOamnI2kUi/6j40RBaWDTVXrhBORw8NGcOdXSotlqFets3w7Druizn lUpPPCwyVF+89kvROUoCAryg9/bnP0Vsx5mxp6hYrICA4A/Sx/mcZjheP6p404+4/i+W5PzbxE/n /lh5OxGfXrFmPqJ07C5nXyolqIN7W30INl8EMXYfXWieNS7dzn6yVBI356YfccumpIr4AHscuKdG uxdRf8k0P5DXHoZ1trt/1/fykyGQW83/3/aRj7qLZU1rv0OMeJdnBUB8eyjtZk5oC0hLT+AFgymA yTH9XBG97uP6HOZAumOev/JD/gmEqMJ5p2tqknlyQWlhMAVVrtX2/W6m5qAUZDCtAFlh4QEC5vcO HOuKUsI6nStfXu/ueQb0kBxs62nN2I0nVp/aZUKeAgJrVILBo/VUBKFrM5EocRXKOod0a2VN9+qe KunAH9hE7WEkc70DjoWqbjdQY/oa3cM96BqgWS4hydFDbKDAYtf4b1cKHtQ/GdETqoAvd2N6V8zM KSbAmISrI5aKGFNZBVPxhSWiVOv+XQ6x1XdgPg9h4T+XIi1ZFbrivjRG7iWCFyPscugu6/urHxw2 MRrBGIu6edSuw4yaAa4k6EEJDGkZ95ePOtAT5COjL6ioxOsriweLUnB0AO8446FrssThsGWp4Ecb w4nPOSuMt2SZS/uPoylbsevErv4j3cCQsilFYzCgo+EfZ+TqUG3+fN3iaul6kzJDWyiVcOZy+xgH vdIfCwEmN0v2HbOQhHD1IP3eUHsnPpBYbcEhhxoQBkwvyqBjiGntaoGUUUUeGx2hc0GqFOD6asnQ OJmnBH5iq6A4PoWZ+j5Q0kDKD7Y7hhJzTSgm5taClLnr8AwNKx6Y/m7YlpHDQZGeQs+kChzSMWF/ f43fecNhYl+ihUNlTFpQz47LrtsKoQKqNTXwnBEwmBLgFLszhFqXH89DC6KrWJa6y/EdqiMRaxWa sdgQdRqq3tntaod2zE1W/8ZTp8Wl0T/J0LIf7OB9iaW9tIVRZ5LlLgoVZUnrMb3cpy735H2dF6s2 qQnPdCY+FRj0K0IBnRZRRfxN5d57MXKS6crQMHEImIOQoNgIOv5T+GeyuidRXEtOR8moeAHtvygY Zahckl7TyhDc7kXDKgbEjVRA2mMVwrtAyeMucfe5xQN1NYlEPFivLZa9ggaf51HmNwKljKHvTyf4 5BKihbVvTkKZopDaQVb8+NSPv2myXSqbr89C5f8abiLt4EfyfmsqcZwWzSl6MdO4ZC8Z5cJUr3ti jRHGJBo1i5FALo+dVU+wO7pWtV3941b9td56rKK1eP/zG4P+O1yorXVAU5C7bQUnaV+W1cT+JJa9 D5op/riZtmRx7+0h123vliMJWUiHYkrrOuvLuzlOt27Mw0AzUGXAL3CJlm0mesk2lUSids53y3kV b+ry/nx8Ak3jp9x6VnenOmDlCvJHLun+t/wKP/KKMQVll7PtuAa6jpYh0/rVWpY5714Jvxv0jG7Z XFzFrCMCuiz4HFEovow4evzfOnB18mg7wB+Q66NeB4k9WGmD8dn8FN8RS09SExEXypaYxtQSngHG CRumqCbZwrbYQJXnAyHPOGtUXumSIrPNFYmmeff4c7mUlaADUpx+ib/rU39RnaWNT4txvykWYIL2 9Fy+5fy8X7wS56C16fkFrqxilHpyhC5xllfGpQzwxk7fsEzK9F0B+sO9R5SiaiuQeOYWNE7VbnIF v7kSXBZW5E8719Q0D/GlxCnmqkDfaVDuGujX8NXPpY48w45FaogBLHnSSUN5tTb9J2+1Tfny56UQ Kspbf6mfrQC/191tJlQbO9BPMzYlNPIiqH9eUD2imM/0Z8eD+LBMGWrn4t9kIIpHHF2Jnv69GltE 4IY+K/6/kN8KuRYzNC+RD5xix7Pi67TKq7MkXspPU5oAYlsJjVg2Rv/5DpWtp6g2Yeg0f/lsqFxb M1nRM0qOIzKF8Quh01ZEhGnJDJLcnAi0Y5ZLDl3ZTBmZD6iH5rJ8U0t87urgPP6DchPRXcZOkdVc bPgolFNooPq4W1axPaho63/t8qeEqClXg1NeWWhgRqfg6Bi1swTG02Oz35R4MvB733sr6sHxCXS3 EJ8P8pUJzRK4lOzsTRTXVs89IALs+s2kW0OY3N49Pdzu2lu1ey1oYXBrhVd+uTgVN9LR2WNsKRvY C73RtOTacs5ggSJ4YNiIoAtQ54dQ2uQeGfCJdQ4LW0dTfzkqNg6ijg2QOLSj/bM4tw6DVHJiCaei BLyCNjmQVfgd8047jV3xegZqDH3dNcotFe6zohPc63IybL14ED4vlsUtT95FJn7C7tRXTkCGSCq5 WPPtMpiIhqNnpYFuCW29nUJTLQHKKvLWfaLlFTKM2CdcQWvq2YIut8VAMfbhSTo+yt8Wd9HDQ0HF UtDzXi0Afd2sZC0rYgmvGrhpWaZ0ytBeME5vd3bQQZm7K5Hl8SStTZ2zGi53qYh3AHqj6V6Oyy8A WJ29gDweMWuCByT6QW39SqE9OIUnDnLGWrF4oPLKAXiYTKd/kfLmz/FgDtgdzKzB+2yeVDzASo7k dRgD2OzCAXC4WIKCiC0FtAiKp5wZVG/ylOdUipIr4VINCNpwV57Me9J2cb3YFUdSLMrHEZg+KbLj 2wjoZNvKfvpwAmThoLKOhigyRI9HipA9rKM+yNea789IK2yRMFY1XZdo7h/0KXR+Z1hN6CI33yiz vuwHR+AhmzVuOWgO6tdbjZbY6vgZsfVoTxejDKb8FR/VYT/0RUQiYiZTWMZfFdpQbhhhNrce6GjW aCsEFGrsBEY05vb04T1vK7GYjvUWxRnL03yoNyGib2V+06zX9ZVfx4Of7qappcLNONSU94N6sH/l FpjhuDVojbtnzcNO0baulRtuZJNI0Oi3BLIHRXWlwn4gOqF9/xi64MhwbgEDC9UOoCmp1k9AMCLW TGkKg4cjH+4xJMJz2sWCQSTSVrtOxcjB0pxw6gE6LckWxJT//v/kAM9sQaxqKExebjwJY3eYSfcP cpBAVZTiHGrPRGxsC4jDONl4PNhxxG05+rzOWHhDm/rwv8cgNRv+RurFxPyj/HlXiA2MVXrrjJTR x61Vvcd3NAUBPLztzbkNdu6yaTVBLK5Odwkp7TUqyBvHgK3NE+e5ETzF+eO144jYrzOefbHonPJ6 7ZJvOtAxijvfSbZZrz+zOAm0A3a8dazyrFI0p/MCByMgYUNCZt0A7N6y9XmASIr6MAlOG6LrkC4v LkVuTJcm/5ynk2jPqJA5uSbOnQCYCpYGWN3s9cbZU9dhv+rSN5CsNT4pbHGmoVMAVN4Ow2O1X3Oy Lur+8HDR3H/o+3Tw98aWqyg7Ee+Sn7QWW+pH2LKTUuzbKbfr5L6TLTy6UjDabmIg/kVcKHungh3d Laaj6mtO9JlI0SvZKRa4PNkgOUkjw19FouyPmKYOjGuUNfrsKiVCgYika5sZ5F2nVPjtnvoPj/53 pjULVwEO8zQFOs6tQwT4Eza59uWZoYrjfWVn3APm7GWPevnjDESSm9tp4Gl4TXIMyn2OetotoDMm NIW8JufQKF4gVBMb93BuLpaUW/TYhk/vSwvA1tMRoHSV9GCntViXKthG06jWH6RpDvG4gmyI1ReD LV/BKIsrEyuWzFS7U/3UXHxefToiGuLhRC+hanEkaT9piqDEp5QoLlK38b4ZacUENG240Vo0WCrs basq+iEUriWXBmHVTNWtsj9tegykcZnQsVJ/GDk+pxGBV147B4YaPpDj5IoRl1VJ0AKemJYpUGXk tLGf3Lzj5bsFdnDhuuQEnAViEcAWJ7cePnJitG0zduYVV4Vtql5cVbm6FGUE9kfTphNCYk5i+OUj fpxicYnS52De3OlcRj4vB8NA91MJn2/b/CldorlDMGl82cpxtwH1KWXtCoz8r/yMxa9YFcWKiyU5 EGDP+bOmxic9wVdovNRo2EIXMw8/BnX8OyfzhP11BR5NQM08bDbxbIKsSd97IOWZ+MX1FZiP+T9N NOQd8Pg7pAsMmbA9vJTvKwCPUS3/p/0Ih4QOGIJebtneZFx0wREHLtH79Dwel7OFBmD3i81O+OK3 N7fo8GAx/CQHMQV3s4awpN4ruLbSrNjfltlwoYhr+qwY+CjIuZwhuA6nPaFqPbq6E9mknsmN9KZy qKjTR+JiRKur2pdeMCqEBAwJYOdvm9wWeauTvwusSXprPiS/y0J5q6nbb3g0n2TYviDgt5WkUWMx QfSBWvdvcmHC1tQRB7LRQYcpf1XfPFAurxlPQl1oFhaMmJaIsJG5YeXFGIsA26Lkd86xXQARRCr2 sSMzrwvQy0Bs6dwf8vJiNJ3Dg5XayqS7ClDnyt8cPdl2/fzL3aDM8R5mHYtmpqGVXLeOLSjSSSWg A53xuucTcOYUKRiM4+4GOC/KtOPshLn3JgjbtUoKADZKyBzEbp4rqcDAoQrvL33Ym55GyWthKdA1 zVAmS23Wr97/NWMBVw+sofPFpkr1tqo35Aoo6szD2Yamvd4ItKJyQjG+xVQDumv6WiiEtbyRwWxu EbAYsl4QZxmez0apkZ0FP6jQ9/aO1gtZCxfhQTbJ6erdFuW7Ydowc0rWKdtSrjpID0Bi358gFcJM K5R2+7PAINJpRcFlzHML/jDOHQuqayEBfNPu/fTeYu4Se8XOxaPJj0AMsW3awPMzGY/n8Lzk6XK+ +pksQODYNIUg+ieV762+srKGwxSs6HMooBTX5ajLTJv/V/AdtuXQWZdk7q2xk3Abik42aHEb38Hu KcyXpWOK0I1MOHtx1m7S45UXsvzXoe0+otRKjDXz1uE+WjtmJi7LZNJZ1xLEhxx1MZjgjCKXDGpe 7MJPnmeGC7QykkdthdLCL9yzo4YhaehrmZF9N/FOokil42l3lIiccGkH8WkY5tlM+fypuRj6tDj0 sUPZ5uIaK2BrfWPov4NbxGyvWFlMAum+TH4vYh0MKtJeJK0O2wrZP8u4AqW+UYHmf5nu9mbTLNNy eEigso3urt9H4eF73DjvvLso7nP/blgKDbLIdqd/IQKHE6ZTXvNO5TWEn4c/Nk1K2xkpunqzEpH2 vzCu9ecvFcFSzBwnm6nwh4D/UWQVHKauPU7c8TzC41/gDubZfkmYTPa+QCCfMeKK13SCSrkIeOxx Wc6E8T7+Z1D2UPhWHqFSiKgE2VikTTPjS8WTTiF6AVD/BRJlkHYvDAM8xggJPJFOQNdFcs2Thgma oiYMbdwE4itwSG5+QHe3r517FEwTywUqD1cPFcXi9ZeZ6JrVc3QsoEhYAnQJ/f7D0ARgOxgRPxJi csPaUDfFlVyiGfToMn04uLogmIMVPWLdekZWynJo/V9A7daUgjTd3m5d73TwsZyKvmmdRTJY40YG vRUafYu3bglq4J1SP7TeNwKWPvSX8sjQh0WlmBdzV/Ww/YQC4s8urD7tORuyWZocQAlrtrSmUT6U 8YaeV3zw3++QBcyXbLHcgx153r51mXvjF0CLY5Q8yTZ7yU5RFDoxlxqTQUPzxYRVomJJP7lUdYZx Kh+XU2u5mqSe43ZKJpePi61teNnN1qCayw0oj+sYTxtqbjhNan06sepaOU5qXNFotQsODZ80GZB3 KwtCfHfJGAwHAtISF+FzB7lebJ5lEJUx+CIVO0PJW8e3Xtxx6777F/WKAgouptP2iQn+FdcM4ILA r+xd+VXift1NOEVGSmtzwCGs1B8FVKk85hemOsF3fkVcE/Npdu+0P0u7V/dG6g6/I2EnAvaZwN/z X2DWSgbdwzR3jfpPxc5NaKha6rgScBS0FQhRr1MSUmLhsn+ELvMb1gY6B4a57TGjewMG9VmGXnWa +D5eSdzWWGnKF+5PivbmHFPn2SRFOhTr6CQvkNnmOIAe7VwtU4qUbrGCdkRsjNANixwk5O3fCoVy LcSp2rfbABNLlOUko37uc3XJkzQBIwl8rgZQ+onj6b1G+I3qayqHSw8flC6GjIMaWwoJrpRoUhGL zb2G9n6iSANYL3Cqvn4mE//oZkW6Tq4kEWIx7GSL7d2gnLZDxIoqh+ANJY0wSGGxILbW7WwfHsWX I7hF8JSYdE5jn5G+H7Y7sg5Isd32WvGVXogGMi7GfWbPNy1kxEZtCytBD3jtbwXFrx+j+eeMi34n U56ocXovcEtiCkIpWTJDRR+V4Ec6QL2al/BAxqgYBwa1Y6W5vXp0cTPXX45luf+qwItsHrfEs+k+ QCU87CTB3nU3q9WcfH4fBYjhhfaHkrtUuyQjL1/aQV28guzFIdexmFGT2WX3DrBr3UnuGsL7mpH1 Kk7p2sybS+sE2PCKO8gsf4lDqCMfxJtajGriicIAR0Wva/ek9ykMavYQeXmh/GilgEBIkBohEPSZ CL08Ewa15a+kkvPOjF0Lf0D2JVrBKSDuAilLQHPVMiolAFBLav/PVPCSv2fL5W5q1nEyXKlD3w1J /fL9qPPVy5KCbx1EcUW6TT+W/HtSi4QhXNEaVcBaX7oA29B8aeykd5JoXWdzS/m+atNYYWCtF8me 32aGqjdZDs8m0tZI4OBuYGkMV8Ghghn57LhzLITS/CnJVBh33ycCo9rnHe5K0Ku3PkmgiMv+3cbb /wZWVphSkZQ0JafmBpRIdRt2dBlhwEnO2diMN9j49jUMvsA8+VTohgfvIqWDBSdelvVSYL/ufjTJ 2QPjypenDY+5XeDZhIHXZHlcDlD1Y4nsgrYkbsL0f2fm84Xi+0qycZE3wAgqbuhdPEaYDrYZxp6X id+uO+tgRr1lmNfXgzN3qd1Az602wRKRHztgF/xekU7AFqQEvJbjs9JIZ/OrVGfF5jwFT3d/dmg/ la4cWG2zGwIHTa3DREGwo7jvrIOalTsM+sTZOxIdUnSHLY2/XL7FYaMH8cJDyO9NU109xm3g66/G Lexx9NqSIg3mjAWaVPAd0VoONORrUhFgjZjygm1f8qgpv5ldHQi6x8WSRUlW/yuFNQVxd5iqzQHY KbzBPHEmnLt1E0J3fy7DArZyAbzc4OpeKOH+eVpDHtSu3dFfngn/ZZSu7vBkApx0Usa33kxrRN/P hWcYU4KEoQYXOY2txhUXXvm3pzUQTvx5zgExt4eO1Q15p3fvLVTnNXQaOqAiPjpmRBksQBtvM6jY 14mhJFNW4gXn9skVDxN0q2zYfkd7wYMutopPvLjwx4FnvABY/y922G26VAoMltb5CE2r++pg99PM pwTu+xImXn4P3+rfVIWhF4QkPqYheEHOCgxsHDjMsSMuc0irk6eGauhKm2Un/Wtk4tvMse7zqrfl aYxjVkCs9kSbdDyI73pZtia5YMbKR2tawazA6aw+q4fyTWCeys2GCbajEVGWzSYVHNJI472R4U1s xJXddi5B7ssURyhpRZmJlE3yAVMUSw+ic7p9ZMeaWp14t9REl+QorBhA8ysN62K+qE05IeSo0Py6 4vHT5NZIMKmCtvrIJgtq/eF//VSnpRdbhox3vDKnvVZ/TVy7HiL0qZYc4wCtDb/JK5Llrcs902rW BaAEbe7XbmxkGrA0j8znK0S2gPC4V4lBiU/HHXqaIWyBFkd6f/tz5QcL6SExmMUyEeYH6HTKlbkR XD0VRBytTWlJrvsuCjKwX6FGjJr0wrG5fOrAqjQ1A8oUogvXNAZoct/4z6h/JccU1xd14NWuw5Y7 UebAB+6zeG8AXzcvKEqFC2t/LZfwbfPp2I1lM7YejuHYk8SWgaWxz4Fb2svO2FnJx1hNghVja2ae WK2WIze0FzUSJdg4BaGzihh0ouTDObB4bAiNRKir7eIbLijoYItoi5WMKh0L8ZLtg0BLEG+fFXEP XqG2CPO09YVEm2s5aGt4IXoTSdMrfxYCIAH4h2Z3I67LqgBfYjV+4LNL8Us6Dz1Vg0qBIPkKISKK s3/Ll3A78pDgPtWYr86lisNdA+AiKDFuvf1o32Rl9HEThwKJzrkzKzE40O/XIBgRQXSXORbzJrHF k8xR18FaEyertTm/YhFLFVsXjP/JVNHZ+rzklTUiHu7WVyL1HQMsqi8G2bKgkmy9+SEpr/i1cVmf uP5PH/GanAg7gLF4AvBMYbA1+hWJ5fH2OYy5K0FYJ1YGSfT6aTyAApoKHFpCyOE4uQjSlPvRDBuX LRALkO7RSjZXvHxBMgmMs2JJSYP7bmVS2q5YhLjvQSSlzX2Bo4f5yrPYxd9eGubGSKGJWu8G64+6 GwiCxYp6ydKvl0hsUkB2wMuEvzsbDYVyiTZq0/cI45d2pWx3+O4a/r3Rjp7fFf9eYEd4IA0EuJx3 xoC+PmgF6m+bNIdsqw4wTyhIBdifOF3fdchuGhB5jyvvooydgdpp9QQB7RFyx5tCfR5xT3PvdffS 5BrMPPt1GPFigDcTQsm6YPY1Deg8DmKOmef6sIKfFuTxEWFW5BrYZLQKu8vvzjGZ7akRz4Ubz1CA KCI8dHgHAWfsYo+qPfgWf2CIj+cSwJ80e77L1D1wZrzgCqGqS2rtoutCjQ0DAhjDVXlsEPaMm06E Xmg0g/OGJRtlUHbgGbPAuar8TpZVpwgbXgnKc9syFtpDtpl5NEB50I1nzPKsbkBxkKxhyM5qvSVZ xSDBt7Zmw5aEPhbHDWyaCa5kbaMSKZ8P2RbWjjSdu459B/Y2HOphFnk1EQNEjw/E4S3AV64tgoMS c3wWHhGyXEzEJyV3BJrP1dgISWT8RiYT21v/0oJBjI9xEZgOPvdMYldOoJhduN0M6t3VpHXa43e/ AhhJNe+wtaoE+Iu88DBnCeUKrPdzsKP7prGlK8dJNAkUOfsDGOjEwYL7YsQmR8WaIqUSUVVqmRRD cG0G9gns9cMzF7c42p+4OdADB4LZ8IM6NeFqxgvzZafbTe8d5igyTa4gvOICZJHchzprmesJwt9I L/s8HFagJ5jknzUxQFDFP1LXkPT73RhL3Fg9H49yrBFHP03QmAs3nJU2jk1pckGEovRrESoyq143 Bvx44F3VPWYSSBwSX3rFDDseQXnL1+iuYU8tD93wYbu5kL/4I6+2SfzWdWvx0faZQaAZrWeFRdzk qJNTryKRdgJfyJaxUDoRsCs9kXOUSu+adKP9HzWwoI8xpSpj6p+TV6rF3V8UIwbWHNzu7BFrZO/c qO+GtF6e1186fko69OG6GjFPzPm5mWKa23owIJWGPe4pr5Tgf8NxCHr52yGRNcksNhRa/zyFb2LQ M4BW2f3AQKuQZSLeqBwIBCBI3T6kg0axqfJFzNI0Kjj1sMfrc3jRmahFHp8mKc6FgjdUlW5eTHHt gJ0XLZtzjZ0uQZtuN9M7VIVGT6fKhludJEbnA4d6K97e2wY7f+xdWjLLHsktgbngbMT+FCAnv9wy 5v+nKvaAP/j+RVSJWSKfC1bCshSJ7b/K3N1pnxMpLHLSHJOw9eNRn34WOvEFBm+CqLbqN/YJ6o+h KQDRNpCRDnOfDLLZ87T/08Yd2z9I+j4OKmRi+6xSZolvny2m/jBu/ytWirIdsla72aejiZweijOf KlvZ7+8WcVZkAAaQxWgkCyO5zCeq3/arqtlJ0heGobhRBkt4WyVipvdE9bUY2tPrZseqJZNI0kO8 aKBBujKeKIHrRDO/xOvvrVaCHA0/gAtRizdWRwOXrEb3r/rAnNxj7DvnDh78CkSQ16QJLuroqeHf 4DTHI4CjWg4nO3HpBjKgd2k8/dpQGo8Hx9+XHX57aoOwMwYOw9L7lx/xyZTAaelooig1948d9Ge3 UkRwZnCN02D4CpANldUrLTTGkogCXOG/kSpbaiQ6BFNCnPOAGODYf9wys23n0eHFSuRYn5+zBrr2 zmn8Z8T1hI9reFrsi9jSZiJGOQG46QG/LMatsAl3RlHr2pMzkwdEvFniRfFgjkZ9yG6TMXLb29L/ SuoRyaZHzycfg5V5XqRsaYQXbrdCifpMdsn6PBYfeS0Avl2/I3wVUuWJHz34FlItcwJtEfPhB5Iu 5p3M85OePep+DdjrVNZ4NDIBXL5P6OiaFKQ5n/1DzFwZGjrGRJZIFI/ne1NobrnG5ucCtP8HjdTx 2BMnKmjuzhk8V0aTqHu1hygfDD8NNjeFhgPHXSQ5KWwZ9xcYMcW6E+ExkJ5BFPk7qNn3XyvbGAh+ KHp0DiPRivNyD6ROpJXTSoA9ZBGhe/93yJiIOXmaFQ3Z6HWKrgqkXcncEyGLVm/nTM4CHYUIoRyK +trScNMKkLsWMJ0S/klCtf9Qa0LU85AtyQSIbFthpMiSnNWvJzWFbymumXPTIwWB4qyldj7VZjFp SD9aB1t98fGcioZwO/hm6X8OXh9xtmLZbeQGQXzhhNVnEV8//CLnD6x1F7kYZ/akR5Xuau7TCmdt 02tXQlO8npusie7Yctb8J24GVBGmF6v43uov4Y/AOfbR3cH9VGb6B8sNs99ZBf9XcpYw2SQ71Fg+ AnipKLGQPVd5jII66RX/+mMn4r2HdGpT2XzTGXN1RR1XGUFO98UA76+WJJpymoCkLNjOXsMdobq4 CtVCrG9u5ru/514Yo/crPZn3gbdIM6v89YyuDc2kBqB03M+4Y7DiCz+dr19qOj5ZpZAHasboqUXX Xg+SHeJYvQJjq8AjL/YFJrK2LFheRQLSbUZZF2X6qlnjHHm0dEJ5Zg5ItwxYFwAVDr62EdE2KOpb y24dhSsFBkGREmIdSvIyrxK9XnnECVLQfmk0x7lofiWGAJJhhkZxdL/4SdfuUfM0XePyES1I1MPe wtrpPkJq3i0cDnvi+8CAybX1t7vk7mfjP8VPdiWP15loTB3OYsS/1bdWU7XcsD0xmsrN39/kyh2v FtKYdAKagkc+R/HbZJI1tqjdHwzUmtwHFewSARsgkOiBRYAWDkAmhNB4uoL6r+RDd2Scn5Ze84PK 5LOsu1d1fEnruD7/KbhuMVCtOFlsSKULiw5lCLd/7QjnPrmwgiYOPSpV9pZcVLzoi3y/iZfZ8JRn 9aSJ2w== `protect end_protected