content
stringlengths 1
1.04M
⌀ |
---|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FE74Lr97VmP2+Ez4rVovbpvB4Vynb7rIpzp8VfQztGnoDYQhPydTGw7yfEWSM5wxHTELmoJ2e0kg
nyVOAJOzGQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UnafVlLwmVqAgDqs5BDZxTsO5Qw7Nz7T9DxPoDF0yCGyYUDPhiDs1mqI3Qg4QkYIJp5yYFsGIAAO
pUYs/IY/A44uoTsDTNaGtZoBJ1v68kJEgigV/osFZXpEcDoqag3/4JvCEpkiquflbTFnocW307r8
0cE640p4GyvyHA08QzM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rfFLFKH82qRgMOK8+SSf05H2LmUnOQNDMOMMTrDokVNnoH3TrlXrFkRE/tLuqVI87gD38MoU0OsY
2vyjubJ+yK3fH69lUPsWYfAvtU2GYCn9lQxnDlilq3K9JTZOQlARVDCUJs7zKijxylKCQ9T4aeOy
qWSJQf7IY72ND0QmI4tbkWjY9UVdTMA0mNgfU1R3/x2b+5MxrvnivC5O40ApLlsTZJdrxk3CKVg9
w6j++2bBkF8pDTv4uJYJhQDDIIu6T25xOKZAldxd+F/YHif5qz+3kDBbZJwHloxlDIRuvoJ/Q10X
fAIvL1Bfmd7z81oSb2W1AQyE68hf98QRc+yt6g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K3+UOwlCwx3t7FyQuvXVOuOLSf79w8H29kYesB4t4ENU7w/cJ+3jINJp3g7+Mw/l3pow2eggqoBf
iR2wVOlrGRDgOMdP5om5gBbx5l7eLztB5Wu7TXxa4iclWrFOSPWLp1OuF5oKGeVz6IS+D0PiG82m
GJDW36qBP5Bj/b1u1ME=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EXT8uDkmRcpwbfGuT5uQLCxfBwgkoXSHlzuXgPMCFUCzus9PnTSCzAm+w4+DWFCWCKofiwIYxjX+
VhvGm4jvvVmlHHmdFjkFfHf9tcT47/Qv+MNlvS1uDLyBUnKJFHfof6DVosv9docWZkjQVvvv54/h
+XjrqvpRF6uRIWJessijQgbJ5Riby6fuu5/Gao0iUQ2fUgTF8lCA3xgAXbv5+Cl5eccDmIQV/Bf+
5e2BleBP1Ac9mgOEQoT10lCrnCOifjRNdLGfLyIA4INjmFyVhYX2slSsAPtjU7fa3zGD5KNICn/M
bA66q2PSTKNLTr4xOU/9HIDRXVIaPzR1uLrkDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5296)
`protect data_block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==
`protect end_protected
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Logic_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Load/Store Unit
-- Operations - Load/Store to a register
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Load_Store_Unit is
Port ( CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR (7 downto 0);
IMMED : in STD_LOGIC_VECTOR (7 downto 0);
OP : in STD_LOGIC_VECTOR (3 downto 0);
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end Load_Store_Unit;
architecture Behavioral of Load_Store_Unit is
signal reg : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal w_en : std_logic := '0';-- '1' = write, '0' = read
begin
w_en <= '1' when OP="1010" else '0';
process(CLK)
begin
if (CLK'event and CLK='1') then
if (w_en = '1') then
reg <= A;
end if;
end if;
end process;
RESULT <= reg;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity binary_subractor_top is
Port ( CLK : in STD_LOGIC;
LED : out STD_LOGIC_VECTOR (3 downto 0));
end binary_subractor_top;
architecture Behavioral of binary_subractor_top is
signal CLK_DIV : std_logic_vector (2 downto 0);
signal COUNT : std_logic_vector (3 downto 0);
begin
process (CLK)
begin
if (CLK'Event and CLK = '1') then
CLK_DIV <= CLK_DIV - '1';
end if;
end process;
process (CLK_DIV(2))
begin
if (CLK_DIV(2)'Event and CLK_DIV(2) = '1') then
COUNT <= COUNT - '1';
end if;
end process;
LED <= COUNT;
end Behavioral; |
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:37:59 02/28/2017
-- Design Name:
-- Module Name: /home/julian/Projekt/Xilinx Projects/present-vhdl/src/present_tb.vhd
-- Project Name: present-vhdl
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: present_top
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE std.textio.ALL;
USE ieee.std_logic_textio.ALL;
USE work.util.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY present80_tb IS
END present80_tb;
ARCHITECTURE behavior OF present80_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT present_top
GENERIC(
k : key_enum
);
PORT(
plaintext : IN std_logic_vector(63 downto 0);
key : IN std_logic_vector(key_bits(k)-1 downto 0);
clk : IN std_logic;
reset : IN std_logic;
ciphertext : OUT std_logic_vector(63 downto 0)
);
END COMPONENT;
--Inputs
signal plaintext : std_logic_vector(63 downto 0) := (others => '0');
signal key : std_logic_vector(79 downto 0) := (others => '0');
signal clk : std_logic := '0';
signal reset : std_logic := '0';
--Outputs
signal ciphertext : std_logic_vector(63 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: present_top GENERIC MAP (
k => K_80
) PORT MAP (
plaintext => plaintext,
key => key,
clk => clk,
reset => reset,
ciphertext => ciphertext
);
-- Clock process definitions
clk_process: process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
variable ct: line;
begin
-- hold reset state for 100 ns.
wait for 100 ns;
-- Test the test vectors specified in the PRESENT paper.
-- first test vector
reset <= '1';
plaintext <= x"0000000000000000";
key <= x"00000000000000000000";
wait for 10 ns;
reset <= '0';
wait for 320 ns;
hwrite(ct, ciphertext);
report "Ciphertext is " & ct.all & " (expected value: 5579C1387B228445)";
deallocate(ct);
-- second test vector
reset <= '1';
plaintext <= x"0000000000000000";
key <= x"FFFFFFFFFFFFFFFFFFFF";
wait for 10 ns;
reset <= '0';
wait for 320 ns;
hwrite(ct, ciphertext);
report "Ciphertext is " & ct.all & " (expected value: E72C46C0F5945049)";
deallocate(ct);
-- third test vector
reset <= '1';
plaintext <= x"FFFFFFFFFFFFFFFF";
key <= x"00000000000000000000";
wait for 10 ns;
reset <= '0';
wait for 320 ns;
hwrite(ct, ciphertext);
report "Ciphertext is " & ct.all & " (expected value: A112FFC72F68417B)";
deallocate(ct);
-- fourth test vector
reset <= '1';
plaintext <= x"FFFFFFFFFFFFFFFF";
key <= x"FFFFFFFFFFFFFFFFFFFF";
wait for 10 ns;
reset <= '0';
wait for 320 ns;
hwrite(ct, ciphertext);
report "Ciphertext is " & ct.all & " (expected value: 3333DCD3213210D2)";
deallocate(ct);
wait;
end process;
END;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NtyLCYL5/3rfRWX3XIUWoCyJypNOH9cIu+d+Hqwx6gD9tTUVuLJoOBkvN/BbGHIv+gHzbebnGJ07
gT9c2od1Nw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gOvt00Uo43u2bKjWtQoTcla6e9cy8DigekFHOAFvmHLARM0er2069D0sJrV+Re+Z0pNJyi6G8RrG
7xF3eUwAm2HM7vum+Ypx+PLpFTVtAE3qcos/KdoFVruQ+2KR4xm6ct5GHE7I4I7kHpb47V1n3A7m
KsVZ9Q4Gj78XZiTnAcI=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ezxkbRJZF8baEvYrEgt01fk39VlF4Vy9oPkF/Tcr/ZSXWZrN3Ny/64ZRk5rdp3/Rw4mQWdsVpddN
NR61Y/lHKqyzIFy5av4Fc1hvtJ5QrGu7jT0itvr42t0ZsKBOir24UhbMtyEqR3CR5fLeSQGsmFTF
dv3KWzF70ty9bmZVSQA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qGx0a/xTrbWGkdXtnErSWmLH57NqWqgsuujHfQ7lkXQ/8JEV7zaMMf6MlTKUSECLGX+Hvc0If1o2
8pSBoaczpNUPnVU68eGXWinC4mD31mx47odaV1wlH8fsVQbGMdBKqBWy9TuX5hg0WgT32g0BqluF
Ofaj+TwzvjOXbyxmTJFJC6smWfhzgJpDMFRCbwUgDfK0WLgNt0hs7vmOlNiRXaaxSMTGYh92RFiS
NxDABUW6vw4h+bGTVG3JhrkJ5qwKlmYlO4SD/jiqQmKrtaue8mcj0lTSTYM6SCCabd0uW94N/8tu
lzw529SU01QAq4RGqkkbg/FyEhRVNeYtMYy67g==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
g0cGT4TvnX48hcFZPn8fyr0BfDW/3mBT+7tkdfyWZShlmQV898cMKehzh5fGzmgpbgvX00nKxBTj
WeqyMahjKMWih08yRdHR3vNUJnu+cL6RFX9ce+T45X4jsmlXx/3XSRkdmnxonh8czuQZQRxMS6Qf
ofXembsqKBHB1Mfw0IzbD7aczjkxwFftGUuZ5OCU3B2FYe98Uxzn8zJLzvzLLD6qQ2ZHMoZA/Twb
InQ/RCbLhXp3ETIjJwF0wkbmIOXTthHHlTDEzXaE4esRBAX05vSi+2cCAm8ofWSaUHrEc0c87M3K
dgyF62TnK+GOK+n/yPM+tHzqnQJ/S1y5eCwMhg==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XJM5nAzNvkSjBrtMy6mR1RzFhNDHeLRKo6RsiRuAYypLrtq9GNYqKE+wyYP+Vo7xVBHdMLdP/8W+
MnvqKQLx4Vam4wQ7/3p6kO6JOKSLHgO7ujNfnfqKtGP5+wiWMQ3ayWgmNFExkMDOh15tsG7/M+BC
kP250ud6s5Rg3PFm7qO0LeVdlNevnOWFcNZmchQ4swQ72GBoyxzYh71u7WHuvzvMmFL6nu5Ag6sT
g2+Tuyl0MjRDJ2cHrBEG9/s/oPnSR3A8+jIaMFQDUdNMGg/gKLbDe0nvtFEwcxj3UItyerS1gTi1
knhxeuAu+zuveWwBMOLo3qGmEy9ucUl/jCOSWQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214512)
`protect data_block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`protect end_protected
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.bfconfig.all;
entity control is
Port ( clk, reset : in STD_LOGIC;
d_jumpf : in STD_LOGIC;
d_jumpb : in STD_LOGIC;
d_write : in STD_LOGIC;
d_read : in STD_LOGIC;
c_skip : out STD_LOGIC;
alu_z : in STD_LOGIC;
pc_out : out pctype;
uart_tx_end : in STD_LOGIC;
uart_rx_ready : in STD_LOGIC);
end control;
architecture Behavioral of control is
-- It takes two cycles to reverse the direction
type modetype is (M_RESET, M_RUN, M_JUMPF1, M_JUMPF2, M_JUMPB1, M_RXWAIT);
signal mode, mode_next : modetype := M_RESET;
signal pc : pctype := (others => '0');
signal pc_next : std_logic_vector(INST_MEM_SIZE downto 0);
signal pc_cache, pc_cache_next : pctype;
signal pc_overflow : std_logic;
signal brackets, brackets_next : unsigned(7 downto 0);
-- PC stack signals
signal stack_push_notpop : std_logic;
signal stack_enable : std_logic;
signal stack_pc : pctype;
-- Jumpf cache signals
signal cache_push, cache_valid : std_logic;
signal cache_out : pctype;
signal cache_ready, cache_ready_next : std_logic;
-- Skip one instruction when skipping instructions with jumpf cache
signal skip, skip_next : std_logic;
--pragma synthesis_off
signal mispredict, mispredict_next : unsigned(31 downto 0) := to_unsigned(0,32);
signal predict, predict_next : unsigned(31 downto 0) := to_unsigned(0,32);
signal cache_miss, cache_miss_next : unsigned(31 downto 0) := to_unsigned(0,32);
signal cache_hit, cache_hit_next : unsigned(31 downto 0) := to_unsigned(0,32);
signal cache_ready_prev : std_logic;
--pragma synthesis_on
begin
-- Stack for storing the program counter for faster return from branches
pcstack : entity work.stack
Port map( clk => clk,
reset => reset,
enable => stack_enable,
push_notpop => stack_push_notpop,
pcin => pc,
pcout => stack_pc
);
jumpf_cache: entity work.cache
Generic map(WIDTH => INST_MEM_SIZE, -- Length of address
DWIDTH => INST_MEM_SIZE, -- Length of one entry
CACHE_SIZE => JUMPF_CACHE_SIZE) -- Log2 of number of entries in the cache
Port map( clk => clk,
reset => reset,
addr => pc_cache,
din => pc,
push => cache_push,
valid => cache_valid,
dout => cache_out
);
pc_out <= pc_next(INST_MEM_SIZE-1 downto 0);
process(clk, mode_next, pc_next, pc_cache_next)
begin
if rising_edge(clk) then
if reset = '1' then
mode <= M_RESET;
else
mode <= mode_next;
end if;
-- Program ended enter infinite loop
if pc_overflow = '1' then
pc <= pc;
else
pc <= pc_next(INST_MEM_SIZE-1 downto 0);
end if;
pc_cache <= pc_cache_next;
brackets <= brackets_next;
cache_ready <= cache_ready_next;
skip <= skip_next;
--pragma synthesis_off
predict <= predict_next;
mispredict <= mispredict_next;
cache_hit <= cache_hit_next;
cache_miss <= cache_miss_next;
cache_ready_prev <= cache_ready;
--pragma synthesis_on
end if;
end process;
process(mode, pc, d_jumpf, d_jumpb, d_write, d_read,
stack_pc, alu_z, pc_cache, uart_tx_end, uart_rx_ready,
brackets, cache_valid, cache_ready, cache_out, skip)
begin
stack_push_notpop <= '0';
cache_push <= '0';
cache_ready_next <= '0';
c_skip <= '0';
brackets_next <= brackets;
pc_next <= std_logic_vector(unsigned('0'&pc)+1);
pc_overflow <= pc_next(INST_MEM_SIZE);
-- Save next PC so we can get back where we were
-- if jump was predicted incorrectly
pc_cache_next <= pc_cache;
mode_next <= M_RUN;
skip_next <= '0';
stack_enable <= '0';
case mode is
when M_RESET =>
pc_cache_next <= (others => '0');
brackets_next <= to_unsigned(0,8);
c_skip <= '1';
pc_next <= (others => '0');
mode_next <= M_RUN;
if d_write = '1' then
mode_next <= M_RUN;
elsif d_read = '1' then
mode_next <= M_RXWAIT;
elsif d_jumpf = '1' then
mode_next <= M_JUMPF2;
-- ] shouldn't never be first instruction
end if;
when M_JUMPF1 =>
if d_jumpf = '1' then
-- Two consecutive jumps, we need to push both of them to stack
stack_push_notpop <= '1';
stack_enable <= '1';
brackets_next <= brackets + 1;
end if;
if alu_z = '1' then
c_skip <= '1';
stack_push_notpop <= '0';
stack_enable <= '1';
mode_next <= M_JUMPF2;
else
-- Infinite loop, but do what we are told to do
if d_jumpb = '1' then
pc_next <= '0'&pc_cache;
end if;
mode_next <= M_RUN;
end if;
when M_JUMPF2 =>
-- Readying cache takes two clock cycles
cache_ready_next <= '1';
mode_next <= M_JUMPF2;
c_skip <= '1';
if d_jumpf = '1' then
brackets_next <= brackets + 1;
elsif d_jumpb = '1' then
brackets_next <= brackets - 1;
if brackets = 0 then
-- Store jump end address to speed up future jumps
cache_push <= '1';
mode_next <= M_RUN;
end if;
end if;
if cache_valid = '1' and cache_ready = '1' then
-- Skip the next instruction
--pragma synthesis_off
cache_hit_next <= cache_hit+1;
cache_miss_next <= cache_miss;
--pragma synthesis_on
skip_next <= '1';
mode_next <= M_RUN;
pc_next <= '0'&cache_out;
--pragma synthesis_off
elsif cache_ready = '1' and cache_ready_prev = '0' then
-- We need to check previous cache_ready value
-- to avoid double counting
cache_hit_next <= cache_hit;
cache_miss_next <= cache_miss+1;
--pragma synthesis_on
end if;
when M_JUMPB1 =>
mode_next <= M_RUN;
if alu_z = '1' then
--pragma synthesis_off
mispredict_next <= mispredict + 1;
predict_next <= predict;
--pragma synthesis_on
stack_push_notpop <= '0';
stack_enable <= '1';
c_skip <= '1';
-- Necessary
skip_next <= '1';
pc_next <= '0'&pc_cache;
else
--pragma synthesis_off
mispredict_next <= mispredict;
predict_next <= predict + 1;
--pragma synthesis_on
end if;
when M_RUN =>
brackets_next <= to_unsigned(0,8);
if d_jumpf = '1' then
-- Jump forward
pc_cache_next <= pc;
mode_next <= M_JUMPF1;
stack_push_notpop <= '1';
stack_enable <= '1';
elsif d_jumpb = '1' and skip = '0' then
pc_cache_next <= pc;
pc_next <= '0'&stack_pc;
-- We need to check alu_z on the next cycle
mode_next <= M_JUMPB1;
elsif d_write = '1' then
if uart_tx_end = '0' then
c_skip <= '1';
pc_next <= '0'&pc;
mode_next <= M_RUN;
else
mode_next <= M_RUN;
end if;
elsif d_read = '1' then
pc_next <= '0'&pc;
mode_next <= M_RXWAIT;
end if;
when M_RXWAIT =>
pc_next <= '0'&pc;
mode_next <= M_RXWAIT;
if uart_rx_ready = '1' then
pc_next <= std_logic_vector(unsigned('0'&pc)+1);
mode_next <= M_RUN;
end if;
end case;
end process;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
entity PCMulticycle is
port(
clk, d: in std_logic;
AddressIn: in std_logic_vector(31 downto 0);
AddressOut: out std_logic_vector(31 downto 0)
);
end PCMulticycle;
architecture Structural of PCMulticycle is
signal temp: std_logic_vector(31 downto 0) := X"00000000";
begin
AddressOut <= temp;
process(clk)
begin
AddressOut <= temp;
if rising_edge(clk) and d='1' then
temp <= AddressIn;
end if;
end process;
end Structural;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block
Wy8avf52SKtFRWpdKbuuoKz/QISNFt5qyx1njgupdsY1yGpbX3zodNM63ljgbYDMC3fZW2KyZA85
bRsP6RIcYZOndRqOE7OfH1ur2jFen4OVLRXLO+1hOqazA6KQe2iZsxqSqjfPf2LX+tWfJO/eUBuu
NN/DDOvWclIkj5bWWjAOAtaj2ThrtTqPf/Wgm1e+aN1qhMvvZguqwpBvrrLcckW0KeLgbIZPyh1G
5qPHxWqKah52yTq7Ywnyt1sm4WIZ9hJU5H4a26cTUQzChHK2Zka2hkSrxwwmTmZ7I0ooQWEEHQG8
g6UQzKhhk9CGAy+kKdPs2/vSoI9RkxrUY4HloVEOl3p1A3FQMEsUHDbAMiQGAznYA7a6JSZCkgp4
lKQsS5bZQZ29rLBvJAu3DbPvK+fyUaOYB0iucF7/zIPubiPgf0+xTr7LiF8k6nTnh2QhOaw3gm8u
VqPnG/linjOiqwPlWr4/HVhCukQMM/yk+tHvBsdClSkzmOVr739g8WAxqh8oUvQuXWLmvcZ10PR4
4274FDLD2E7mjEq2oLGSjrS0MmLK1S++yWo7WqUMLrBMdBcef4aE5ZT9vp1/VmxOLlvne58oqvhh
/1744ufYxPMpdJvpMCyUynJm/v1Lyt9NI/TbG+74DQNz49lJCJK78lZcME8EM7UHuc6B+ubWNVA1
7PZPdrKbMLAwoI6eYJ9w96HfaZ2dMZBhZwM8mbQ7FThGdy1U9ECwxeQW0rxzTnlyRWnKlHdgBa79
D6e9hlTgXS1JoJwleRFdZccR/gtMATd5yiSpTsbf9d1cyUv2eewvsgxWaI8I+HPhOfd/uyzlZjbO
zDHdS7aILW0PSSw4O4LCbM7zQM3i/ui1KnxwXK9Nuw4tTnreS2LmjvDzcTrpkGGEI+JnAFIGll8+
mxMVRO4QndXwiNfHrJHat7vAfZ/XDXuUTrRPRc643RUhz86JZKC1aOYdP3Iiy28FkRoBkn87bkr6
tVdgCkjh7utXVwv5qlhc+nWnos9u8PggfRXAaZSi7Ajw8jX6s6FLAYXVl92IYdDyYJBZT7I6pUOi
4ql2ucfkF9ql5+8RiWm1SeDPDajH7w/ZNsbt7Sypir3H3M3KM+1q/ZxdZUyhBS2WZyw3ow0goIgL
9hEtchmsW1n+OtYDg5bISQvtjPouiXGoWqdyLc/fEVsBOeuFUe9DSYn0jF6zHSSSLLS+DH+N5s1y
MVcavlbR7r5mtqnhnbxsdICqX8CuCAh5hUleVbwlF2YcEVXXeCEPJtT5vsdDQXpoUXvWHjCFHMtn
Z80WkY0Qfz1Cs2o6IIrrUwdjKHMsXi5x4QdBFOQXy6sFXPWu14LqzGxfiVUW/bbl8v/VzpBslvpq
AvcVSGbnhuqqzgOkoqE12mfG89X8GRBWTIs4jn2TMpzVCOKEd76p0Gf8hwAA2t5xHVJELHM/CCNZ
25V1MVpsscSpUoY1AR6NgAoRP4DvAqJsN6C7UwzNHR/hNuMed5pS/kzhB+xMZ9Tj3J74nFKRn7Q3
JM5W7mHmdy9n0rkJUR6jgJRl4rU6SCkCOGDRnZW4D7LF8j6axIQYateOcd2mSJWUFht/xL0ZuZoW
4kOKSFiGNzhFNBqIAzRwhhe0eNSuFiixdsaVPHuPMAzBGiKUv/zkEjjSuIhev0pB/t8MmWdPmRzI
AlNbN6aVA32Bod7Rs6FSX8sdBNn+WmosAMd/mPmGM95pO/NNz7GvF/f4uShaRx6W66UwDkWcbhxX
7PGg6Q56g8ahaetujwOvhZQQdhIUgqD5hBzpSeCVG2tKWzKrSb843P57jICJRb0F6fsDuy5eNuhu
b4QtiRYcuog7Yh/puCz+rIfthFGg+7Ki+4UD7v3yO6SIbJkuwwx9YQ0oHHyir8MpSB+KJg9wQWsS
/MFNTlx6V+j2NfzBjyDRhLomU/xDnppWkQy6Z7mPf1LWILgouCIR3htQ0Bd6g/OqVJQGRmdePgok
3pSaO7rKefY6QmcdsYHr+9lsVGSIc6HZZafJcmGJvD1tDFl/nd8m7Pq37Gh9sn2AdppYDl7Y50Mc
1yG9De/Yg/kpBGCbCTQJ/X41+nTtCJZV77L/LDxZvMUfHqrdsb/sqjpHaF3AID2Z9EyG5kjFzvdk
P9MhlgUrdWNeI173BW/tx5ehaY1CmscCFrOFhSJmstGt44fmo4tH526BKNSs4FUK+ddSAXuZtEEo
qXoQOzRmAxsBpWeXRlxhwANqvwmFSVKB5lDW8EwM+6smFOkAlxKec8YFMwk7nqDBad413F2OdQe9
xHgl7d7GrdH4EgGSxRYfOwyBub5qDKRlQG2qdR+7RYx05hi27pL+R7yu2bZGcFDVlBFSPHt+oSWc
ryXBF2Ctyi1YdBYCnwXeI68GfdlVaDZXIvrokV5ytKkxicZv/+pPBeSucbqZuvMwsoxdzTEYXl7H
L1PcpVDt+KCV1q4Wb//2OnUatdXlCHzJ0b/Pv8XSY9RJdjTqKeNnTq7Y0O3Xwz/Ra8oVoTuNrmlg
SSq2RqxEBaBaQuMuxw7ryydzDtqKo3roXTYslewGVJ0nj3PB1WNEWMrA3x7DXm9xPcF5cuDNXeGQ
IN7Dgnui1QCenbnrc+vYKFeTg0AdNg77HJtYSGbdPl7ADpZpIG7ctckmTUrsm0sxK1kC/qewHJOb
uFG2ik5gET8Q9StUfddEMqNUuookgsb8IgD8uyb3duhstmtmx38BtaQbqJp/vqv1c4k2hg/s6G9v
zTDVfmPG7Mi+e0kWFymeDeTcPjYaLty49QShB+W63UzG0E5c33t1WHxeb/y6MW3TE1kN+59grgH+
C1EnM1q/SV3l9UKUeledCorm3ndJGEqaNIdnKXcrM4d/uuACPOl8hzo52dPYt3JEE/3MuP63PFCK
PBgzqhgsfStKaSrrAR8D5mTuO464ga+2d0ka1Q+u9e1uNs4uFUsRpqZkImpkFf35blE1txie39F/
DebDjawhICUb/F6iCA1BEMGt/ymt3kaxWoXmrcsNLfaKQptZhr4sq5TJ16GPdsr32IIuY5qatDeT
9F//kq1VRtuqkWaWYoWuSHCI02uOFFXaRZpppvkswsANVSfcB2+IatSk7GQb+dXWTDtqniI1t0NQ
InEU/RtjqtS/9x6LRHIo2e3gb0J6kyVTjfMoDXJv0EiOMizi7mn1c+kusLTDctMMeW7QZnvmZ68+
R4wRxxHusnPwHDstaClH2ZwISIE6OkH7MmXgxqG/wn7WN4qWAVI00ZLVAP2nUo2Rxbjpz4NIldZX
RUQG4HLiE3ifaZU1CsVfuRKvAn5RufBRJJsWWSs+R1batomtbpytu+1glZDv2qQaQiAtrAbM2eot
pVrEJXoeGJ9kyGDJgfbciIjhHwH+yVX5M9xu102ci6uOqGSFGn97ILP1QlP5E6fRe26LiF4jD6hP
DQa2uavFGESi7KblVupdYchk+cVeoVNIoexVvFgAWe5KXYpmXTQge+NAaVrGwqWrI5qLv3BOSVd6
u13CQjG1e+gJm0CHKsc44wiiGWTeuixnaLKWGoF0o/PQdfb6qwgOAWqkr7/RwjKmDsCVJBQJGs1a
AnRzOgoBUl9zg6awHDqX6uCyynFrywGj9ZCde9UqrYPy9w9oxqjHH9oFYfg+yWxxNzN9a0rt9Qy5
E9yEron2LBl0johs62Lbaemx23DrAOnBR0bmmNzwkB5//37/mNGTfbCTYCp9AhbfNair6LcHbtv7
1u4+QoDzdPz/uGQ+VDD+wVNkYS4It+dE3tdI+d5kGoLOU01y5Q229fmPQI8Qx/gvTjVDaIm4PvTD
hSQFsrHEe2Lbrl1HJzM9dHCBUfgca9lSsUt21PmojBDbkY5Vqf2uC4kU3oXcpsan4O6M3AMBQALL
p/H6CiUp8UbKFLC8tPQWls1Cz5zWFeu3H9zs5b4NbFj03IdGuuLzNYRQqT9Xgb9VIcpFsS7p8RDD
4WEVgqDaUHAujKK4vL3Rulh9dLEA99wkimdlcZLNo/WaM5QPhNiAfqVC0/1LZpKvAqoSL16GzWuT
B2ohp92tT7e3/l1E/pvu4zZuI4XezQoMzDgFUW3AoQxg2J43mAtbvufCl9u4NgkoMpsnDBdjxc66
VF6Ff7YADCb3iVgQqTh0Y3iA4O+nymCVfxHn4qoIa9sSnXGgpaavd4JgoXX+qVtu73OaCPzKu+Nm
wXq7JWHUgrUONz/I5yS+/BhuwMiG0dSydSeQ8JMLH24W1pOJXsoZoJZjtPpvV1JNlUQ6vKh4sj1p
VYcT4eLxYJINamRVEPox9iMFfxyvytbpaQ0ALxV1DEDg0u2SAFDpOGPsDvpLvJwEVdZMnB1X9TCH
ypAIbXEbTTBLSnI+KfMvaO574MHBHQGgQyVs0G/k+ytC/Hcjqa7MbT89IfwUP3Pcp1mHJNNbdWlF
i3LGgHNOnkqEhXnHynlE0B7vmkxxgpAGa4PyYkccrPI0Hw7CXcAIzfyc+necZADAPmlPSXds3NMq
Qgx2p4m0kPkNTeDGlbXAjhuRz3UOEVo19ojtfshlAPTgNDL4UJoq+jQS4Ro/VQeq9UU6ZST1Yu5L
nVQTN0pYifK+O/FRJhItgMBFbQBeD0i5RAV6OZOMC+j1ap459ror/H36czaHEDgp/LRlTzOA3XEJ
9DCodS874t+9SEi8sLFgToKyizki0HsM0d+1jMKrnIjuas3D2GcnRWZBhy5YB9Xvd8lPME9/F75u
ux5+GONncO2Swk9hhxX5SR+e+eJxgJvRGbXNROOQLqm+klu7rs8nG6a34b9jF+8XT8McnH5nixeR
a4LyDX48lh4iZ1YAEtdtPZ5T+yqjQ3OR+7Hon+xgw96hXdyCr8NiKPF5BRQtCJ3ZWe0U/g/aynwa
I1BvvzpKt/1G0zdDGDhBWMMGd4t0n1jMPWdEBZYX5WJ9mGRLQaK/YIzCSB32tsKCiiiU6AEKNkoB
1mPuqe5sYJCBhGOrHvlVewKUFZINfBkfFsd8ovWXVWdLuwOLKyJnLtt2uRQC+cswAd3xFtkGADgJ
NWh4+ZS/w4xgSzLbUFnTHtq596pZF5AHvK1UVdD9y+b3kob4Mfjay8E0UzHLMCs5jzkDNhifek5o
y8q8S/oSwdvv8UbdOv2M8OftwNgker8a2AUvfgFTRVdoQpGrSTvbIN3kXFNSRj7t263N8AGlJIvW
iYtqCI+ZudZMePrcfsxkuk7Bgd1hRFpntV6I7iX2SQIh8LdgojuPH7G4WnNutcE7Ah/WPwSDCuG1
mSKCVi5b7F/XQJg6Nxcbo1sIz/+AcV+VxDmf932S6amkNBWTeB8GX8NUS2BaEhUoHgQz34yzP41s
0SLtkfkFsTseKzk3ULdLZ+wuwFPRDYrnYoLnQTK/VLynB7JOifadeS7w/46RU6Zp3FbmEw1W1Vml
Ud9SypP0x0XGurN+Ak7k0wVq03D0kqXe3Ys5c7JYdxiQOHifKGvwCXOW+VxO2FAPRY4NRDbsLL55
mOi0yXjYRPRiqjx3GrsiiFxWGPq4lMhb8h57VGz1e4ExjcU1lT26LZVP4RiP5392DpL5+P5wjF/g
G/8sWdphmruQ7uKG7Qm5QirKr3tE4VBvrFpSqJOFSvML4/W21UpOf8RZA+xgScmauH2mRWF9fUXO
xr6lKJq+Wl66lAe+RXGA1ji9obfhAxerDaHH9NhCj6oBcTf+DzDvC8AAJKc33YXFX4eLwb4WgOVy
BKlsyCICd/6+4HwWqbgsc3G967uj7njxd5uWsHnl1hOjIj+B7zByVAb0DPQnu/0kyYM/mLKYkavn
4QI+0zYq/xENovVx42TUE9HWwXgk393/m2hCuFwAXWvM1uWztXP2OZcANEQfOLt/ZmPEmkKbwcTB
EkaUi/ME2SOUuw8ZbGLi1oH1qAk+kf1V2P0Ab3HrC8HyguzftxdFA0qcMMqHDcLeljHItlTzk59F
Chklymd+wFc7yk6unM6xC8q4hzF+0QrAIT+0s/G7rihsH9UuOy3Zp7js1PQUYXU9G5+RmAoiyX3f
N2pQ2nsP4VOKMLQlIjkgRhe/Io01lup4pqA0VWtYzuqdzi9oB8fEorZ5vUPzcl4j5k0ZIlxwsPtn
s0FWoGie4OC5i9J5job6Tb4sh9cAUQjhSmzr6Yt+dNJJ/F+4RWDfe8MdQUDLmy2qSvbHKJSla+0y
n/A/+9dANtZ0CmEipO1f5aqG2cMd7nEqzJh89vIOIlT/qQ+hZ+4vyhjud3ndpPTQEzbl4fthA7XY
eCBohxqj2lIMDKpkYRJGLN0L5JKH5ThTU5Vipct1I0YAFGn0CmMz+5yuLPtwLpQvWaxN7aX5A1WI
VLV7XVYuNcZpCLXcc2MRxFhjuHEXVXCru+fNPSA/J5hzhlo69cmR+9eYNpKA+2KJPh7/FEC6RO8i
AiLCriezmda155lyb5P/DWqRCNfoYAbWWV+DoVKeFvMc1OAN6KjyNHVPXCj98InKBRbZ24knjS+C
+M/EInkQI6czonIzW8l0RwXxG4FhUML7Ck0rXe+b1ELBhE5FnCT+T6r9F7muh3D83Jh0gAQuQokQ
Q97rLAmh96cjplgtfRXzmGvRYpe/gyDV6BFQo6PAb3mqI9644OiqWwVjtceZYkkZfs+zZFKKurCH
Qb6cpuy3+lB5JCk9NTP4IyuaN4bLgG2VT0y897yWX3caTh8IKUNM/f2ekcIGqP7nkE832yxpcgeY
UxpKV/hAMca8HLNw4zaasJwOrlraA6aIp8yUUX4WQjZdNfWfFYyCxQfylhsze6W7ZjqgtPCw5EMz
zD24m5wPoRRTc1YLTejkEPbdBwEUl9L3QbxOUZP38PG5u0t9JzyTm3tu+9/CRVn/3ftoHb/lN0aj
+ksTZtmYqIf5VauLa0zePBfgvXQ/P6/kduGDK6/wZ/Kcpk3uu5OBoc7C/bfA/QwJERmqeIyp7BLH
b5K3jh3qnztSFHUVsonmSoyOC3vZMcQmeOlS7D1Izubd4n20QCRW2TrdC9YZmT3k2PNPsYuZgM1+
r4JLBbcDtw4OsYm2qUokL9nhri5vRrlrp9p0pPiGg3LalBlvJrqA3O1PUj3MRcCObWAloKo931lE
6PrrrwyQAx7vlgrWiukxTjUk056MZvXRR8oCqbICtoxt+bK+Jy4Hl8k9KJLxKqLiH+XmQ2LcverF
QZ+3xxzJdnpVR8737Vd3qMBk3YkaOcK5TGYXqxAEiO/Nwqrm594E3wkOcygCUc+84o4kWRTiEUKQ
SH/qYUyW3IGTl+SfQGQWrsWj4dwpTc0jAtl8qyoOX51dSeKV70QLoi/SD8cLMK6CzevccMHUCDRr
7rqpSakvCAMkhYUyyp6taGB+kleFplZ2irPusvvuwwrHEguzDA24a/p8IM8YZ5kxBJkFW9HNX25O
p5gMYX9qFxqjsa0qalBuhJnwng5C0/VNNcN/xr8B71u8OPBpxDGwX8tkfDUWUVodFh4TgpQ/GiES
UWPvMRg33Qr4r5cLq8CpZEah5QxGtz7jDX8BuIhUI3cl6n0X9LUT3B7lfm+hgyT+DDzonl0KlpPq
en0wJ97YiOENJfOmWkVv57O7WCcXUwGqE+mLgVTHlS5dykoZRDyFV0CImmu7P7iuOjMpD7qTRgXN
i6Xnfn+N1lRA16ujst7QFSopVhhI/lKTk/6XZaZkGvXyIGzncyHiDZzZqGTdtPavJ1X9KS/tX4Mo
jDCv/qkBpaNex88hLq/88SuirtHuUsPIBIsYAC0lV08wASR2ULV1CG7zWPxpQy6sdFjbLBlHu1yX
GQW76lZLKfcy2WT6tEYeb4NCctVdsw5udA/G/ly5OKO0ZUpzhTpNF/6i1EL4BGAbySxTasxcXsMc
Tb8FQIPva8+Nl+JthCk7qsf8TXSxOddXtBYYWbNVKgc5S1yngoew+65sG1reGYTFYh4PlhUSxMIM
LbPiX/fEEiLSXySx26il7H8XnZN6zIDcP5WVeVLemtqXjXRvlRmibXCwFGYJgv2OBwtee27FId29
zdtuk16FJ7JWQIn0Xr2QRyw/8EMJf1J7NItHb0cdvZOTzfJlfq+OAD1aDvhdvIpclkq9bhb/do0X
FGHVGqSHDW+WG5YP41JiNHV6fSp2XskJYaorOuTm8GvPjRtT2l1m7lI2FXTzJPFRZ7IqCYbRY1iA
6WI9b5JjRa2hfEuakX+vv66nueoCN5bfo+2kgaAHYxvbz/CnfD+ez/vg76tpSpmlbE6gPro1YPFN
jpzCzZY/8j2aLf5KLWgdzd8fyf2KXmUdqAA/q8QXeDqtHKq99j2AFtue2yVHcoTGNIl6EIE2mkeP
kMecfC4fJ/kWeBUt5mk1Pe+2WFnUHY1XhRa2U+LI7NA4RjNMk3rBomWJPwqaIhnhrd746pRYzRx/
SW3l0nEV11PX5Tfo7EVdkgN/sZj36WD2ByPsfCR9ILHmIQaXSfenuIeqiwdlSLF4R/y37LESSs/0
rdFriXT+jdRUzpFwAoF5Imh4ASI/3lfWKest90Kmci+f4u5zrMqH6s7Cz+OrSvY4t3iWK/hNavTW
N9ARzm01uuMhTve45InkbyvySl1+PHvAie2jSob86hD9RF5Ka+fi1i66XoGFrB0sP6vdC3RS8Ubu
JpaXWv9GjEp7qR8b/GMFjoWtohqeQ56+pMLIGCI3g4uQr61211YN1w5hpMz4VsO9/0DoOcaZm/To
6HqRe0q7L7ur/aCkIt4Y33HrdMY7uu2Jh/5/qoneg+2trQCGBJ3KrujDStJR/cUuDeystob1ZYOb
eVR7kQZCSjldBJQo7Sjb7V3/t9bz6VpDB7P9lusb5ORI8iso/ci9il0TkVv7CUtkTs5OZ/yNH0wT
g82s3KivJJaiY2fZtVKwX6jeMF1GyubkFVeu9fpDDhb3sZptlQTpmFt8aPzf3n3xzCQhhOa32bja
+nKV/tAxcdUVKRP6JEWKscGRObH5HBxjSQvMQUTjXISoNwKyhZiuW8lgC5pJgUb89pqd+tGzwwxE
3Q2UQv1vYpqwDLwWPHnVY6mlVAMsp258/cPR22xYVuMy2usiw8DcqAkceLzuWKvw0udsumBF0bQH
+6Pm6xzFPw3Wj+OkmvVX1eqplimNf8zCyecJO+yslyR0pWln7NJRQua1LE8csRNO7dN0DyOfVo/W
+e7nIT6YI9pwRkF/hSkQgW3Aj2Ynq/KdtOn7hEUr16OPnV2HbdEXxngrbS3/1ImVWn10sPBT91sF
aocEu4uCMlpVpqZYIw0ItV2BQXXEdUwUUpjX24H6eLvc43D6S4Iohet9AexoI9oZyM0lYHMuz5vr
RIJtUnwzBu6xwSmRfQAZdZJRQz6WksA2/DGtFBaGyTVrS6e/t6c2Hdjj239GQslN8JfmqpoyhsTK
awkteIknfE+3DCQAMuWApiWehvLyKyFsGMqDpDiy6zNL9Pgrvm0dn/uSJ0tyST9t8TdHIFeNNwuc
Wm0uxQ4Wd8D3XB3riuwZPBJHp02rHbeSlWGswmVw0WXRjLbS3BGZzwuZhMmCGq/I60eeqEV9LU2k
rLsbFB5o5ywPB++lWMs+ulXMLmuJq1kBSbk0hJXIsGU0icC9T+7m8HsFKr2Sh+wUq17jB2xLrSeG
apPXFqnWZXS8HqHSEQ9Jzixtesv6QPfrpx3VR0hjNwapkEozhmwtmfMzcRB6QN65Sjl0g5g+PiMU
MboAXgTM81BFR+44m7caW5DDEc5YyGhz2nHpMgG2CN7/n0ey8JQpKrbggYvM0lTVOrJ+QPozL0Na
N/WWjS3B/MSQuBJHRNk7FLULgi4OV4oUYd+hKeXe/OnPnNXwHdP1rI7LDwYXCtl5TTmqE6opdQmg
ZW9n4xA0nVTxOXjehNc4AZFtNNN8dzzz+V3VBDpB1dZKpd8w/4He3R7+5VrUfTnhFR/FeJBrTEXk
eFGxrsfmowM0L3w+VY3G+wHCkCD2OQHxAjDq7naKHsQMENQWn+5ZAnRwIhRGCJHAAJjByYoBlFSD
xBaYfQ9+hOjAs5TA0yx14jslVZ9y9JrS4G33H9xFBNtHFqhdEOOaTB3oJQ7fUxLUqbONqb6nyV2r
4SdCX5i/LHZsrfxuaQAIJjRokscGk7MtiGomP7aHbnSv85xG9IRrtj2yNyVw51OoCbIjYcqCLsBo
YgJMxKcva3hWTMVRZv4Sibr2LUt21wdYbPIR647lyyv8aOzptM27empRWYaMwzkPXM6mOpXMo8LI
hWpfYxojbCtrxLmKvdLWxKcwDqdu6b1UoKJhnicWHG6qZyw/ao/JsCnPdmb8yBSf5CzUvMld48j/
EpTRYjsSX4O6Cw50i4hnqR1ADkWDnz9yluKXd1LJQSkKANLZm0AQ0sHbM9vKQABSYO+dEJ254Qmg
Yh1vYP8Nok5Mqu70XNOYqtmf3uSRx07El2OfZpEDy7QXomRmerZGcYYWRbkIbanmjxB32O634/QK
Tz+lW3CAhXv2W3OBPP+mwMFvyjK17xnw8OdfjQd6VXE0m5bqRMjaMBrNuSHSVDSn/W2wAcDo9HTP
LmkP8JWU+RTpPJvrWBu27folYM3OIthIpOkqgqnisxXfcbxJocR2dI7sx4D88onefwASCcibcdVb
EsbuI4vP8z3p4t0/zx5C6yzJpglCjU0laVdmR5mZkFA7iZqNzzFOVp0vMaH5dXKND6XkJ/OYoP2V
APdV9HICzjHWii2moaCn+hJw6OY=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block
Wy8avf52SKtFRWpdKbuuoKz/QISNFt5qyx1njgupdsY1yGpbX3zodNM63ljgbYDMC3fZW2KyZA85
bRsP6RIcYZOndRqOE7OfH1ur2jFen4OVLRXLO+1hOqazA6KQe2iZsxqSqjfPf2LX+tWfJO/eUBuu
NN/DDOvWclIkj5bWWjAOAtaj2ThrtTqPf/Wgm1e+aN1qhMvvZguqwpBvrrLcckW0KeLgbIZPyh1G
5qPHxWqKah52yTq7Ywnyt1sm4WIZ9hJU5H4a26cTUQzChHK2Zka2hkSrxwwmTmZ7I0ooQWEEHQG8
g6UQzKhhk9CGAy+kKdPs2/vSoI9RkxrUY4HloVEOl3p1A3FQMEsUHDbAMiQGAznYA7a6JSZCkgp4
lKQsS5bZQZ29rLBvJAu3DbPvK+fyUaOYB0iucF7/zIPubiPgf0+xTr7LiF8k6nTnh2QhOaw3gm8u
VqPnG/linjOiqwPlWr4/HVhCukQMM/yk+tHvBsdClSkzmOVr739g8WAxqh8oUvQuXWLmvcZ10PR4
4274FDLD2E7mjEq2oLGSjrS0MmLK1S++yWo7WqUMLrBMdBcef4aE5ZT9vp1/VmxOLlvne58oqvhh
/1744ufYxPMpdJvpMCyUynJm/v1Lyt9NI/TbG+74DQNz49lJCJK78lZcME8EM7UHuc6B+ubWNVA1
7PZPdrKbMLAwoI6eYJ9w96HfaZ2dMZBhZwM8mbQ7FThGdy1U9ECwxeQW0rxzTnlyRWnKlHdgBa79
D6e9hlTgXS1JoJwleRFdZccR/gtMATd5yiSpTsbf9d1cyUv2eewvsgxWaI8I+HPhOfd/uyzlZjbO
zDHdS7aILW0PSSw4O4LCbM7zQM3i/ui1KnxwXK9Nuw4tTnreS2LmjvDzcTrpkGGEI+JnAFIGll8+
mxMVRO4QndXwiNfHrJHat7vAfZ/XDXuUTrRPRc643RUhz86JZKC1aOYdP3Iiy28FkRoBkn87bkr6
tVdgCkjh7utXVwv5qlhc+nWnos9u8PggfRXAaZSi7Ajw8jX6s6FLAYXVl92IYdDyYJBZT7I6pUOi
4ql2ucfkF9ql5+8RiWm1SeDPDajH7w/ZNsbt7Sypir3H3M3KM+1q/ZxdZUyhBS2WZyw3ow0goIgL
9hEtchmsW1n+OtYDg5bISQvtjPouiXGoWqdyLc/fEVsBOeuFUe9DSYn0jF6zHSSSLLS+DH+N5s1y
MVcavlbR7r5mtqnhnbxsdICqX8CuCAh5hUleVbwlF2YcEVXXeCEPJtT5vsdDQXpoUXvWHjCFHMtn
Z80WkY0Qfz1Cs2o6IIrrUwdjKHMsXi5x4QdBFOQXy6sFXPWu14LqzGxfiVUW/bbl8v/VzpBslvpq
AvcVSGbnhuqqzgOkoqE12mfG89X8GRBWTIs4jn2TMpzVCOKEd76p0Gf8hwAA2t5xHVJELHM/CCNZ
25V1MVpsscSpUoY1AR6NgAoRP4DvAqJsN6C7UwzNHR/hNuMed5pS/kzhB+xMZ9Tj3J74nFKRn7Q3
JM5W7mHmdy9n0rkJUR6jgJRl4rU6SCkCOGDRnZW4D7LF8j6axIQYateOcd2mSJWUFht/xL0ZuZoW
4kOKSFiGNzhFNBqIAzRwhhe0eNSuFiixdsaVPHuPMAzBGiKUv/zkEjjSuIhev0pB/t8MmWdPmRzI
AlNbN6aVA32Bod7Rs6FSX8sdBNn+WmosAMd/mPmGM95pO/NNz7GvF/f4uShaRx6W66UwDkWcbhxX
7PGg6Q56g8ahaetujwOvhZQQdhIUgqD5hBzpSeCVG2tKWzKrSb843P57jICJRb0F6fsDuy5eNuhu
b4QtiRYcuog7Yh/puCz+rIfthFGg+7Ki+4UD7v3yO6SIbJkuwwx9YQ0oHHyir8MpSB+KJg9wQWsS
/MFNTlx6V+j2NfzBjyDRhLomU/xDnppWkQy6Z7mPf1LWILgouCIR3htQ0Bd6g/OqVJQGRmdePgok
3pSaO7rKefY6QmcdsYHr+9lsVGSIc6HZZafJcmGJvD1tDFl/nd8m7Pq37Gh9sn2AdppYDl7Y50Mc
1yG9De/Yg/kpBGCbCTQJ/X41+nTtCJZV77L/LDxZvMUfHqrdsb/sqjpHaF3AID2Z9EyG5kjFzvdk
P9MhlgUrdWNeI173BW/tx5ehaY1CmscCFrOFhSJmstGt44fmo4tH526BKNSs4FUK+ddSAXuZtEEo
qXoQOzRmAxsBpWeXRlxhwANqvwmFSVKB5lDW8EwM+6smFOkAlxKec8YFMwk7nqDBad413F2OdQe9
xHgl7d7GrdH4EgGSxRYfOwyBub5qDKRlQG2qdR+7RYx05hi27pL+R7yu2bZGcFDVlBFSPHt+oSWc
ryXBF2Ctyi1YdBYCnwXeI68GfdlVaDZXIvrokV5ytKkxicZv/+pPBeSucbqZuvMwsoxdzTEYXl7H
L1PcpVDt+KCV1q4Wb//2OnUatdXlCHzJ0b/Pv8XSY9RJdjTqKeNnTq7Y0O3Xwz/Ra8oVoTuNrmlg
SSq2RqxEBaBaQuMuxw7ryydzDtqKo3roXTYslewGVJ0nj3PB1WNEWMrA3x7DXm9xPcF5cuDNXeGQ
IN7Dgnui1QCenbnrc+vYKFeTg0AdNg77HJtYSGbdPl7ADpZpIG7ctckmTUrsm0sxK1kC/qewHJOb
uFG2ik5gET8Q9StUfddEMqNUuookgsb8IgD8uyb3duhstmtmx38BtaQbqJp/vqv1c4k2hg/s6G9v
zTDVfmPG7Mi+e0kWFymeDeTcPjYaLty49QShB+W63UzG0E5c33t1WHxeb/y6MW3TE1kN+59grgH+
C1EnM1q/SV3l9UKUeledCorm3ndJGEqaNIdnKXcrM4d/uuACPOl8hzo52dPYt3JEE/3MuP63PFCK
PBgzqhgsfStKaSrrAR8D5mTuO464ga+2d0ka1Q+u9e1uNs4uFUsRpqZkImpkFf35blE1txie39F/
DebDjawhICUb/F6iCA1BEMGt/ymt3kaxWoXmrcsNLfaKQptZhr4sq5TJ16GPdsr32IIuY5qatDeT
9F//kq1VRtuqkWaWYoWuSHCI02uOFFXaRZpppvkswsANVSfcB2+IatSk7GQb+dXWTDtqniI1t0NQ
InEU/RtjqtS/9x6LRHIo2e3gb0J6kyVTjfMoDXJv0EiOMizi7mn1c+kusLTDctMMeW7QZnvmZ68+
R4wRxxHusnPwHDstaClH2ZwISIE6OkH7MmXgxqG/wn7WN4qWAVI00ZLVAP2nUo2Rxbjpz4NIldZX
RUQG4HLiE3ifaZU1CsVfuRKvAn5RufBRJJsWWSs+R1batomtbpytu+1glZDv2qQaQiAtrAbM2eot
pVrEJXoeGJ9kyGDJgfbciIjhHwH+yVX5M9xu102ci6uOqGSFGn97ILP1QlP5E6fRe26LiF4jD6hP
DQa2uavFGESi7KblVupdYchk+cVeoVNIoexVvFgAWe5KXYpmXTQge+NAaVrGwqWrI5qLv3BOSVd6
u13CQjG1e+gJm0CHKsc44wiiGWTeuixnaLKWGoF0o/PQdfb6qwgOAWqkr7/RwjKmDsCVJBQJGs1a
AnRzOgoBUl9zg6awHDqX6uCyynFrywGj9ZCde9UqrYPy9w9oxqjHH9oFYfg+yWxxNzN9a0rt9Qy5
E9yEron2LBl0johs62Lbaemx23DrAOnBR0bmmNzwkB5//37/mNGTfbCTYCp9AhbfNair6LcHbtv7
1u4+QoDzdPz/uGQ+VDD+wVNkYS4It+dE3tdI+d5kGoLOU01y5Q229fmPQI8Qx/gvTjVDaIm4PvTD
hSQFsrHEe2Lbrl1HJzM9dHCBUfgca9lSsUt21PmojBDbkY5Vqf2uC4kU3oXcpsan4O6M3AMBQALL
p/H6CiUp8UbKFLC8tPQWls1Cz5zWFeu3H9zs5b4NbFj03IdGuuLzNYRQqT9Xgb9VIcpFsS7p8RDD
4WEVgqDaUHAujKK4vL3Rulh9dLEA99wkimdlcZLNo/WaM5QPhNiAfqVC0/1LZpKvAqoSL16GzWuT
B2ohp92tT7e3/l1E/pvu4zZuI4XezQoMzDgFUW3AoQxg2J43mAtbvufCl9u4NgkoMpsnDBdjxc66
VF6Ff7YADCb3iVgQqTh0Y3iA4O+nymCVfxHn4qoIa9sSnXGgpaavd4JgoXX+qVtu73OaCPzKu+Nm
wXq7JWHUgrUONz/I5yS+/BhuwMiG0dSydSeQ8JMLH24W1pOJXsoZoJZjtPpvV1JNlUQ6vKh4sj1p
VYcT4eLxYJINamRVEPox9iMFfxyvytbpaQ0ALxV1DEDg0u2SAFDpOGPsDvpLvJwEVdZMnB1X9TCH
ypAIbXEbTTBLSnI+KfMvaO574MHBHQGgQyVs0G/k+ytC/Hcjqa7MbT89IfwUP3Pcp1mHJNNbdWlF
i3LGgHNOnkqEhXnHynlE0B7vmkxxgpAGa4PyYkccrPI0Hw7CXcAIzfyc+necZADAPmlPSXds3NMq
Qgx2p4m0kPkNTeDGlbXAjhuRz3UOEVo19ojtfshlAPTgNDL4UJoq+jQS4Ro/VQeq9UU6ZST1Yu5L
nVQTN0pYifK+O/FRJhItgMBFbQBeD0i5RAV6OZOMC+j1ap459ror/H36czaHEDgp/LRlTzOA3XEJ
9DCodS874t+9SEi8sLFgToKyizki0HsM0d+1jMKrnIjuas3D2GcnRWZBhy5YB9Xvd8lPME9/F75u
ux5+GONncO2Swk9hhxX5SR+e+eJxgJvRGbXNROOQLqm+klu7rs8nG6a34b9jF+8XT8McnH5nixeR
a4LyDX48lh4iZ1YAEtdtPZ5T+yqjQ3OR+7Hon+xgw96hXdyCr8NiKPF5BRQtCJ3ZWe0U/g/aynwa
I1BvvzpKt/1G0zdDGDhBWMMGd4t0n1jMPWdEBZYX5WJ9mGRLQaK/YIzCSB32tsKCiiiU6AEKNkoB
1mPuqe5sYJCBhGOrHvlVewKUFZINfBkfFsd8ovWXVWdLuwOLKyJnLtt2uRQC+cswAd3xFtkGADgJ
NWh4+ZS/w4xgSzLbUFnTHtq596pZF5AHvK1UVdD9y+b3kob4Mfjay8E0UzHLMCs5jzkDNhifek5o
y8q8S/oSwdvv8UbdOv2M8OftwNgker8a2AUvfgFTRVdoQpGrSTvbIN3kXFNSRj7t263N8AGlJIvW
iYtqCI+ZudZMePrcfsxkuk7Bgd1hRFpntV6I7iX2SQIh8LdgojuPH7G4WnNutcE7Ah/WPwSDCuG1
mSKCVi5b7F/XQJg6Nxcbo1sIz/+AcV+VxDmf932S6amkNBWTeB8GX8NUS2BaEhUoHgQz34yzP41s
0SLtkfkFsTseKzk3ULdLZ+wuwFPRDYrnYoLnQTK/VLynB7JOifadeS7w/46RU6Zp3FbmEw1W1Vml
Ud9SypP0x0XGurN+Ak7k0wVq03D0kqXe3Ys5c7JYdxiQOHifKGvwCXOW+VxO2FAPRY4NRDbsLL55
mOi0yXjYRPRiqjx3GrsiiFxWGPq4lMhb8h57VGz1e4ExjcU1lT26LZVP4RiP5392DpL5+P5wjF/g
G/8sWdphmruQ7uKG7Qm5QirKr3tE4VBvrFpSqJOFSvML4/W21UpOf8RZA+xgScmauH2mRWF9fUXO
xr6lKJq+Wl66lAe+RXGA1ji9obfhAxerDaHH9NhCj6oBcTf+DzDvC8AAJKc33YXFX4eLwb4WgOVy
BKlsyCICd/6+4HwWqbgsc3G967uj7njxd5uWsHnl1hOjIj+B7zByVAb0DPQnu/0kyYM/mLKYkavn
4QI+0zYq/xENovVx42TUE9HWwXgk393/m2hCuFwAXWvM1uWztXP2OZcANEQfOLt/ZmPEmkKbwcTB
EkaUi/ME2SOUuw8ZbGLi1oH1qAk+kf1V2P0Ab3HrC8HyguzftxdFA0qcMMqHDcLeljHItlTzk59F
Chklymd+wFc7yk6unM6xC8q4hzF+0QrAIT+0s/G7rihsH9UuOy3Zp7js1PQUYXU9G5+RmAoiyX3f
N2pQ2nsP4VOKMLQlIjkgRhe/Io01lup4pqA0VWtYzuqdzi9oB8fEorZ5vUPzcl4j5k0ZIlxwsPtn
s0FWoGie4OC5i9J5job6Tb4sh9cAUQjhSmzr6Yt+dNJJ/F+4RWDfe8MdQUDLmy2qSvbHKJSla+0y
n/A/+9dANtZ0CmEipO1f5aqG2cMd7nEqzJh89vIOIlT/qQ+hZ+4vyhjud3ndpPTQEzbl4fthA7XY
eCBohxqj2lIMDKpkYRJGLN0L5JKH5ThTU5Vipct1I0YAFGn0CmMz+5yuLPtwLpQvWaxN7aX5A1WI
VLV7XVYuNcZpCLXcc2MRxFhjuHEXVXCru+fNPSA/J5hzhlo69cmR+9eYNpKA+2KJPh7/FEC6RO8i
AiLCriezmda155lyb5P/DWqRCNfoYAbWWV+DoVKeFvMc1OAN6KjyNHVPXCj98InKBRbZ24knjS+C
+M/EInkQI6czonIzW8l0RwXxG4FhUML7Ck0rXe+b1ELBhE5FnCT+T6r9F7muh3D83Jh0gAQuQokQ
Q97rLAmh96cjplgtfRXzmGvRYpe/gyDV6BFQo6PAb3mqI9644OiqWwVjtceZYkkZfs+zZFKKurCH
Qb6cpuy3+lB5JCk9NTP4IyuaN4bLgG2VT0y897yWX3caTh8IKUNM/f2ekcIGqP7nkE832yxpcgeY
UxpKV/hAMca8HLNw4zaasJwOrlraA6aIp8yUUX4WQjZdNfWfFYyCxQfylhsze6W7ZjqgtPCw5EMz
zD24m5wPoRRTc1YLTejkEPbdBwEUl9L3QbxOUZP38PG5u0t9JzyTm3tu+9/CRVn/3ftoHb/lN0aj
+ksTZtmYqIf5VauLa0zePBfgvXQ/P6/kduGDK6/wZ/Kcpk3uu5OBoc7C/bfA/QwJERmqeIyp7BLH
b5K3jh3qnztSFHUVsonmSoyOC3vZMcQmeOlS7D1Izubd4n20QCRW2TrdC9YZmT3k2PNPsYuZgM1+
r4JLBbcDtw4OsYm2qUokL9nhri5vRrlrp9p0pPiGg3LalBlvJrqA3O1PUj3MRcCObWAloKo931lE
6PrrrwyQAx7vlgrWiukxTjUk056MZvXRR8oCqbICtoxt+bK+Jy4Hl8k9KJLxKqLiH+XmQ2LcverF
QZ+3xxzJdnpVR8737Vd3qMBk3YkaOcK5TGYXqxAEiO/Nwqrm594E3wkOcygCUc+84o4kWRTiEUKQ
SH/qYUyW3IGTl+SfQGQWrsWj4dwpTc0jAtl8qyoOX51dSeKV70QLoi/SD8cLMK6CzevccMHUCDRr
7rqpSakvCAMkhYUyyp6taGB+kleFplZ2irPusvvuwwrHEguzDA24a/p8IM8YZ5kxBJkFW9HNX25O
p5gMYX9qFxqjsa0qalBuhJnwng5C0/VNNcN/xr8B71u8OPBpxDGwX8tkfDUWUVodFh4TgpQ/GiES
UWPvMRg33Qr4r5cLq8CpZEah5QxGtz7jDX8BuIhUI3cl6n0X9LUT3B7lfm+hgyT+DDzonl0KlpPq
en0wJ97YiOENJfOmWkVv57O7WCcXUwGqE+mLgVTHlS5dykoZRDyFV0CImmu7P7iuOjMpD7qTRgXN
i6Xnfn+N1lRA16ujst7QFSopVhhI/lKTk/6XZaZkGvXyIGzncyHiDZzZqGTdtPavJ1X9KS/tX4Mo
jDCv/qkBpaNex88hLq/88SuirtHuUsPIBIsYAC0lV08wASR2ULV1CG7zWPxpQy6sdFjbLBlHu1yX
GQW76lZLKfcy2WT6tEYeb4NCctVdsw5udA/G/ly5OKO0ZUpzhTpNF/6i1EL4BGAbySxTasxcXsMc
Tb8FQIPva8+Nl+JthCk7qsf8TXSxOddXtBYYWbNVKgc5S1yngoew+65sG1reGYTFYh4PlhUSxMIM
LbPiX/fEEiLSXySx26il7H8XnZN6zIDcP5WVeVLemtqXjXRvlRmibXCwFGYJgv2OBwtee27FId29
zdtuk16FJ7JWQIn0Xr2QRyw/8EMJf1J7NItHb0cdvZOTzfJlfq+OAD1aDvhdvIpclkq9bhb/do0X
FGHVGqSHDW+WG5YP41JiNHV6fSp2XskJYaorOuTm8GvPjRtT2l1m7lI2FXTzJPFRZ7IqCYbRY1iA
6WI9b5JjRa2hfEuakX+vv66nueoCN5bfo+2kgaAHYxvbz/CnfD+ez/vg76tpSpmlbE6gPro1YPFN
jpzCzZY/8j2aLf5KLWgdzd8fyf2KXmUdqAA/q8QXeDqtHKq99j2AFtue2yVHcoTGNIl6EIE2mkeP
kMecfC4fJ/kWeBUt5mk1Pe+2WFnUHY1XhRa2U+LI7NA4RjNMk3rBomWJPwqaIhnhrd746pRYzRx/
SW3l0nEV11PX5Tfo7EVdkgN/sZj36WD2ByPsfCR9ILHmIQaXSfenuIeqiwdlSLF4R/y37LESSs/0
rdFriXT+jdRUzpFwAoF5Imh4ASI/3lfWKest90Kmci+f4u5zrMqH6s7Cz+OrSvY4t3iWK/hNavTW
N9ARzm01uuMhTve45InkbyvySl1+PHvAie2jSob86hD9RF5Ka+fi1i66XoGFrB0sP6vdC3RS8Ubu
JpaXWv9GjEp7qR8b/GMFjoWtohqeQ56+pMLIGCI3g4uQr61211YN1w5hpMz4VsO9/0DoOcaZm/To
6HqRe0q7L7ur/aCkIt4Y33HrdMY7uu2Jh/5/qoneg+2trQCGBJ3KrujDStJR/cUuDeystob1ZYOb
eVR7kQZCSjldBJQo7Sjb7V3/t9bz6VpDB7P9lusb5ORI8iso/ci9il0TkVv7CUtkTs5OZ/yNH0wT
g82s3KivJJaiY2fZtVKwX6jeMF1GyubkFVeu9fpDDhb3sZptlQTpmFt8aPzf3n3xzCQhhOa32bja
+nKV/tAxcdUVKRP6JEWKscGRObH5HBxjSQvMQUTjXISoNwKyhZiuW8lgC5pJgUb89pqd+tGzwwxE
3Q2UQv1vYpqwDLwWPHnVY6mlVAMsp258/cPR22xYVuMy2usiw8DcqAkceLzuWKvw0udsumBF0bQH
+6Pm6xzFPw3Wj+OkmvVX1eqplimNf8zCyecJO+yslyR0pWln7NJRQua1LE8csRNO7dN0DyOfVo/W
+e7nIT6YI9pwRkF/hSkQgW3Aj2Ynq/KdtOn7hEUr16OPnV2HbdEXxngrbS3/1ImVWn10sPBT91sF
aocEu4uCMlpVpqZYIw0ItV2BQXXEdUwUUpjX24H6eLvc43D6S4Iohet9AexoI9oZyM0lYHMuz5vr
RIJtUnwzBu6xwSmRfQAZdZJRQz6WksA2/DGtFBaGyTVrS6e/t6c2Hdjj239GQslN8JfmqpoyhsTK
awkteIknfE+3DCQAMuWApiWehvLyKyFsGMqDpDiy6zNL9Pgrvm0dn/uSJ0tyST9t8TdHIFeNNwuc
Wm0uxQ4Wd8D3XB3riuwZPBJHp02rHbeSlWGswmVw0WXRjLbS3BGZzwuZhMmCGq/I60eeqEV9LU2k
rLsbFB5o5ywPB++lWMs+ulXMLmuJq1kBSbk0hJXIsGU0icC9T+7m8HsFKr2Sh+wUq17jB2xLrSeG
apPXFqnWZXS8HqHSEQ9Jzixtesv6QPfrpx3VR0hjNwapkEozhmwtmfMzcRB6QN65Sjl0g5g+PiMU
MboAXgTM81BFR+44m7caW5DDEc5YyGhz2nHpMgG2CN7/n0ey8JQpKrbggYvM0lTVOrJ+QPozL0Na
N/WWjS3B/MSQuBJHRNk7FLULgi4OV4oUYd+hKeXe/OnPnNXwHdP1rI7LDwYXCtl5TTmqE6opdQmg
ZW9n4xA0nVTxOXjehNc4AZFtNNN8dzzz+V3VBDpB1dZKpd8w/4He3R7+5VrUfTnhFR/FeJBrTEXk
eFGxrsfmowM0L3w+VY3G+wHCkCD2OQHxAjDq7naKHsQMENQWn+5ZAnRwIhRGCJHAAJjByYoBlFSD
xBaYfQ9+hOjAs5TA0yx14jslVZ9y9JrS4G33H9xFBNtHFqhdEOOaTB3oJQ7fUxLUqbONqb6nyV2r
4SdCX5i/LHZsrfxuaQAIJjRokscGk7MtiGomP7aHbnSv85xG9IRrtj2yNyVw51OoCbIjYcqCLsBo
YgJMxKcva3hWTMVRZv4Sibr2LUt21wdYbPIR647lyyv8aOzptM27empRWYaMwzkPXM6mOpXMo8LI
hWpfYxojbCtrxLmKvdLWxKcwDqdu6b1UoKJhnicWHG6qZyw/ao/JsCnPdmb8yBSf5CzUvMld48j/
EpTRYjsSX4O6Cw50i4hnqR1ADkWDnz9yluKXd1LJQSkKANLZm0AQ0sHbM9vKQABSYO+dEJ254Qmg
Yh1vYP8Nok5Mqu70XNOYqtmf3uSRx07El2OfZpEDy7QXomRmerZGcYYWRbkIbanmjxB32O634/QK
Tz+lW3CAhXv2W3OBPP+mwMFvyjK17xnw8OdfjQd6VXE0m5bqRMjaMBrNuSHSVDSn/W2wAcDo9HTP
LmkP8JWU+RTpPJvrWBu27folYM3OIthIpOkqgqnisxXfcbxJocR2dI7sx4D88onefwASCcibcdVb
EsbuI4vP8z3p4t0/zx5C6yzJpglCjU0laVdmR5mZkFA7iZqNzzFOVp0vMaH5dXKND6XkJ/OYoP2V
APdV9HICzjHWii2moaCn+hJw6OY=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block
Wy8avf52SKtFRWpdKbuuoKz/QISNFt5qyx1njgupdsY1yGpbX3zodNM63ljgbYDMC3fZW2KyZA85
bRsP6RIcYZOndRqOE7OfH1ur2jFen4OVLRXLO+1hOqazA6KQe2iZsxqSqjfPf2LX+tWfJO/eUBuu
NN/DDOvWclIkj5bWWjAOAtaj2ThrtTqPf/Wgm1e+aN1qhMvvZguqwpBvrrLcckW0KeLgbIZPyh1G
5qPHxWqKah52yTq7Ywnyt1sm4WIZ9hJU5H4a26cTUQzChHK2Zka2hkSrxwwmTmZ7I0ooQWEEHQG8
g6UQzKhhk9CGAy+kKdPs2/vSoI9RkxrUY4HloVEOl3p1A3FQMEsUHDbAMiQGAznYA7a6JSZCkgp4
lKQsS5bZQZ29rLBvJAu3DbPvK+fyUaOYB0iucF7/zIPubiPgf0+xTr7LiF8k6nTnh2QhOaw3gm8u
VqPnG/linjOiqwPlWr4/HVhCukQMM/yk+tHvBsdClSkzmOVr739g8WAxqh8oUvQuXWLmvcZ10PR4
4274FDLD2E7mjEq2oLGSjrS0MmLK1S++yWo7WqUMLrBMdBcef4aE5ZT9vp1/VmxOLlvne58oqvhh
/1744ufYxPMpdJvpMCyUynJm/v1Lyt9NI/TbG+74DQNz49lJCJK78lZcME8EM7UHuc6B+ubWNVA1
7PZPdrKbMLAwoI6eYJ9w96HfaZ2dMZBhZwM8mbQ7FThGdy1U9ECwxeQW0rxzTnlyRWnKlHdgBa79
D6e9hlTgXS1JoJwleRFdZccR/gtMATd5yiSpTsbf9d1cyUv2eewvsgxWaI8I+HPhOfd/uyzlZjbO
zDHdS7aILW0PSSw4O4LCbM7zQM3i/ui1KnxwXK9Nuw4tTnreS2LmjvDzcTrpkGGEI+JnAFIGll8+
mxMVRO4QndXwiNfHrJHat7vAfZ/XDXuUTrRPRc643RUhz86JZKC1aOYdP3Iiy28FkRoBkn87bkr6
tVdgCkjh7utXVwv5qlhc+nWnos9u8PggfRXAaZSi7Ajw8jX6s6FLAYXVl92IYdDyYJBZT7I6pUOi
4ql2ucfkF9ql5+8RiWm1SeDPDajH7w/ZNsbt7Sypir3H3M3KM+1q/ZxdZUyhBS2WZyw3ow0goIgL
9hEtchmsW1n+OtYDg5bISQvtjPouiXGoWqdyLc/fEVsBOeuFUe9DSYn0jF6zHSSSLLS+DH+N5s1y
MVcavlbR7r5mtqnhnbxsdICqX8CuCAh5hUleVbwlF2YcEVXXeCEPJtT5vsdDQXpoUXvWHjCFHMtn
Z80WkY0Qfz1Cs2o6IIrrUwdjKHMsXi5x4QdBFOQXy6sFXPWu14LqzGxfiVUW/bbl8v/VzpBslvpq
AvcVSGbnhuqqzgOkoqE12mfG89X8GRBWTIs4jn2TMpzVCOKEd76p0Gf8hwAA2t5xHVJELHM/CCNZ
25V1MVpsscSpUoY1AR6NgAoRP4DvAqJsN6C7UwzNHR/hNuMed5pS/kzhB+xMZ9Tj3J74nFKRn7Q3
JM5W7mHmdy9n0rkJUR6jgJRl4rU6SCkCOGDRnZW4D7LF8j6axIQYateOcd2mSJWUFht/xL0ZuZoW
4kOKSFiGNzhFNBqIAzRwhhe0eNSuFiixdsaVPHuPMAzBGiKUv/zkEjjSuIhev0pB/t8MmWdPmRzI
AlNbN6aVA32Bod7Rs6FSX8sdBNn+WmosAMd/mPmGM95pO/NNz7GvF/f4uShaRx6W66UwDkWcbhxX
7PGg6Q56g8ahaetujwOvhZQQdhIUgqD5hBzpSeCVG2tKWzKrSb843P57jICJRb0F6fsDuy5eNuhu
b4QtiRYcuog7Yh/puCz+rIfthFGg+7Ki+4UD7v3yO6SIbJkuwwx9YQ0oHHyir8MpSB+KJg9wQWsS
/MFNTlx6V+j2NfzBjyDRhLomU/xDnppWkQy6Z7mPf1LWILgouCIR3htQ0Bd6g/OqVJQGRmdePgok
3pSaO7rKefY6QmcdsYHr+9lsVGSIc6HZZafJcmGJvD1tDFl/nd8m7Pq37Gh9sn2AdppYDl7Y50Mc
1yG9De/Yg/kpBGCbCTQJ/X41+nTtCJZV77L/LDxZvMUfHqrdsb/sqjpHaF3AID2Z9EyG5kjFzvdk
P9MhlgUrdWNeI173BW/tx5ehaY1CmscCFrOFhSJmstGt44fmo4tH526BKNSs4FUK+ddSAXuZtEEo
qXoQOzRmAxsBpWeXRlxhwANqvwmFSVKB5lDW8EwM+6smFOkAlxKec8YFMwk7nqDBad413F2OdQe9
xHgl7d7GrdH4EgGSxRYfOwyBub5qDKRlQG2qdR+7RYx05hi27pL+R7yu2bZGcFDVlBFSPHt+oSWc
ryXBF2Ctyi1YdBYCnwXeI68GfdlVaDZXIvrokV5ytKkxicZv/+pPBeSucbqZuvMwsoxdzTEYXl7H
L1PcpVDt+KCV1q4Wb//2OnUatdXlCHzJ0b/Pv8XSY9RJdjTqKeNnTq7Y0O3Xwz/Ra8oVoTuNrmlg
SSq2RqxEBaBaQuMuxw7ryydzDtqKo3roXTYslewGVJ0nj3PB1WNEWMrA3x7DXm9xPcF5cuDNXeGQ
IN7Dgnui1QCenbnrc+vYKFeTg0AdNg77HJtYSGbdPl7ADpZpIG7ctckmTUrsm0sxK1kC/qewHJOb
uFG2ik5gET8Q9StUfddEMqNUuookgsb8IgD8uyb3duhstmtmx38BtaQbqJp/vqv1c4k2hg/s6G9v
zTDVfmPG7Mi+e0kWFymeDeTcPjYaLty49QShB+W63UzG0E5c33t1WHxeb/y6MW3TE1kN+59grgH+
C1EnM1q/SV3l9UKUeledCorm3ndJGEqaNIdnKXcrM4d/uuACPOl8hzo52dPYt3JEE/3MuP63PFCK
PBgzqhgsfStKaSrrAR8D5mTuO464ga+2d0ka1Q+u9e1uNs4uFUsRpqZkImpkFf35blE1txie39F/
DebDjawhICUb/F6iCA1BEMGt/ymt3kaxWoXmrcsNLfaKQptZhr4sq5TJ16GPdsr32IIuY5qatDeT
9F//kq1VRtuqkWaWYoWuSHCI02uOFFXaRZpppvkswsANVSfcB2+IatSk7GQb+dXWTDtqniI1t0NQ
InEU/RtjqtS/9x6LRHIo2e3gb0J6kyVTjfMoDXJv0EiOMizi7mn1c+kusLTDctMMeW7QZnvmZ68+
R4wRxxHusnPwHDstaClH2ZwISIE6OkH7MmXgxqG/wn7WN4qWAVI00ZLVAP2nUo2Rxbjpz4NIldZX
RUQG4HLiE3ifaZU1CsVfuRKvAn5RufBRJJsWWSs+R1batomtbpytu+1glZDv2qQaQiAtrAbM2eot
pVrEJXoeGJ9kyGDJgfbciIjhHwH+yVX5M9xu102ci6uOqGSFGn97ILP1QlP5E6fRe26LiF4jD6hP
DQa2uavFGESi7KblVupdYchk+cVeoVNIoexVvFgAWe5KXYpmXTQge+NAaVrGwqWrI5qLv3BOSVd6
u13CQjG1e+gJm0CHKsc44wiiGWTeuixnaLKWGoF0o/PQdfb6qwgOAWqkr7/RwjKmDsCVJBQJGs1a
AnRzOgoBUl9zg6awHDqX6uCyynFrywGj9ZCde9UqrYPy9w9oxqjHH9oFYfg+yWxxNzN9a0rt9Qy5
E9yEron2LBl0johs62Lbaemx23DrAOnBR0bmmNzwkB5//37/mNGTfbCTYCp9AhbfNair6LcHbtv7
1u4+QoDzdPz/uGQ+VDD+wVNkYS4It+dE3tdI+d5kGoLOU01y5Q229fmPQI8Qx/gvTjVDaIm4PvTD
hSQFsrHEe2Lbrl1HJzM9dHCBUfgca9lSsUt21PmojBDbkY5Vqf2uC4kU3oXcpsan4O6M3AMBQALL
p/H6CiUp8UbKFLC8tPQWls1Cz5zWFeu3H9zs5b4NbFj03IdGuuLzNYRQqT9Xgb9VIcpFsS7p8RDD
4WEVgqDaUHAujKK4vL3Rulh9dLEA99wkimdlcZLNo/WaM5QPhNiAfqVC0/1LZpKvAqoSL16GzWuT
B2ohp92tT7e3/l1E/pvu4zZuI4XezQoMzDgFUW3AoQxg2J43mAtbvufCl9u4NgkoMpsnDBdjxc66
VF6Ff7YADCb3iVgQqTh0Y3iA4O+nymCVfxHn4qoIa9sSnXGgpaavd4JgoXX+qVtu73OaCPzKu+Nm
wXq7JWHUgrUONz/I5yS+/BhuwMiG0dSydSeQ8JMLH24W1pOJXsoZoJZjtPpvV1JNlUQ6vKh4sj1p
VYcT4eLxYJINamRVEPox9iMFfxyvytbpaQ0ALxV1DEDg0u2SAFDpOGPsDvpLvJwEVdZMnB1X9TCH
ypAIbXEbTTBLSnI+KfMvaO574MHBHQGgQyVs0G/k+ytC/Hcjqa7MbT89IfwUP3Pcp1mHJNNbdWlF
i3LGgHNOnkqEhXnHynlE0B7vmkxxgpAGa4PyYkccrPI0Hw7CXcAIzfyc+necZADAPmlPSXds3NMq
Qgx2p4m0kPkNTeDGlbXAjhuRz3UOEVo19ojtfshlAPTgNDL4UJoq+jQS4Ro/VQeq9UU6ZST1Yu5L
nVQTN0pYifK+O/FRJhItgMBFbQBeD0i5RAV6OZOMC+j1ap459ror/H36czaHEDgp/LRlTzOA3XEJ
9DCodS874t+9SEi8sLFgToKyizki0HsM0d+1jMKrnIjuas3D2GcnRWZBhy5YB9Xvd8lPME9/F75u
ux5+GONncO2Swk9hhxX5SR+e+eJxgJvRGbXNROOQLqm+klu7rs8nG6a34b9jF+8XT8McnH5nixeR
a4LyDX48lh4iZ1YAEtdtPZ5T+yqjQ3OR+7Hon+xgw96hXdyCr8NiKPF5BRQtCJ3ZWe0U/g/aynwa
I1BvvzpKt/1G0zdDGDhBWMMGd4t0n1jMPWdEBZYX5WJ9mGRLQaK/YIzCSB32tsKCiiiU6AEKNkoB
1mPuqe5sYJCBhGOrHvlVewKUFZINfBkfFsd8ovWXVWdLuwOLKyJnLtt2uRQC+cswAd3xFtkGADgJ
NWh4+ZS/w4xgSzLbUFnTHtq596pZF5AHvK1UVdD9y+b3kob4Mfjay8E0UzHLMCs5jzkDNhifek5o
y8q8S/oSwdvv8UbdOv2M8OftwNgker8a2AUvfgFTRVdoQpGrSTvbIN3kXFNSRj7t263N8AGlJIvW
iYtqCI+ZudZMePrcfsxkuk7Bgd1hRFpntV6I7iX2SQIh8LdgojuPH7G4WnNutcE7Ah/WPwSDCuG1
mSKCVi5b7F/XQJg6Nxcbo1sIz/+AcV+VxDmf932S6amkNBWTeB8GX8NUS2BaEhUoHgQz34yzP41s
0SLtkfkFsTseKzk3ULdLZ+wuwFPRDYrnYoLnQTK/VLynB7JOifadeS7w/46RU6Zp3FbmEw1W1Vml
Ud9SypP0x0XGurN+Ak7k0wVq03D0kqXe3Ys5c7JYdxiQOHifKGvwCXOW+VxO2FAPRY4NRDbsLL55
mOi0yXjYRPRiqjx3GrsiiFxWGPq4lMhb8h57VGz1e4ExjcU1lT26LZVP4RiP5392DpL5+P5wjF/g
G/8sWdphmruQ7uKG7Qm5QirKr3tE4VBvrFpSqJOFSvML4/W21UpOf8RZA+xgScmauH2mRWF9fUXO
xr6lKJq+Wl66lAe+RXGA1ji9obfhAxerDaHH9NhCj6oBcTf+DzDvC8AAJKc33YXFX4eLwb4WgOVy
BKlsyCICd/6+4HwWqbgsc3G967uj7njxd5uWsHnl1hOjIj+B7zByVAb0DPQnu/0kyYM/mLKYkavn
4QI+0zYq/xENovVx42TUE9HWwXgk393/m2hCuFwAXWvM1uWztXP2OZcANEQfOLt/ZmPEmkKbwcTB
EkaUi/ME2SOUuw8ZbGLi1oH1qAk+kf1V2P0Ab3HrC8HyguzftxdFA0qcMMqHDcLeljHItlTzk59F
Chklymd+wFc7yk6unM6xC8q4hzF+0QrAIT+0s/G7rihsH9UuOy3Zp7js1PQUYXU9G5+RmAoiyX3f
N2pQ2nsP4VOKMLQlIjkgRhe/Io01lup4pqA0VWtYzuqdzi9oB8fEorZ5vUPzcl4j5k0ZIlxwsPtn
s0FWoGie4OC5i9J5job6Tb4sh9cAUQjhSmzr6Yt+dNJJ/F+4RWDfe8MdQUDLmy2qSvbHKJSla+0y
n/A/+9dANtZ0CmEipO1f5aqG2cMd7nEqzJh89vIOIlT/qQ+hZ+4vyhjud3ndpPTQEzbl4fthA7XY
eCBohxqj2lIMDKpkYRJGLN0L5JKH5ThTU5Vipct1I0YAFGn0CmMz+5yuLPtwLpQvWaxN7aX5A1WI
VLV7XVYuNcZpCLXcc2MRxFhjuHEXVXCru+fNPSA/J5hzhlo69cmR+9eYNpKA+2KJPh7/FEC6RO8i
AiLCriezmda155lyb5P/DWqRCNfoYAbWWV+DoVKeFvMc1OAN6KjyNHVPXCj98InKBRbZ24knjS+C
+M/EInkQI6czonIzW8l0RwXxG4FhUML7Ck0rXe+b1ELBhE5FnCT+T6r9F7muh3D83Jh0gAQuQokQ
Q97rLAmh96cjplgtfRXzmGvRYpe/gyDV6BFQo6PAb3mqI9644OiqWwVjtceZYkkZfs+zZFKKurCH
Qb6cpuy3+lB5JCk9NTP4IyuaN4bLgG2VT0y897yWX3caTh8IKUNM/f2ekcIGqP7nkE832yxpcgeY
UxpKV/hAMca8HLNw4zaasJwOrlraA6aIp8yUUX4WQjZdNfWfFYyCxQfylhsze6W7ZjqgtPCw5EMz
zD24m5wPoRRTc1YLTejkEPbdBwEUl9L3QbxOUZP38PG5u0t9JzyTm3tu+9/CRVn/3ftoHb/lN0aj
+ksTZtmYqIf5VauLa0zePBfgvXQ/P6/kduGDK6/wZ/Kcpk3uu5OBoc7C/bfA/QwJERmqeIyp7BLH
b5K3jh3qnztSFHUVsonmSoyOC3vZMcQmeOlS7D1Izubd4n20QCRW2TrdC9YZmT3k2PNPsYuZgM1+
r4JLBbcDtw4OsYm2qUokL9nhri5vRrlrp9p0pPiGg3LalBlvJrqA3O1PUj3MRcCObWAloKo931lE
6PrrrwyQAx7vlgrWiukxTjUk056MZvXRR8oCqbICtoxt+bK+Jy4Hl8k9KJLxKqLiH+XmQ2LcverF
QZ+3xxzJdnpVR8737Vd3qMBk3YkaOcK5TGYXqxAEiO/Nwqrm594E3wkOcygCUc+84o4kWRTiEUKQ
SH/qYUyW3IGTl+SfQGQWrsWj4dwpTc0jAtl8qyoOX51dSeKV70QLoi/SD8cLMK6CzevccMHUCDRr
7rqpSakvCAMkhYUyyp6taGB+kleFplZ2irPusvvuwwrHEguzDA24a/p8IM8YZ5kxBJkFW9HNX25O
p5gMYX9qFxqjsa0qalBuhJnwng5C0/VNNcN/xr8B71u8OPBpxDGwX8tkfDUWUVodFh4TgpQ/GiES
UWPvMRg33Qr4r5cLq8CpZEah5QxGtz7jDX8BuIhUI3cl6n0X9LUT3B7lfm+hgyT+DDzonl0KlpPq
en0wJ97YiOENJfOmWkVv57O7WCcXUwGqE+mLgVTHlS5dykoZRDyFV0CImmu7P7iuOjMpD7qTRgXN
i6Xnfn+N1lRA16ujst7QFSopVhhI/lKTk/6XZaZkGvXyIGzncyHiDZzZqGTdtPavJ1X9KS/tX4Mo
jDCv/qkBpaNex88hLq/88SuirtHuUsPIBIsYAC0lV08wASR2ULV1CG7zWPxpQy6sdFjbLBlHu1yX
GQW76lZLKfcy2WT6tEYeb4NCctVdsw5udA/G/ly5OKO0ZUpzhTpNF/6i1EL4BGAbySxTasxcXsMc
Tb8FQIPva8+Nl+JthCk7qsf8TXSxOddXtBYYWbNVKgc5S1yngoew+65sG1reGYTFYh4PlhUSxMIM
LbPiX/fEEiLSXySx26il7H8XnZN6zIDcP5WVeVLemtqXjXRvlRmibXCwFGYJgv2OBwtee27FId29
zdtuk16FJ7JWQIn0Xr2QRyw/8EMJf1J7NItHb0cdvZOTzfJlfq+OAD1aDvhdvIpclkq9bhb/do0X
FGHVGqSHDW+WG5YP41JiNHV6fSp2XskJYaorOuTm8GvPjRtT2l1m7lI2FXTzJPFRZ7IqCYbRY1iA
6WI9b5JjRa2hfEuakX+vv66nueoCN5bfo+2kgaAHYxvbz/CnfD+ez/vg76tpSpmlbE6gPro1YPFN
jpzCzZY/8j2aLf5KLWgdzd8fyf2KXmUdqAA/q8QXeDqtHKq99j2AFtue2yVHcoTGNIl6EIE2mkeP
kMecfC4fJ/kWeBUt5mk1Pe+2WFnUHY1XhRa2U+LI7NA4RjNMk3rBomWJPwqaIhnhrd746pRYzRx/
SW3l0nEV11PX5Tfo7EVdkgN/sZj36WD2ByPsfCR9ILHmIQaXSfenuIeqiwdlSLF4R/y37LESSs/0
rdFriXT+jdRUzpFwAoF5Imh4ASI/3lfWKest90Kmci+f4u5zrMqH6s7Cz+OrSvY4t3iWK/hNavTW
N9ARzm01uuMhTve45InkbyvySl1+PHvAie2jSob86hD9RF5Ka+fi1i66XoGFrB0sP6vdC3RS8Ubu
JpaXWv9GjEp7qR8b/GMFjoWtohqeQ56+pMLIGCI3g4uQr61211YN1w5hpMz4VsO9/0DoOcaZm/To
6HqRe0q7L7ur/aCkIt4Y33HrdMY7uu2Jh/5/qoneg+2trQCGBJ3KrujDStJR/cUuDeystob1ZYOb
eVR7kQZCSjldBJQo7Sjb7V3/t9bz6VpDB7P9lusb5ORI8iso/ci9il0TkVv7CUtkTs5OZ/yNH0wT
g82s3KivJJaiY2fZtVKwX6jeMF1GyubkFVeu9fpDDhb3sZptlQTpmFt8aPzf3n3xzCQhhOa32bja
+nKV/tAxcdUVKRP6JEWKscGRObH5HBxjSQvMQUTjXISoNwKyhZiuW8lgC5pJgUb89pqd+tGzwwxE
3Q2UQv1vYpqwDLwWPHnVY6mlVAMsp258/cPR22xYVuMy2usiw8DcqAkceLzuWKvw0udsumBF0bQH
+6Pm6xzFPw3Wj+OkmvVX1eqplimNf8zCyecJO+yslyR0pWln7NJRQua1LE8csRNO7dN0DyOfVo/W
+e7nIT6YI9pwRkF/hSkQgW3Aj2Ynq/KdtOn7hEUr16OPnV2HbdEXxngrbS3/1ImVWn10sPBT91sF
aocEu4uCMlpVpqZYIw0ItV2BQXXEdUwUUpjX24H6eLvc43D6S4Iohet9AexoI9oZyM0lYHMuz5vr
RIJtUnwzBu6xwSmRfQAZdZJRQz6WksA2/DGtFBaGyTVrS6e/t6c2Hdjj239GQslN8JfmqpoyhsTK
awkteIknfE+3DCQAMuWApiWehvLyKyFsGMqDpDiy6zNL9Pgrvm0dn/uSJ0tyST9t8TdHIFeNNwuc
Wm0uxQ4Wd8D3XB3riuwZPBJHp02rHbeSlWGswmVw0WXRjLbS3BGZzwuZhMmCGq/I60eeqEV9LU2k
rLsbFB5o5ywPB++lWMs+ulXMLmuJq1kBSbk0hJXIsGU0icC9T+7m8HsFKr2Sh+wUq17jB2xLrSeG
apPXFqnWZXS8HqHSEQ9Jzixtesv6QPfrpx3VR0hjNwapkEozhmwtmfMzcRB6QN65Sjl0g5g+PiMU
MboAXgTM81BFR+44m7caW5DDEc5YyGhz2nHpMgG2CN7/n0ey8JQpKrbggYvM0lTVOrJ+QPozL0Na
N/WWjS3B/MSQuBJHRNk7FLULgi4OV4oUYd+hKeXe/OnPnNXwHdP1rI7LDwYXCtl5TTmqE6opdQmg
ZW9n4xA0nVTxOXjehNc4AZFtNNN8dzzz+V3VBDpB1dZKpd8w/4He3R7+5VrUfTnhFR/FeJBrTEXk
eFGxrsfmowM0L3w+VY3G+wHCkCD2OQHxAjDq7naKHsQMENQWn+5ZAnRwIhRGCJHAAJjByYoBlFSD
xBaYfQ9+hOjAs5TA0yx14jslVZ9y9JrS4G33H9xFBNtHFqhdEOOaTB3oJQ7fUxLUqbONqb6nyV2r
4SdCX5i/LHZsrfxuaQAIJjRokscGk7MtiGomP7aHbnSv85xG9IRrtj2yNyVw51OoCbIjYcqCLsBo
YgJMxKcva3hWTMVRZv4Sibr2LUt21wdYbPIR647lyyv8aOzptM27empRWYaMwzkPXM6mOpXMo8LI
hWpfYxojbCtrxLmKvdLWxKcwDqdu6b1UoKJhnicWHG6qZyw/ao/JsCnPdmb8yBSf5CzUvMld48j/
EpTRYjsSX4O6Cw50i4hnqR1ADkWDnz9yluKXd1LJQSkKANLZm0AQ0sHbM9vKQABSYO+dEJ254Qmg
Yh1vYP8Nok5Mqu70XNOYqtmf3uSRx07El2OfZpEDy7QXomRmerZGcYYWRbkIbanmjxB32O634/QK
Tz+lW3CAhXv2W3OBPP+mwMFvyjK17xnw8OdfjQd6VXE0m5bqRMjaMBrNuSHSVDSn/W2wAcDo9HTP
LmkP8JWU+RTpPJvrWBu27folYM3OIthIpOkqgqnisxXfcbxJocR2dI7sx4D88onefwASCcibcdVb
EsbuI4vP8z3p4t0/zx5C6yzJpglCjU0laVdmR5mZkFA7iZqNzzFOVp0vMaH5dXKND6XkJ/OYoP2V
APdV9HICzjHWii2moaCn+hJw6OY=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block
Wy8avf52SKtFRWpdKbuuoKz/QISNFt5qyx1njgupdsY1yGpbX3zodNM63ljgbYDMC3fZW2KyZA85
bRsP6RIcYZOndRqOE7OfH1ur2jFen4OVLRXLO+1hOqazA6KQe2iZsxqSqjfPf2LX+tWfJO/eUBuu
NN/DDOvWclIkj5bWWjAOAtaj2ThrtTqPf/Wgm1e+aN1qhMvvZguqwpBvrrLcckW0KeLgbIZPyh1G
5qPHxWqKah52yTq7Ywnyt1sm4WIZ9hJU5H4a26cTUQzChHK2Zka2hkSrxwwmTmZ7I0ooQWEEHQG8
g6UQzKhhk9CGAy+kKdPs2/vSoI9RkxrUY4HloVEOl3p1A3FQMEsUHDbAMiQGAznYA7a6JSZCkgp4
lKQsS5bZQZ29rLBvJAu3DbPvK+fyUaOYB0iucF7/zIPubiPgf0+xTr7LiF8k6nTnh2QhOaw3gm8u
VqPnG/linjOiqwPlWr4/HVhCukQMM/yk+tHvBsdClSkzmOVr739g8WAxqh8oUvQuXWLmvcZ10PR4
4274FDLD2E7mjEq2oLGSjrS0MmLK1S++yWo7WqUMLrBMdBcef4aE5ZT9vp1/VmxOLlvne58oqvhh
/1744ufYxPMpdJvpMCyUynJm/v1Lyt9NI/TbG+74DQNz49lJCJK78lZcME8EM7UHuc6B+ubWNVA1
7PZPdrKbMLAwoI6eYJ9w96HfaZ2dMZBhZwM8mbQ7FThGdy1U9ECwxeQW0rxzTnlyRWnKlHdgBa79
D6e9hlTgXS1JoJwleRFdZccR/gtMATd5yiSpTsbf9d1cyUv2eewvsgxWaI8I+HPhOfd/uyzlZjbO
zDHdS7aILW0PSSw4O4LCbM7zQM3i/ui1KnxwXK9Nuw4tTnreS2LmjvDzcTrpkGGEI+JnAFIGll8+
mxMVRO4QndXwiNfHrJHat7vAfZ/XDXuUTrRPRc643RUhz86JZKC1aOYdP3Iiy28FkRoBkn87bkr6
tVdgCkjh7utXVwv5qlhc+nWnos9u8PggfRXAaZSi7Ajw8jX6s6FLAYXVl92IYdDyYJBZT7I6pUOi
4ql2ucfkF9ql5+8RiWm1SeDPDajH7w/ZNsbt7Sypir3H3M3KM+1q/ZxdZUyhBS2WZyw3ow0goIgL
9hEtchmsW1n+OtYDg5bISQvtjPouiXGoWqdyLc/fEVsBOeuFUe9DSYn0jF6zHSSSLLS+DH+N5s1y
MVcavlbR7r5mtqnhnbxsdICqX8CuCAh5hUleVbwlF2YcEVXXeCEPJtT5vsdDQXpoUXvWHjCFHMtn
Z80WkY0Qfz1Cs2o6IIrrUwdjKHMsXi5x4QdBFOQXy6sFXPWu14LqzGxfiVUW/bbl8v/VzpBslvpq
AvcVSGbnhuqqzgOkoqE12mfG89X8GRBWTIs4jn2TMpzVCOKEd76p0Gf8hwAA2t5xHVJELHM/CCNZ
25V1MVpsscSpUoY1AR6NgAoRP4DvAqJsN6C7UwzNHR/hNuMed5pS/kzhB+xMZ9Tj3J74nFKRn7Q3
JM5W7mHmdy9n0rkJUR6jgJRl4rU6SCkCOGDRnZW4D7LF8j6axIQYateOcd2mSJWUFht/xL0ZuZoW
4kOKSFiGNzhFNBqIAzRwhhe0eNSuFiixdsaVPHuPMAzBGiKUv/zkEjjSuIhev0pB/t8MmWdPmRzI
AlNbN6aVA32Bod7Rs6FSX8sdBNn+WmosAMd/mPmGM95pO/NNz7GvF/f4uShaRx6W66UwDkWcbhxX
7PGg6Q56g8ahaetujwOvhZQQdhIUgqD5hBzpSeCVG2tKWzKrSb843P57jICJRb0F6fsDuy5eNuhu
b4QtiRYcuog7Yh/puCz+rIfthFGg+7Ki+4UD7v3yO6SIbJkuwwx9YQ0oHHyir8MpSB+KJg9wQWsS
/MFNTlx6V+j2NfzBjyDRhLomU/xDnppWkQy6Z7mPf1LWILgouCIR3htQ0Bd6g/OqVJQGRmdePgok
3pSaO7rKefY6QmcdsYHr+9lsVGSIc6HZZafJcmGJvD1tDFl/nd8m7Pq37Gh9sn2AdppYDl7Y50Mc
1yG9De/Yg/kpBGCbCTQJ/X41+nTtCJZV77L/LDxZvMUfHqrdsb/sqjpHaF3AID2Z9EyG5kjFzvdk
P9MhlgUrdWNeI173BW/tx5ehaY1CmscCFrOFhSJmstGt44fmo4tH526BKNSs4FUK+ddSAXuZtEEo
qXoQOzRmAxsBpWeXRlxhwANqvwmFSVKB5lDW8EwM+6smFOkAlxKec8YFMwk7nqDBad413F2OdQe9
xHgl7d7GrdH4EgGSxRYfOwyBub5qDKRlQG2qdR+7RYx05hi27pL+R7yu2bZGcFDVlBFSPHt+oSWc
ryXBF2Ctyi1YdBYCnwXeI68GfdlVaDZXIvrokV5ytKkxicZv/+pPBeSucbqZuvMwsoxdzTEYXl7H
L1PcpVDt+KCV1q4Wb//2OnUatdXlCHzJ0b/Pv8XSY9RJdjTqKeNnTq7Y0O3Xwz/Ra8oVoTuNrmlg
SSq2RqxEBaBaQuMuxw7ryydzDtqKo3roXTYslewGVJ0nj3PB1WNEWMrA3x7DXm9xPcF5cuDNXeGQ
IN7Dgnui1QCenbnrc+vYKFeTg0AdNg77HJtYSGbdPl7ADpZpIG7ctckmTUrsm0sxK1kC/qewHJOb
uFG2ik5gET8Q9StUfddEMqNUuookgsb8IgD8uyb3duhstmtmx38BtaQbqJp/vqv1c4k2hg/s6G9v
zTDVfmPG7Mi+e0kWFymeDeTcPjYaLty49QShB+W63UzG0E5c33t1WHxeb/y6MW3TE1kN+59grgH+
C1EnM1q/SV3l9UKUeledCorm3ndJGEqaNIdnKXcrM4d/uuACPOl8hzo52dPYt3JEE/3MuP63PFCK
PBgzqhgsfStKaSrrAR8D5mTuO464ga+2d0ka1Q+u9e1uNs4uFUsRpqZkImpkFf35blE1txie39F/
DebDjawhICUb/F6iCA1BEMGt/ymt3kaxWoXmrcsNLfaKQptZhr4sq5TJ16GPdsr32IIuY5qatDeT
9F//kq1VRtuqkWaWYoWuSHCI02uOFFXaRZpppvkswsANVSfcB2+IatSk7GQb+dXWTDtqniI1t0NQ
InEU/RtjqtS/9x6LRHIo2e3gb0J6kyVTjfMoDXJv0EiOMizi7mn1c+kusLTDctMMeW7QZnvmZ68+
R4wRxxHusnPwHDstaClH2ZwISIE6OkH7MmXgxqG/wn7WN4qWAVI00ZLVAP2nUo2Rxbjpz4NIldZX
RUQG4HLiE3ifaZU1CsVfuRKvAn5RufBRJJsWWSs+R1batomtbpytu+1glZDv2qQaQiAtrAbM2eot
pVrEJXoeGJ9kyGDJgfbciIjhHwH+yVX5M9xu102ci6uOqGSFGn97ILP1QlP5E6fRe26LiF4jD6hP
DQa2uavFGESi7KblVupdYchk+cVeoVNIoexVvFgAWe5KXYpmXTQge+NAaVrGwqWrI5qLv3BOSVd6
u13CQjG1e+gJm0CHKsc44wiiGWTeuixnaLKWGoF0o/PQdfb6qwgOAWqkr7/RwjKmDsCVJBQJGs1a
AnRzOgoBUl9zg6awHDqX6uCyynFrywGj9ZCde9UqrYPy9w9oxqjHH9oFYfg+yWxxNzN9a0rt9Qy5
E9yEron2LBl0johs62Lbaemx23DrAOnBR0bmmNzwkB5//37/mNGTfbCTYCp9AhbfNair6LcHbtv7
1u4+QoDzdPz/uGQ+VDD+wVNkYS4It+dE3tdI+d5kGoLOU01y5Q229fmPQI8Qx/gvTjVDaIm4PvTD
hSQFsrHEe2Lbrl1HJzM9dHCBUfgca9lSsUt21PmojBDbkY5Vqf2uC4kU3oXcpsan4O6M3AMBQALL
p/H6CiUp8UbKFLC8tPQWls1Cz5zWFeu3H9zs5b4NbFj03IdGuuLzNYRQqT9Xgb9VIcpFsS7p8RDD
4WEVgqDaUHAujKK4vL3Rulh9dLEA99wkimdlcZLNo/WaM5QPhNiAfqVC0/1LZpKvAqoSL16GzWuT
B2ohp92tT7e3/l1E/pvu4zZuI4XezQoMzDgFUW3AoQxg2J43mAtbvufCl9u4NgkoMpsnDBdjxc66
VF6Ff7YADCb3iVgQqTh0Y3iA4O+nymCVfxHn4qoIa9sSnXGgpaavd4JgoXX+qVtu73OaCPzKu+Nm
wXq7JWHUgrUONz/I5yS+/BhuwMiG0dSydSeQ8JMLH24W1pOJXsoZoJZjtPpvV1JNlUQ6vKh4sj1p
VYcT4eLxYJINamRVEPox9iMFfxyvytbpaQ0ALxV1DEDg0u2SAFDpOGPsDvpLvJwEVdZMnB1X9TCH
ypAIbXEbTTBLSnI+KfMvaO574MHBHQGgQyVs0G/k+ytC/Hcjqa7MbT89IfwUP3Pcp1mHJNNbdWlF
i3LGgHNOnkqEhXnHynlE0B7vmkxxgpAGa4PyYkccrPI0Hw7CXcAIzfyc+necZADAPmlPSXds3NMq
Qgx2p4m0kPkNTeDGlbXAjhuRz3UOEVo19ojtfshlAPTgNDL4UJoq+jQS4Ro/VQeq9UU6ZST1Yu5L
nVQTN0pYifK+O/FRJhItgMBFbQBeD0i5RAV6OZOMC+j1ap459ror/H36czaHEDgp/LRlTzOA3XEJ
9DCodS874t+9SEi8sLFgToKyizki0HsM0d+1jMKrnIjuas3D2GcnRWZBhy5YB9Xvd8lPME9/F75u
ux5+GONncO2Swk9hhxX5SR+e+eJxgJvRGbXNROOQLqm+klu7rs8nG6a34b9jF+8XT8McnH5nixeR
a4LyDX48lh4iZ1YAEtdtPZ5T+yqjQ3OR+7Hon+xgw96hXdyCr8NiKPF5BRQtCJ3ZWe0U/g/aynwa
I1BvvzpKt/1G0zdDGDhBWMMGd4t0n1jMPWdEBZYX5WJ9mGRLQaK/YIzCSB32tsKCiiiU6AEKNkoB
1mPuqe5sYJCBhGOrHvlVewKUFZINfBkfFsd8ovWXVWdLuwOLKyJnLtt2uRQC+cswAd3xFtkGADgJ
NWh4+ZS/w4xgSzLbUFnTHtq596pZF5AHvK1UVdD9y+b3kob4Mfjay8E0UzHLMCs5jzkDNhifek5o
y8q8S/oSwdvv8UbdOv2M8OftwNgker8a2AUvfgFTRVdoQpGrSTvbIN3kXFNSRj7t263N8AGlJIvW
iYtqCI+ZudZMePrcfsxkuk7Bgd1hRFpntV6I7iX2SQIh8LdgojuPH7G4WnNutcE7Ah/WPwSDCuG1
mSKCVi5b7F/XQJg6Nxcbo1sIz/+AcV+VxDmf932S6amkNBWTeB8GX8NUS2BaEhUoHgQz34yzP41s
0SLtkfkFsTseKzk3ULdLZ+wuwFPRDYrnYoLnQTK/VLynB7JOifadeS7w/46RU6Zp3FbmEw1W1Vml
Ud9SypP0x0XGurN+Ak7k0wVq03D0kqXe3Ys5c7JYdxiQOHifKGvwCXOW+VxO2FAPRY4NRDbsLL55
mOi0yXjYRPRiqjx3GrsiiFxWGPq4lMhb8h57VGz1e4ExjcU1lT26LZVP4RiP5392DpL5+P5wjF/g
G/8sWdphmruQ7uKG7Qm5QirKr3tE4VBvrFpSqJOFSvML4/W21UpOf8RZA+xgScmauH2mRWF9fUXO
xr6lKJq+Wl66lAe+RXGA1ji9obfhAxerDaHH9NhCj6oBcTf+DzDvC8AAJKc33YXFX4eLwb4WgOVy
BKlsyCICd/6+4HwWqbgsc3G967uj7njxd5uWsHnl1hOjIj+B7zByVAb0DPQnu/0kyYM/mLKYkavn
4QI+0zYq/xENovVx42TUE9HWwXgk393/m2hCuFwAXWvM1uWztXP2OZcANEQfOLt/ZmPEmkKbwcTB
EkaUi/ME2SOUuw8ZbGLi1oH1qAk+kf1V2P0Ab3HrC8HyguzftxdFA0qcMMqHDcLeljHItlTzk59F
Chklymd+wFc7yk6unM6xC8q4hzF+0QrAIT+0s/G7rihsH9UuOy3Zp7js1PQUYXU9G5+RmAoiyX3f
N2pQ2nsP4VOKMLQlIjkgRhe/Io01lup4pqA0VWtYzuqdzi9oB8fEorZ5vUPzcl4j5k0ZIlxwsPtn
s0FWoGie4OC5i9J5job6Tb4sh9cAUQjhSmzr6Yt+dNJJ/F+4RWDfe8MdQUDLmy2qSvbHKJSla+0y
n/A/+9dANtZ0CmEipO1f5aqG2cMd7nEqzJh89vIOIlT/qQ+hZ+4vyhjud3ndpPTQEzbl4fthA7XY
eCBohxqj2lIMDKpkYRJGLN0L5JKH5ThTU5Vipct1I0YAFGn0CmMz+5yuLPtwLpQvWaxN7aX5A1WI
VLV7XVYuNcZpCLXcc2MRxFhjuHEXVXCru+fNPSA/J5hzhlo69cmR+9eYNpKA+2KJPh7/FEC6RO8i
AiLCriezmda155lyb5P/DWqRCNfoYAbWWV+DoVKeFvMc1OAN6KjyNHVPXCj98InKBRbZ24knjS+C
+M/EInkQI6czonIzW8l0RwXxG4FhUML7Ck0rXe+b1ELBhE5FnCT+T6r9F7muh3D83Jh0gAQuQokQ
Q97rLAmh96cjplgtfRXzmGvRYpe/gyDV6BFQo6PAb3mqI9644OiqWwVjtceZYkkZfs+zZFKKurCH
Qb6cpuy3+lB5JCk9NTP4IyuaN4bLgG2VT0y897yWX3caTh8IKUNM/f2ekcIGqP7nkE832yxpcgeY
UxpKV/hAMca8HLNw4zaasJwOrlraA6aIp8yUUX4WQjZdNfWfFYyCxQfylhsze6W7ZjqgtPCw5EMz
zD24m5wPoRRTc1YLTejkEPbdBwEUl9L3QbxOUZP38PG5u0t9JzyTm3tu+9/CRVn/3ftoHb/lN0aj
+ksTZtmYqIf5VauLa0zePBfgvXQ/P6/kduGDK6/wZ/Kcpk3uu5OBoc7C/bfA/QwJERmqeIyp7BLH
b5K3jh3qnztSFHUVsonmSoyOC3vZMcQmeOlS7D1Izubd4n20QCRW2TrdC9YZmT3k2PNPsYuZgM1+
r4JLBbcDtw4OsYm2qUokL9nhri5vRrlrp9p0pPiGg3LalBlvJrqA3O1PUj3MRcCObWAloKo931lE
6PrrrwyQAx7vlgrWiukxTjUk056MZvXRR8oCqbICtoxt+bK+Jy4Hl8k9KJLxKqLiH+XmQ2LcverF
QZ+3xxzJdnpVR8737Vd3qMBk3YkaOcK5TGYXqxAEiO/Nwqrm594E3wkOcygCUc+84o4kWRTiEUKQ
SH/qYUyW3IGTl+SfQGQWrsWj4dwpTc0jAtl8qyoOX51dSeKV70QLoi/SD8cLMK6CzevccMHUCDRr
7rqpSakvCAMkhYUyyp6taGB+kleFplZ2irPusvvuwwrHEguzDA24a/p8IM8YZ5kxBJkFW9HNX25O
p5gMYX9qFxqjsa0qalBuhJnwng5C0/VNNcN/xr8B71u8OPBpxDGwX8tkfDUWUVodFh4TgpQ/GiES
UWPvMRg33Qr4r5cLq8CpZEah5QxGtz7jDX8BuIhUI3cl6n0X9LUT3B7lfm+hgyT+DDzonl0KlpPq
en0wJ97YiOENJfOmWkVv57O7WCcXUwGqE+mLgVTHlS5dykoZRDyFV0CImmu7P7iuOjMpD7qTRgXN
i6Xnfn+N1lRA16ujst7QFSopVhhI/lKTk/6XZaZkGvXyIGzncyHiDZzZqGTdtPavJ1X9KS/tX4Mo
jDCv/qkBpaNex88hLq/88SuirtHuUsPIBIsYAC0lV08wASR2ULV1CG7zWPxpQy6sdFjbLBlHu1yX
GQW76lZLKfcy2WT6tEYeb4NCctVdsw5udA/G/ly5OKO0ZUpzhTpNF/6i1EL4BGAbySxTasxcXsMc
Tb8FQIPva8+Nl+JthCk7qsf8TXSxOddXtBYYWbNVKgc5S1yngoew+65sG1reGYTFYh4PlhUSxMIM
LbPiX/fEEiLSXySx26il7H8XnZN6zIDcP5WVeVLemtqXjXRvlRmibXCwFGYJgv2OBwtee27FId29
zdtuk16FJ7JWQIn0Xr2QRyw/8EMJf1J7NItHb0cdvZOTzfJlfq+OAD1aDvhdvIpclkq9bhb/do0X
FGHVGqSHDW+WG5YP41JiNHV6fSp2XskJYaorOuTm8GvPjRtT2l1m7lI2FXTzJPFRZ7IqCYbRY1iA
6WI9b5JjRa2hfEuakX+vv66nueoCN5bfo+2kgaAHYxvbz/CnfD+ez/vg76tpSpmlbE6gPro1YPFN
jpzCzZY/8j2aLf5KLWgdzd8fyf2KXmUdqAA/q8QXeDqtHKq99j2AFtue2yVHcoTGNIl6EIE2mkeP
kMecfC4fJ/kWeBUt5mk1Pe+2WFnUHY1XhRa2U+LI7NA4RjNMk3rBomWJPwqaIhnhrd746pRYzRx/
SW3l0nEV11PX5Tfo7EVdkgN/sZj36WD2ByPsfCR9ILHmIQaXSfenuIeqiwdlSLF4R/y37LESSs/0
rdFriXT+jdRUzpFwAoF5Imh4ASI/3lfWKest90Kmci+f4u5zrMqH6s7Cz+OrSvY4t3iWK/hNavTW
N9ARzm01uuMhTve45InkbyvySl1+PHvAie2jSob86hD9RF5Ka+fi1i66XoGFrB0sP6vdC3RS8Ubu
JpaXWv9GjEp7qR8b/GMFjoWtohqeQ56+pMLIGCI3g4uQr61211YN1w5hpMz4VsO9/0DoOcaZm/To
6HqRe0q7L7ur/aCkIt4Y33HrdMY7uu2Jh/5/qoneg+2trQCGBJ3KrujDStJR/cUuDeystob1ZYOb
eVR7kQZCSjldBJQo7Sjb7V3/t9bz6VpDB7P9lusb5ORI8iso/ci9il0TkVv7CUtkTs5OZ/yNH0wT
g82s3KivJJaiY2fZtVKwX6jeMF1GyubkFVeu9fpDDhb3sZptlQTpmFt8aPzf3n3xzCQhhOa32bja
+nKV/tAxcdUVKRP6JEWKscGRObH5HBxjSQvMQUTjXISoNwKyhZiuW8lgC5pJgUb89pqd+tGzwwxE
3Q2UQv1vYpqwDLwWPHnVY6mlVAMsp258/cPR22xYVuMy2usiw8DcqAkceLzuWKvw0udsumBF0bQH
+6Pm6xzFPw3Wj+OkmvVX1eqplimNf8zCyecJO+yslyR0pWln7NJRQua1LE8csRNO7dN0DyOfVo/W
+e7nIT6YI9pwRkF/hSkQgW3Aj2Ynq/KdtOn7hEUr16OPnV2HbdEXxngrbS3/1ImVWn10sPBT91sF
aocEu4uCMlpVpqZYIw0ItV2BQXXEdUwUUpjX24H6eLvc43D6S4Iohet9AexoI9oZyM0lYHMuz5vr
RIJtUnwzBu6xwSmRfQAZdZJRQz6WksA2/DGtFBaGyTVrS6e/t6c2Hdjj239GQslN8JfmqpoyhsTK
awkteIknfE+3DCQAMuWApiWehvLyKyFsGMqDpDiy6zNL9Pgrvm0dn/uSJ0tyST9t8TdHIFeNNwuc
Wm0uxQ4Wd8D3XB3riuwZPBJHp02rHbeSlWGswmVw0WXRjLbS3BGZzwuZhMmCGq/I60eeqEV9LU2k
rLsbFB5o5ywPB++lWMs+ulXMLmuJq1kBSbk0hJXIsGU0icC9T+7m8HsFKr2Sh+wUq17jB2xLrSeG
apPXFqnWZXS8HqHSEQ9Jzixtesv6QPfrpx3VR0hjNwapkEozhmwtmfMzcRB6QN65Sjl0g5g+PiMU
MboAXgTM81BFR+44m7caW5DDEc5YyGhz2nHpMgG2CN7/n0ey8JQpKrbggYvM0lTVOrJ+QPozL0Na
N/WWjS3B/MSQuBJHRNk7FLULgi4OV4oUYd+hKeXe/OnPnNXwHdP1rI7LDwYXCtl5TTmqE6opdQmg
ZW9n4xA0nVTxOXjehNc4AZFtNNN8dzzz+V3VBDpB1dZKpd8w/4He3R7+5VrUfTnhFR/FeJBrTEXk
eFGxrsfmowM0L3w+VY3G+wHCkCD2OQHxAjDq7naKHsQMENQWn+5ZAnRwIhRGCJHAAJjByYoBlFSD
xBaYfQ9+hOjAs5TA0yx14jslVZ9y9JrS4G33H9xFBNtHFqhdEOOaTB3oJQ7fUxLUqbONqb6nyV2r
4SdCX5i/LHZsrfxuaQAIJjRokscGk7MtiGomP7aHbnSv85xG9IRrtj2yNyVw51OoCbIjYcqCLsBo
YgJMxKcva3hWTMVRZv4Sibr2LUt21wdYbPIR647lyyv8aOzptM27empRWYaMwzkPXM6mOpXMo8LI
hWpfYxojbCtrxLmKvdLWxKcwDqdu6b1UoKJhnicWHG6qZyw/ao/JsCnPdmb8yBSf5CzUvMld48j/
EpTRYjsSX4O6Cw50i4hnqR1ADkWDnz9yluKXd1LJQSkKANLZm0AQ0sHbM9vKQABSYO+dEJ254Qmg
Yh1vYP8Nok5Mqu70XNOYqtmf3uSRx07El2OfZpEDy7QXomRmerZGcYYWRbkIbanmjxB32O634/QK
Tz+lW3CAhXv2W3OBPP+mwMFvyjK17xnw8OdfjQd6VXE0m5bqRMjaMBrNuSHSVDSn/W2wAcDo9HTP
LmkP8JWU+RTpPJvrWBu27folYM3OIthIpOkqgqnisxXfcbxJocR2dI7sx4D88onefwASCcibcdVb
EsbuI4vP8z3p4t0/zx5C6yzJpglCjU0laVdmR5mZkFA7iZqNzzFOVp0vMaH5dXKND6XkJ/OYoP2V
APdV9HICzjHWii2moaCn+hJw6OY=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RZm5UrZFV7JOtGxR4Pzih7NQYLp7LmPE59R/6o+hZN+ZT+nCA+l5YH+/j+E+cmHHWo6IUrn/ULaG
ZkaGINks7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MRNQzUt4f7a/v9KMrin25EUCYvWi/twJzLlDdceTmDN2GCvOURSU7hHpsmsqqCb1xCeaV7xbvs0c
MXpZkAPeQc5Coi1irNf+9eKbc5uIh03B/PevhS9S+La97Aj9rjHplzcZDEBFN6fiyAdKvJgOrOyz
87nOO0u5LoaEOeyC6ao=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
L17wVQWzSUChaUkUbjAqDK1dFxRQ9orAmYas8htY5fjqeIDtBkS/PldQL1EGRGrFVbxZVbStDyiq
iWMlaMSfJiAW0codwFWqGkqnH6YMctbqpTZdQPbprA8qa73Xmy9S5tgWXo6y3vZys5HBTFHxXMXj
HSJZBGLfj5+GGMkAkDYYBZrgDs/jxx605zYzRg+wKonRxjx8C7c4r2cekqFXXjEfMC6t47HLGKZO
Wp8oqSV+SdxjNfsxTeAcFxqhiABG1hbduxwcNIQO/0mgU7awDWqjimqvnE1+KO7vQU/MVpl+J+Y9
bwvxkUUMkYnqQG/HGWvvQ7Zp0u8+rRyDh2dzOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yJG5RZbV6QsAW4khC+YjJnbI2jNRxPOtee58pTXfgJVvj12BYVsRuhi1xiVJgak8Vy8V0UJ43Wc3
ydXie//gOHZIACOddgGz8WdlyWauaZ9sd1K4GlV+vX4K5HkoOyunq5QSLYwU2X/ZYYkTAGg7My6m
h1UvByaO98o6pNd+n1w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QjcZeibYm0SAHW7YliT2StC14hkmhpmI1+m8klXbQfAK/yXQ8NfNnDZicIHqHpAbgVQzoGSkcmXa
qhjmF7JhXI4I11rujpUqz61fAf/3PeUiYimqp9l0xnePLlrRBeItzqfetftMnQ8hBAuI+sARuLin
j4+kHDvo2V/A6kndknmKA6lyd7gI8Mgzy1xgvua2Bfq25TZ30r76kaSXXo5N6hFVjtfwPGqnYepq
02yTg3lN97x/f3REjUh0T05iK9mOISMgvqQkxFwl6hBnLhp8WW0zJBjFvAguLZDf4CMBuYBnnmGQ
axcOzl5DWDcYTgPm/DTciq3eoilijus/JUHuFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block
Wy8avf52SKtFRWpdKbuuoKz/QISNFt5qyx1njgupdsY1yGpbX3zodNM63ljgbYDMC3fZW2KyZA85
bRsP6RIcYZOndRqOE7OfH1ur2jFen4OVLRXLO+1hOqazA6KQe2iZsxqSqjfPf2LX+tWfJO/eUBuu
NN/DDOvWclIkj5bWWjAOAtaj2ThrtTqPf/Wgm1e+aN1qhMvvZguqwpBvrrLcckW0KeLgbIZPyh1G
5qPHxWqKah52yTq7Ywnyt1sm4WIZ9hJU5H4a26cTUQzChHK2Zka2hkSrxwwmTmZ7I0ooQWEEHQG8
g6UQzKhhk9CGAy+kKdPs2/vSoI9RkxrUY4HloVEOl3p1A3FQMEsUHDbAMiQGAznYA7a6JSZCkgp4
lKQsS5bZQZ29rLBvJAu3DbPvK+fyUaOYB0iucF7/zIPubiPgf0+xTr7LiF8k6nTnh2QhOaw3gm8u
VqPnG/linjOiqwPlWr4/HVhCukQMM/yk+tHvBsdClSkzmOVr739g8WAxqh8oUvQuXWLmvcZ10PR4
4274FDLD2E7mjEq2oLGSjrS0MmLK1S++yWo7WqUMLrBMdBcef4aE5ZT9vp1/VmxOLlvne58oqvhh
/1744ufYxPMpdJvpMCyUynJm/v1Lyt9NI/TbG+74DQNz49lJCJK78lZcME8EM7UHuc6B+ubWNVA1
7PZPdrKbMLAwoI6eYJ9w96HfaZ2dMZBhZwM8mbQ7FThGdy1U9ECwxeQW0rxzTnlyRWnKlHdgBa79
D6e9hlTgXS1JoJwleRFdZccR/gtMATd5yiSpTsbf9d1cyUv2eewvsgxWaI8I+HPhOfd/uyzlZjbO
zDHdS7aILW0PSSw4O4LCbM7zQM3i/ui1KnxwXK9Nuw4tTnreS2LmjvDzcTrpkGGEI+JnAFIGll8+
mxMVRO4QndXwiNfHrJHat7vAfZ/XDXuUTrRPRc643RUhz86JZKC1aOYdP3Iiy28FkRoBkn87bkr6
tVdgCkjh7utXVwv5qlhc+nWnos9u8PggfRXAaZSi7Ajw8jX6s6FLAYXVl92IYdDyYJBZT7I6pUOi
4ql2ucfkF9ql5+8RiWm1SeDPDajH7w/ZNsbt7Sypir3H3M3KM+1q/ZxdZUyhBS2WZyw3ow0goIgL
9hEtchmsW1n+OtYDg5bISQvtjPouiXGoWqdyLc/fEVsBOeuFUe9DSYn0jF6zHSSSLLS+DH+N5s1y
MVcavlbR7r5mtqnhnbxsdICqX8CuCAh5hUleVbwlF2YcEVXXeCEPJtT5vsdDQXpoUXvWHjCFHMtn
Z80WkY0Qfz1Cs2o6IIrrUwdjKHMsXi5x4QdBFOQXy6sFXPWu14LqzGxfiVUW/bbl8v/VzpBslvpq
AvcVSGbnhuqqzgOkoqE12mfG89X8GRBWTIs4jn2TMpzVCOKEd76p0Gf8hwAA2t5xHVJELHM/CCNZ
25V1MVpsscSpUoY1AR6NgAoRP4DvAqJsN6C7UwzNHR/hNuMed5pS/kzhB+xMZ9Tj3J74nFKRn7Q3
JM5W7mHmdy9n0rkJUR6jgJRl4rU6SCkCOGDRnZW4D7LF8j6axIQYateOcd2mSJWUFht/xL0ZuZoW
4kOKSFiGNzhFNBqIAzRwhhe0eNSuFiixdsaVPHuPMAzBGiKUv/zkEjjSuIhev0pB/t8MmWdPmRzI
AlNbN6aVA32Bod7Rs6FSX8sdBNn+WmosAMd/mPmGM95pO/NNz7GvF/f4uShaRx6W66UwDkWcbhxX
7PGg6Q56g8ahaetujwOvhZQQdhIUgqD5hBzpSeCVG2tKWzKrSb843P57jICJRb0F6fsDuy5eNuhu
b4QtiRYcuog7Yh/puCz+rIfthFGg+7Ki+4UD7v3yO6SIbJkuwwx9YQ0oHHyir8MpSB+KJg9wQWsS
/MFNTlx6V+j2NfzBjyDRhLomU/xDnppWkQy6Z7mPf1LWILgouCIR3htQ0Bd6g/OqVJQGRmdePgok
3pSaO7rKefY6QmcdsYHr+9lsVGSIc6HZZafJcmGJvD1tDFl/nd8m7Pq37Gh9sn2AdppYDl7Y50Mc
1yG9De/Yg/kpBGCbCTQJ/X41+nTtCJZV77L/LDxZvMUfHqrdsb/sqjpHaF3AID2Z9EyG5kjFzvdk
P9MhlgUrdWNeI173BW/tx5ehaY1CmscCFrOFhSJmstGt44fmo4tH526BKNSs4FUK+ddSAXuZtEEo
qXoQOzRmAxsBpWeXRlxhwANqvwmFSVKB5lDW8EwM+6smFOkAlxKec8YFMwk7nqDBad413F2OdQe9
xHgl7d7GrdH4EgGSxRYfOwyBub5qDKRlQG2qdR+7RYx05hi27pL+R7yu2bZGcFDVlBFSPHt+oSWc
ryXBF2Ctyi1YdBYCnwXeI68GfdlVaDZXIvrokV5ytKkxicZv/+pPBeSucbqZuvMwsoxdzTEYXl7H
L1PcpVDt+KCV1q4Wb//2OnUatdXlCHzJ0b/Pv8XSY9RJdjTqKeNnTq7Y0O3Xwz/Ra8oVoTuNrmlg
SSq2RqxEBaBaQuMuxw7ryydzDtqKo3roXTYslewGVJ0nj3PB1WNEWMrA3x7DXm9xPcF5cuDNXeGQ
IN7Dgnui1QCenbnrc+vYKFeTg0AdNg77HJtYSGbdPl7ADpZpIG7ctckmTUrsm0sxK1kC/qewHJOb
uFG2ik5gET8Q9StUfddEMqNUuookgsb8IgD8uyb3duhstmtmx38BtaQbqJp/vqv1c4k2hg/s6G9v
zTDVfmPG7Mi+e0kWFymeDeTcPjYaLty49QShB+W63UzG0E5c33t1WHxeb/y6MW3TE1kN+59grgH+
C1EnM1q/SV3l9UKUeledCorm3ndJGEqaNIdnKXcrM4d/uuACPOl8hzo52dPYt3JEE/3MuP63PFCK
PBgzqhgsfStKaSrrAR8D5mTuO464ga+2d0ka1Q+u9e1uNs4uFUsRpqZkImpkFf35blE1txie39F/
DebDjawhICUb/F6iCA1BEMGt/ymt3kaxWoXmrcsNLfaKQptZhr4sq5TJ16GPdsr32IIuY5qatDeT
9F//kq1VRtuqkWaWYoWuSHCI02uOFFXaRZpppvkswsANVSfcB2+IatSk7GQb+dXWTDtqniI1t0NQ
InEU/RtjqtS/9x6LRHIo2e3gb0J6kyVTjfMoDXJv0EiOMizi7mn1c+kusLTDctMMeW7QZnvmZ68+
R4wRxxHusnPwHDstaClH2ZwISIE6OkH7MmXgxqG/wn7WN4qWAVI00ZLVAP2nUo2Rxbjpz4NIldZX
RUQG4HLiE3ifaZU1CsVfuRKvAn5RufBRJJsWWSs+R1batomtbpytu+1glZDv2qQaQiAtrAbM2eot
pVrEJXoeGJ9kyGDJgfbciIjhHwH+yVX5M9xu102ci6uOqGSFGn97ILP1QlP5E6fRe26LiF4jD6hP
DQa2uavFGESi7KblVupdYchk+cVeoVNIoexVvFgAWe5KXYpmXTQge+NAaVrGwqWrI5qLv3BOSVd6
u13CQjG1e+gJm0CHKsc44wiiGWTeuixnaLKWGoF0o/PQdfb6qwgOAWqkr7/RwjKmDsCVJBQJGs1a
AnRzOgoBUl9zg6awHDqX6uCyynFrywGj9ZCde9UqrYPy9w9oxqjHH9oFYfg+yWxxNzN9a0rt9Qy5
E9yEron2LBl0johs62Lbaemx23DrAOnBR0bmmNzwkB5//37/mNGTfbCTYCp9AhbfNair6LcHbtv7
1u4+QoDzdPz/uGQ+VDD+wVNkYS4It+dE3tdI+d5kGoLOU01y5Q229fmPQI8Qx/gvTjVDaIm4PvTD
hSQFsrHEe2Lbrl1HJzM9dHCBUfgca9lSsUt21PmojBDbkY5Vqf2uC4kU3oXcpsan4O6M3AMBQALL
p/H6CiUp8UbKFLC8tPQWls1Cz5zWFeu3H9zs5b4NbFj03IdGuuLzNYRQqT9Xgb9VIcpFsS7p8RDD
4WEVgqDaUHAujKK4vL3Rulh9dLEA99wkimdlcZLNo/WaM5QPhNiAfqVC0/1LZpKvAqoSL16GzWuT
B2ohp92tT7e3/l1E/pvu4zZuI4XezQoMzDgFUW3AoQxg2J43mAtbvufCl9u4NgkoMpsnDBdjxc66
VF6Ff7YADCb3iVgQqTh0Y3iA4O+nymCVfxHn4qoIa9sSnXGgpaavd4JgoXX+qVtu73OaCPzKu+Nm
wXq7JWHUgrUONz/I5yS+/BhuwMiG0dSydSeQ8JMLH24W1pOJXsoZoJZjtPpvV1JNlUQ6vKh4sj1p
VYcT4eLxYJINamRVEPox9iMFfxyvytbpaQ0ALxV1DEDg0u2SAFDpOGPsDvpLvJwEVdZMnB1X9TCH
ypAIbXEbTTBLSnI+KfMvaO574MHBHQGgQyVs0G/k+ytC/Hcjqa7MbT89IfwUP3Pcp1mHJNNbdWlF
i3LGgHNOnkqEhXnHynlE0B7vmkxxgpAGa4PyYkccrPI0Hw7CXcAIzfyc+necZADAPmlPSXds3NMq
Qgx2p4m0kPkNTeDGlbXAjhuRz3UOEVo19ojtfshlAPTgNDL4UJoq+jQS4Ro/VQeq9UU6ZST1Yu5L
nVQTN0pYifK+O/FRJhItgMBFbQBeD0i5RAV6OZOMC+j1ap459ror/H36czaHEDgp/LRlTzOA3XEJ
9DCodS874t+9SEi8sLFgToKyizki0HsM0d+1jMKrnIjuas3D2GcnRWZBhy5YB9Xvd8lPME9/F75u
ux5+GONncO2Swk9hhxX5SR+e+eJxgJvRGbXNROOQLqm+klu7rs8nG6a34b9jF+8XT8McnH5nixeR
a4LyDX48lh4iZ1YAEtdtPZ5T+yqjQ3OR+7Hon+xgw96hXdyCr8NiKPF5BRQtCJ3ZWe0U/g/aynwa
I1BvvzpKt/1G0zdDGDhBWMMGd4t0n1jMPWdEBZYX5WJ9mGRLQaK/YIzCSB32tsKCiiiU6AEKNkoB
1mPuqe5sYJCBhGOrHvlVewKUFZINfBkfFsd8ovWXVWdLuwOLKyJnLtt2uRQC+cswAd3xFtkGADgJ
NWh4+ZS/w4xgSzLbUFnTHtq596pZF5AHvK1UVdD9y+b3kob4Mfjay8E0UzHLMCs5jzkDNhifek5o
y8q8S/oSwdvv8UbdOv2M8OftwNgker8a2AUvfgFTRVdoQpGrSTvbIN3kXFNSRj7t263N8AGlJIvW
iYtqCI+ZudZMePrcfsxkuk7Bgd1hRFpntV6I7iX2SQIh8LdgojuPH7G4WnNutcE7Ah/WPwSDCuG1
mSKCVi5b7F/XQJg6Nxcbo1sIz/+AcV+VxDmf932S6amkNBWTeB8GX8NUS2BaEhUoHgQz34yzP41s
0SLtkfkFsTseKzk3ULdLZ+wuwFPRDYrnYoLnQTK/VLynB7JOifadeS7w/46RU6Zp3FbmEw1W1Vml
Ud9SypP0x0XGurN+Ak7k0wVq03D0kqXe3Ys5c7JYdxiQOHifKGvwCXOW+VxO2FAPRY4NRDbsLL55
mOi0yXjYRPRiqjx3GrsiiFxWGPq4lMhb8h57VGz1e4ExjcU1lT26LZVP4RiP5392DpL5+P5wjF/g
G/8sWdphmruQ7uKG7Qm5QirKr3tE4VBvrFpSqJOFSvML4/W21UpOf8RZA+xgScmauH2mRWF9fUXO
xr6lKJq+Wl66lAe+RXGA1ji9obfhAxerDaHH9NhCj6oBcTf+DzDvC8AAJKc33YXFX4eLwb4WgOVy
BKlsyCICd/6+4HwWqbgsc3G967uj7njxd5uWsHnl1hOjIj+B7zByVAb0DPQnu/0kyYM/mLKYkavn
4QI+0zYq/xENovVx42TUE9HWwXgk393/m2hCuFwAXWvM1uWztXP2OZcANEQfOLt/ZmPEmkKbwcTB
EkaUi/ME2SOUuw8ZbGLi1oH1qAk+kf1V2P0Ab3HrC8HyguzftxdFA0qcMMqHDcLeljHItlTzk59F
Chklymd+wFc7yk6unM6xC8q4hzF+0QrAIT+0s/G7rihsH9UuOy3Zp7js1PQUYXU9G5+RmAoiyX3f
N2pQ2nsP4VOKMLQlIjkgRhe/Io01lup4pqA0VWtYzuqdzi9oB8fEorZ5vUPzcl4j5k0ZIlxwsPtn
s0FWoGie4OC5i9J5job6Tb4sh9cAUQjhSmzr6Yt+dNJJ/F+4RWDfe8MdQUDLmy2qSvbHKJSla+0y
n/A/+9dANtZ0CmEipO1f5aqG2cMd7nEqzJh89vIOIlT/qQ+hZ+4vyhjud3ndpPTQEzbl4fthA7XY
eCBohxqj2lIMDKpkYRJGLN0L5JKH5ThTU5Vipct1I0YAFGn0CmMz+5yuLPtwLpQvWaxN7aX5A1WI
VLV7XVYuNcZpCLXcc2MRxFhjuHEXVXCru+fNPSA/J5hzhlo69cmR+9eYNpKA+2KJPh7/FEC6RO8i
AiLCriezmda155lyb5P/DWqRCNfoYAbWWV+DoVKeFvMc1OAN6KjyNHVPXCj98InKBRbZ24knjS+C
+M/EInkQI6czonIzW8l0RwXxG4FhUML7Ck0rXe+b1ELBhE5FnCT+T6r9F7muh3D83Jh0gAQuQokQ
Q97rLAmh96cjplgtfRXzmGvRYpe/gyDV6BFQo6PAb3mqI9644OiqWwVjtceZYkkZfs+zZFKKurCH
Qb6cpuy3+lB5JCk9NTP4IyuaN4bLgG2VT0y897yWX3caTh8IKUNM/f2ekcIGqP7nkE832yxpcgeY
UxpKV/hAMca8HLNw4zaasJwOrlraA6aIp8yUUX4WQjZdNfWfFYyCxQfylhsze6W7ZjqgtPCw5EMz
zD24m5wPoRRTc1YLTejkEPbdBwEUl9L3QbxOUZP38PG5u0t9JzyTm3tu+9/CRVn/3ftoHb/lN0aj
+ksTZtmYqIf5VauLa0zePBfgvXQ/P6/kduGDK6/wZ/Kcpk3uu5OBoc7C/bfA/QwJERmqeIyp7BLH
b5K3jh3qnztSFHUVsonmSoyOC3vZMcQmeOlS7D1Izubd4n20QCRW2TrdC9YZmT3k2PNPsYuZgM1+
r4JLBbcDtw4OsYm2qUokL9nhri5vRrlrp9p0pPiGg3LalBlvJrqA3O1PUj3MRcCObWAloKo931lE
6PrrrwyQAx7vlgrWiukxTjUk056MZvXRR8oCqbICtoxt+bK+Jy4Hl8k9KJLxKqLiH+XmQ2LcverF
QZ+3xxzJdnpVR8737Vd3qMBk3YkaOcK5TGYXqxAEiO/Nwqrm594E3wkOcygCUc+84o4kWRTiEUKQ
SH/qYUyW3IGTl+SfQGQWrsWj4dwpTc0jAtl8qyoOX51dSeKV70QLoi/SD8cLMK6CzevccMHUCDRr
7rqpSakvCAMkhYUyyp6taGB+kleFplZ2irPusvvuwwrHEguzDA24a/p8IM8YZ5kxBJkFW9HNX25O
p5gMYX9qFxqjsa0qalBuhJnwng5C0/VNNcN/xr8B71u8OPBpxDGwX8tkfDUWUVodFh4TgpQ/GiES
UWPvMRg33Qr4r5cLq8CpZEah5QxGtz7jDX8BuIhUI3cl6n0X9LUT3B7lfm+hgyT+DDzonl0KlpPq
en0wJ97YiOENJfOmWkVv57O7WCcXUwGqE+mLgVTHlS5dykoZRDyFV0CImmu7P7iuOjMpD7qTRgXN
i6Xnfn+N1lRA16ujst7QFSopVhhI/lKTk/6XZaZkGvXyIGzncyHiDZzZqGTdtPavJ1X9KS/tX4Mo
jDCv/qkBpaNex88hLq/88SuirtHuUsPIBIsYAC0lV08wASR2ULV1CG7zWPxpQy6sdFjbLBlHu1yX
GQW76lZLKfcy2WT6tEYeb4NCctVdsw5udA/G/ly5OKO0ZUpzhTpNF/6i1EL4BGAbySxTasxcXsMc
Tb8FQIPva8+Nl+JthCk7qsf8TXSxOddXtBYYWbNVKgc5S1yngoew+65sG1reGYTFYh4PlhUSxMIM
LbPiX/fEEiLSXySx26il7H8XnZN6zIDcP5WVeVLemtqXjXRvlRmibXCwFGYJgv2OBwtee27FId29
zdtuk16FJ7JWQIn0Xr2QRyw/8EMJf1J7NItHb0cdvZOTzfJlfq+OAD1aDvhdvIpclkq9bhb/do0X
FGHVGqSHDW+WG5YP41JiNHV6fSp2XskJYaorOuTm8GvPjRtT2l1m7lI2FXTzJPFRZ7IqCYbRY1iA
6WI9b5JjRa2hfEuakX+vv66nueoCN5bfo+2kgaAHYxvbz/CnfD+ez/vg76tpSpmlbE6gPro1YPFN
jpzCzZY/8j2aLf5KLWgdzd8fyf2KXmUdqAA/q8QXeDqtHKq99j2AFtue2yVHcoTGNIl6EIE2mkeP
kMecfC4fJ/kWeBUt5mk1Pe+2WFnUHY1XhRa2U+LI7NA4RjNMk3rBomWJPwqaIhnhrd746pRYzRx/
SW3l0nEV11PX5Tfo7EVdkgN/sZj36WD2ByPsfCR9ILHmIQaXSfenuIeqiwdlSLF4R/y37LESSs/0
rdFriXT+jdRUzpFwAoF5Imh4ASI/3lfWKest90Kmci+f4u5zrMqH6s7Cz+OrSvY4t3iWK/hNavTW
N9ARzm01uuMhTve45InkbyvySl1+PHvAie2jSob86hD9RF5Ka+fi1i66XoGFrB0sP6vdC3RS8Ubu
JpaXWv9GjEp7qR8b/GMFjoWtohqeQ56+pMLIGCI3g4uQr61211YN1w5hpMz4VsO9/0DoOcaZm/To
6HqRe0q7L7ur/aCkIt4Y33HrdMY7uu2Jh/5/qoneg+2trQCGBJ3KrujDStJR/cUuDeystob1ZYOb
eVR7kQZCSjldBJQo7Sjb7V3/t9bz6VpDB7P9lusb5ORI8iso/ci9il0TkVv7CUtkTs5OZ/yNH0wT
g82s3KivJJaiY2fZtVKwX6jeMF1GyubkFVeu9fpDDhb3sZptlQTpmFt8aPzf3n3xzCQhhOa32bja
+nKV/tAxcdUVKRP6JEWKscGRObH5HBxjSQvMQUTjXISoNwKyhZiuW8lgC5pJgUb89pqd+tGzwwxE
3Q2UQv1vYpqwDLwWPHnVY6mlVAMsp258/cPR22xYVuMy2usiw8DcqAkceLzuWKvw0udsumBF0bQH
+6Pm6xzFPw3Wj+OkmvVX1eqplimNf8zCyecJO+yslyR0pWln7NJRQua1LE8csRNO7dN0DyOfVo/W
+e7nIT6YI9pwRkF/hSkQgW3Aj2Ynq/KdtOn7hEUr16OPnV2HbdEXxngrbS3/1ImVWn10sPBT91sF
aocEu4uCMlpVpqZYIw0ItV2BQXXEdUwUUpjX24H6eLvc43D6S4Iohet9AexoI9oZyM0lYHMuz5vr
RIJtUnwzBu6xwSmRfQAZdZJRQz6WksA2/DGtFBaGyTVrS6e/t6c2Hdjj239GQslN8JfmqpoyhsTK
awkteIknfE+3DCQAMuWApiWehvLyKyFsGMqDpDiy6zNL9Pgrvm0dn/uSJ0tyST9t8TdHIFeNNwuc
Wm0uxQ4Wd8D3XB3riuwZPBJHp02rHbeSlWGswmVw0WXRjLbS3BGZzwuZhMmCGq/I60eeqEV9LU2k
rLsbFB5o5ywPB++lWMs+ulXMLmuJq1kBSbk0hJXIsGU0icC9T+7m8HsFKr2Sh+wUq17jB2xLrSeG
apPXFqnWZXS8HqHSEQ9Jzixtesv6QPfrpx3VR0hjNwapkEozhmwtmfMzcRB6QN65Sjl0g5g+PiMU
MboAXgTM81BFR+44m7caW5DDEc5YyGhz2nHpMgG2CN7/n0ey8JQpKrbggYvM0lTVOrJ+QPozL0Na
N/WWjS3B/MSQuBJHRNk7FLULgi4OV4oUYd+hKeXe/OnPnNXwHdP1rI7LDwYXCtl5TTmqE6opdQmg
ZW9n4xA0nVTxOXjehNc4AZFtNNN8dzzz+V3VBDpB1dZKpd8w/4He3R7+5VrUfTnhFR/FeJBrTEXk
eFGxrsfmowM0L3w+VY3G+wHCkCD2OQHxAjDq7naKHsQMENQWn+5ZAnRwIhRGCJHAAJjByYoBlFSD
xBaYfQ9+hOjAs5TA0yx14jslVZ9y9JrS4G33H9xFBNtHFqhdEOOaTB3oJQ7fUxLUqbONqb6nyV2r
4SdCX5i/LHZsrfxuaQAIJjRokscGk7MtiGomP7aHbnSv85xG9IRrtj2yNyVw51OoCbIjYcqCLsBo
YgJMxKcva3hWTMVRZv4Sibr2LUt21wdYbPIR647lyyv8aOzptM27empRWYaMwzkPXM6mOpXMo8LI
hWpfYxojbCtrxLmKvdLWxKcwDqdu6b1UoKJhnicWHG6qZyw/ao/JsCnPdmb8yBSf5CzUvMld48j/
EpTRYjsSX4O6Cw50i4hnqR1ADkWDnz9yluKXd1LJQSkKANLZm0AQ0sHbM9vKQABSYO+dEJ254Qmg
Yh1vYP8Nok5Mqu70XNOYqtmf3uSRx07El2OfZpEDy7QXomRmerZGcYYWRbkIbanmjxB32O634/QK
Tz+lW3CAhXv2W3OBPP+mwMFvyjK17xnw8OdfjQd6VXE0m5bqRMjaMBrNuSHSVDSn/W2wAcDo9HTP
LmkP8JWU+RTpPJvrWBu27folYM3OIthIpOkqgqnisxXfcbxJocR2dI7sx4D88onefwASCcibcdVb
EsbuI4vP8z3p4t0/zx5C6yzJpglCjU0laVdmR5mZkFA7iZqNzzFOVp0vMaH5dXKND6XkJ/OYoP2V
APdV9HICzjHWii2moaCn+hJw6OY=
`protect end_protected
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1742.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b00x00p03n01i01742ent IS
END c09s05b00x00p03n01i01742ent;
ARCHITECTURE c09s05b00x00p03n01i01742arch OF c09s05b00x00p03n01i01742ent IS
signal err : bit;
BEGIN
err <= transport guarded '1';
assert FALSE
report "***FAILED TEST: c09s05b00x00p03n01i01742 - Guarded must appear precede transport."
severity ERROR;
END c09s05b00x00p03n01i01742arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1742.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b00x00p03n01i01742ent IS
END c09s05b00x00p03n01i01742ent;
ARCHITECTURE c09s05b00x00p03n01i01742arch OF c09s05b00x00p03n01i01742ent IS
signal err : bit;
BEGIN
err <= transport guarded '1';
assert FALSE
report "***FAILED TEST: c09s05b00x00p03n01i01742 - Guarded must appear precede transport."
severity ERROR;
END c09s05b00x00p03n01i01742arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1742.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b00x00p03n01i01742ent IS
END c09s05b00x00p03n01i01742ent;
ARCHITECTURE c09s05b00x00p03n01i01742arch OF c09s05b00x00p03n01i01742ent IS
signal err : bit;
BEGIN
err <= transport guarded '1';
assert FALSE
report "***FAILED TEST: c09s05b00x00p03n01i01742 - Guarded must appear precede transport."
severity ERROR;
END c09s05b00x00p03n01i01742arch;
|
-------------------------------------------------------------------------------
-- system_axi_dma_0_wrapper.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
library axi_dma_v6_03_a;
use axi_dma_v6_03_a.all;
entity system_axi_dma_0_wrapper is
port (
s_axi_lite_aclk : in std_logic;
m_axi_sg_aclk : in std_logic;
m_axi_mm2s_aclk : in std_logic;
m_axi_s2mm_aclk : in std_logic;
axi_resetn : in std_logic;
s_axi_lite_awvalid : in std_logic;
s_axi_lite_awready : out std_logic;
s_axi_lite_awaddr : in std_logic_vector(9 downto 0);
s_axi_lite_wvalid : in std_logic;
s_axi_lite_wready : out std_logic;
s_axi_lite_wdata : in std_logic_vector(31 downto 0);
s_axi_lite_bresp : out std_logic_vector(1 downto 0);
s_axi_lite_bvalid : out std_logic;
s_axi_lite_bready : in std_logic;
s_axi_lite_arvalid : in std_logic;
s_axi_lite_arready : out std_logic;
s_axi_lite_araddr : in std_logic_vector(9 downto 0);
s_axi_lite_rvalid : out std_logic;
s_axi_lite_rready : in std_logic;
s_axi_lite_rdata : out std_logic_vector(31 downto 0);
s_axi_lite_rresp : out std_logic_vector(1 downto 0);
m_axi_sg_awaddr : out std_logic_vector(31 downto 0);
m_axi_sg_awlen : out std_logic_vector(7 downto 0);
m_axi_sg_awsize : out std_logic_vector(2 downto 0);
m_axi_sg_awburst : out std_logic_vector(1 downto 0);
m_axi_sg_awprot : out std_logic_vector(2 downto 0);
m_axi_sg_awcache : out std_logic_vector(3 downto 0);
m_axi_sg_awuser : out std_logic_vector(3 downto 0);
m_axi_sg_awvalid : out std_logic;
m_axi_sg_awready : in std_logic;
m_axi_sg_wdata : out std_logic_vector(31 downto 0);
m_axi_sg_wstrb : out std_logic_vector(3 downto 0);
m_axi_sg_wlast : out std_logic;
m_axi_sg_wvalid : out std_logic;
m_axi_sg_wready : in std_logic;
m_axi_sg_bresp : in std_logic_vector(1 downto 0);
m_axi_sg_bvalid : in std_logic;
m_axi_sg_bready : out std_logic;
m_axi_sg_araddr : out std_logic_vector(31 downto 0);
m_axi_sg_arlen : out std_logic_vector(7 downto 0);
m_axi_sg_arsize : out std_logic_vector(2 downto 0);
m_axi_sg_arburst : out std_logic_vector(1 downto 0);
m_axi_sg_arprot : out std_logic_vector(2 downto 0);
m_axi_sg_arcache : out std_logic_vector(3 downto 0);
m_axi_sg_aruser : out std_logic_vector(3 downto 0);
m_axi_sg_arvalid : out std_logic;
m_axi_sg_arready : in std_logic;
m_axi_sg_rdata : in std_logic_vector(31 downto 0);
m_axi_sg_rresp : in std_logic_vector(1 downto 0);
m_axi_sg_rlast : in std_logic;
m_axi_sg_rvalid : in std_logic;
m_axi_sg_rready : out std_logic;
m_axi_mm2s_araddr : out std_logic_vector(31 downto 0);
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0);
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0);
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0);
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0);
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0);
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0);
m_axi_mm2s_arvalid : out std_logic;
m_axi_mm2s_arready : in std_logic;
m_axi_mm2s_rdata : in std_logic_vector(31 downto 0);
m_axi_mm2s_rresp : in std_logic_vector(1 downto 0);
m_axi_mm2s_rlast : in std_logic;
m_axi_mm2s_rvalid : in std_logic;
m_axi_mm2s_rready : out std_logic;
mm2s_prmry_reset_out_n : out std_logic;
m_axis_mm2s_tdata : out std_logic_vector(31 downto 0);
m_axis_mm2s_tkeep : out std_logic_vector(3 downto 0);
m_axis_mm2s_tvalid : out std_logic;
m_axis_mm2s_tready : in std_logic;
m_axis_mm2s_tlast : out std_logic;
m_axis_mm2s_tuser : out std_logic_vector(3 downto 0);
m_axis_mm2s_tid : out std_logic_vector(4 downto 0);
m_axis_mm2s_tdest : out std_logic_vector(4 downto 0);
mm2s_cntrl_reset_out_n : out std_logic;
m_axis_mm2s_cntrl_tdata : out std_logic_vector(31 downto 0);
m_axis_mm2s_cntrl_tkeep : out std_logic_vector(3 downto 0);
m_axis_mm2s_cntrl_tvalid : out std_logic;
m_axis_mm2s_cntrl_tready : in std_logic;
m_axis_mm2s_cntrl_tlast : out std_logic;
m_axi_s2mm_awaddr : out std_logic_vector(31 downto 0);
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0);
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0);
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0);
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0);
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0);
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0);
m_axi_s2mm_awvalid : out std_logic;
m_axi_s2mm_awready : in std_logic;
m_axi_s2mm_wdata : out std_logic_vector(31 downto 0);
m_axi_s2mm_wstrb : out std_logic_vector(3 downto 0);
m_axi_s2mm_wlast : out std_logic;
m_axi_s2mm_wvalid : out std_logic;
m_axi_s2mm_wready : in std_logic;
m_axi_s2mm_bresp : in std_logic_vector(1 downto 0);
m_axi_s2mm_bvalid : in std_logic;
m_axi_s2mm_bready : out std_logic;
s2mm_prmry_reset_out_n : out std_logic;
s_axis_s2mm_tdata : in std_logic_vector(31 downto 0);
s_axis_s2mm_tkeep : in std_logic_vector(3 downto 0);
s_axis_s2mm_tvalid : in std_logic;
s_axis_s2mm_tready : out std_logic;
s_axis_s2mm_tlast : in std_logic;
s_axis_s2mm_tuser : in std_logic_vector(3 downto 0);
s_axis_s2mm_tid : in std_logic_vector(4 downto 0);
s_axis_s2mm_tdest : in std_logic_vector(4 downto 0);
s2mm_sts_reset_out_n : out std_logic;
s_axis_s2mm_sts_tdata : in std_logic_vector(31 downto 0);
s_axis_s2mm_sts_tkeep : in std_logic_vector(3 downto 0);
s_axis_s2mm_sts_tvalid : in std_logic;
s_axis_s2mm_sts_tready : out std_logic;
s_axis_s2mm_sts_tlast : in std_logic;
mm2s_introut : out std_logic;
s2mm_introut : out std_logic;
axi_dma_tstvec : out std_logic_vector(31 downto 0)
);
attribute x_core_info : STRING;
attribute x_core_info of system_axi_dma_0_wrapper : entity is "axi_dma_v6_03_a";
end system_axi_dma_0_wrapper;
architecture STRUCTURE of system_axi_dma_0_wrapper is
component axi_dma is
generic (
C_S_AXI_LITE_ADDR_WIDTH : INTEGER;
C_S_AXI_LITE_DATA_WIDTH : INTEGER;
C_DLYTMR_RESOLUTION : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_INCLUDE_SG : INTEGER;
C_ENABLE_MULTI_CHANNEL : INTEGER;
C_SG_INCLUDE_DESC_QUEUE : INTEGER;
C_SG_INCLUDE_STSCNTRL_STRM : INTEGER;
C_SG_USE_STSAPP_LENGTH : INTEGER;
C_SG_LENGTH_WIDTH : INTEGER;
C_M_AXI_SG_ADDR_WIDTH : INTEGER;
C_M_AXI_SG_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_STS_TDATA_WIDTH : INTEGER;
C_INCLUDE_MM2S : INTEGER;
C_INCLUDE_MM2S_SF : INTEGER;
C_INCLUDE_MM2S_DRE : INTEGER;
C_MM2S_BURST_SIZE : INTEGER;
C_M_AXI_MM2S_ADDR_WIDTH : INTEGER;
C_M_AXI_MM2S_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER;
C_INCLUDE_S2MM : INTEGER;
C_INCLUDE_S2MM_SF : INTEGER;
C_INCLUDE_S2MM_DRE : INTEGER;
C_S2MM_BURST_SIZE : INTEGER;
C_M_AXI_S2MM_ADDR_WIDTH : INTEGER;
C_M_AXI_S2MM_DATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER;
C_NUM_S2MM_CHANNELS : INTEGER;
C_NUM_MM2S_CHANNELS : INTEGER;
C_FAMILY : STRING;
C_INSTANCE : STRING
);
port (
s_axi_lite_aclk : in std_logic;
m_axi_sg_aclk : in std_logic;
m_axi_mm2s_aclk : in std_logic;
m_axi_s2mm_aclk : in std_logic;
axi_resetn : in std_logic;
s_axi_lite_awvalid : in std_logic;
s_axi_lite_awready : out std_logic;
s_axi_lite_awaddr : in std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);
s_axi_lite_wvalid : in std_logic;
s_axi_lite_wready : out std_logic;
s_axi_lite_wdata : in std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0);
s_axi_lite_bresp : out std_logic_vector(1 downto 0);
s_axi_lite_bvalid : out std_logic;
s_axi_lite_bready : in std_logic;
s_axi_lite_arvalid : in std_logic;
s_axi_lite_arready : out std_logic;
s_axi_lite_araddr : in std_logic_vector(C_S_AXI_LITE_ADDR_WIDTH-1 downto 0);
s_axi_lite_rvalid : out std_logic;
s_axi_lite_rready : in std_logic;
s_axi_lite_rdata : out std_logic_vector(C_S_AXI_LITE_DATA_WIDTH-1 downto 0);
s_axi_lite_rresp : out std_logic_vector(1 downto 0);
m_axi_sg_awaddr : out std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0);
m_axi_sg_awlen : out std_logic_vector(7 downto 0);
m_axi_sg_awsize : out std_logic_vector(2 downto 0);
m_axi_sg_awburst : out std_logic_vector(1 downto 0);
m_axi_sg_awprot : out std_logic_vector(2 downto 0);
m_axi_sg_awcache : out std_logic_vector(3 downto 0);
m_axi_sg_awuser : out std_logic_vector(3 downto 0);
m_axi_sg_awvalid : out std_logic;
m_axi_sg_awready : in std_logic;
m_axi_sg_wdata : out std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0);
m_axi_sg_wstrb : out std_logic_vector((C_M_AXI_SG_DATA_WIDTH/8)-1 downto 0);
m_axi_sg_wlast : out std_logic;
m_axi_sg_wvalid : out std_logic;
m_axi_sg_wready : in std_logic;
m_axi_sg_bresp : in std_logic_vector(1 downto 0);
m_axi_sg_bvalid : in std_logic;
m_axi_sg_bready : out std_logic;
m_axi_sg_araddr : out std_logic_vector(C_M_AXI_SG_ADDR_WIDTH-1 downto 0);
m_axi_sg_arlen : out std_logic_vector(7 downto 0);
m_axi_sg_arsize : out std_logic_vector(2 downto 0);
m_axi_sg_arburst : out std_logic_vector(1 downto 0);
m_axi_sg_arprot : out std_logic_vector(2 downto 0);
m_axi_sg_arcache : out std_logic_vector(3 downto 0);
m_axi_sg_aruser : out std_logic_vector(3 downto 0);
m_axi_sg_arvalid : out std_logic;
m_axi_sg_arready : in std_logic;
m_axi_sg_rdata : in std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0);
m_axi_sg_rresp : in std_logic_vector(1 downto 0);
m_axi_sg_rlast : in std_logic;
m_axi_sg_rvalid : in std_logic;
m_axi_sg_rready : out std_logic;
m_axi_mm2s_araddr : out std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0);
m_axi_mm2s_arlen : out std_logic_vector(7 downto 0);
m_axi_mm2s_arsize : out std_logic_vector(2 downto 0);
m_axi_mm2s_arburst : out std_logic_vector(1 downto 0);
m_axi_mm2s_arprot : out std_logic_vector(2 downto 0);
m_axi_mm2s_arcache : out std_logic_vector(3 downto 0);
m_axi_mm2s_aruser : out std_logic_vector(3 downto 0);
m_axi_mm2s_arvalid : out std_logic;
m_axi_mm2s_arready : in std_logic;
m_axi_mm2s_rdata : in std_logic_vector(C_M_AXI_MM2S_DATA_WIDTH-1 downto 0);
m_axi_mm2s_rresp : in std_logic_vector(1 downto 0);
m_axi_mm2s_rlast : in std_logic;
m_axi_mm2s_rvalid : in std_logic;
m_axi_mm2s_rready : out std_logic;
mm2s_prmry_reset_out_n : out std_logic;
m_axis_mm2s_tdata : out std_logic_vector(C_M_AXIS_MM2S_TDATA_WIDTH-1 downto 0);
m_axis_mm2s_tkeep : out std_logic_vector((C_M_AXIS_MM2S_TDATA_WIDTH/8)-1 downto 0);
m_axis_mm2s_tvalid : out std_logic;
m_axis_mm2s_tready : in std_logic;
m_axis_mm2s_tlast : out std_logic;
m_axis_mm2s_tuser : out std_logic_vector(3 downto 0);
m_axis_mm2s_tid : out std_logic_vector(4 downto 0);
m_axis_mm2s_tdest : out std_logic_vector(4 downto 0);
mm2s_cntrl_reset_out_n : out std_logic;
m_axis_mm2s_cntrl_tdata : out std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0);
m_axis_mm2s_cntrl_tkeep : out std_logic_vector((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0);
m_axis_mm2s_cntrl_tvalid : out std_logic;
m_axis_mm2s_cntrl_tready : in std_logic;
m_axis_mm2s_cntrl_tlast : out std_logic;
m_axi_s2mm_awaddr : out std_logic_vector(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0);
m_axi_s2mm_awlen : out std_logic_vector(7 downto 0);
m_axi_s2mm_awsize : out std_logic_vector(2 downto 0);
m_axi_s2mm_awburst : out std_logic_vector(1 downto 0);
m_axi_s2mm_awprot : out std_logic_vector(2 downto 0);
m_axi_s2mm_awcache : out std_logic_vector(3 downto 0);
m_axi_s2mm_awuser : out std_logic_vector(3 downto 0);
m_axi_s2mm_awvalid : out std_logic;
m_axi_s2mm_awready : in std_logic;
m_axi_s2mm_wdata : out std_logic_vector(C_M_AXI_S2MM_DATA_WIDTH-1 downto 0);
m_axi_s2mm_wstrb : out std_logic_vector((C_M_AXI_S2MM_DATA_WIDTH/8)-1 downto 0);
m_axi_s2mm_wlast : out std_logic;
m_axi_s2mm_wvalid : out std_logic;
m_axi_s2mm_wready : in std_logic;
m_axi_s2mm_bresp : in std_logic_vector(1 downto 0);
m_axi_s2mm_bvalid : in std_logic;
m_axi_s2mm_bready : out std_logic;
s2mm_prmry_reset_out_n : out std_logic;
s_axis_s2mm_tdata : in std_logic_vector(C_S_AXIS_S2MM_TDATA_WIDTH-1 downto 0);
s_axis_s2mm_tkeep : in std_logic_vector((C_S_AXIS_S2MM_TDATA_WIDTH/8)-1 downto 0);
s_axis_s2mm_tvalid : in std_logic;
s_axis_s2mm_tready : out std_logic;
s_axis_s2mm_tlast : in std_logic;
s_axis_s2mm_tuser : in std_logic_vector(3 downto 0);
s_axis_s2mm_tid : in std_logic_vector(4 downto 0);
s_axis_s2mm_tdest : in std_logic_vector(4 downto 0);
s2mm_sts_reset_out_n : out std_logic;
s_axis_s2mm_sts_tdata : in std_logic_vector(C_S_AXIS_S2MM_STS_TDATA_WIDTH-1 downto 0);
s_axis_s2mm_sts_tkeep : in std_logic_vector((C_S_AXIS_S2MM_STS_TDATA_WIDTH/8)-1 downto 0);
s_axis_s2mm_sts_tvalid : in std_logic;
s_axis_s2mm_sts_tready : out std_logic;
s_axis_s2mm_sts_tlast : in std_logic;
mm2s_introut : out std_logic;
s2mm_introut : out std_logic;
axi_dma_tstvec : out std_logic_vector(31 downto 0)
);
end component;
begin
axi_dma_0 : axi_dma
generic map (
C_S_AXI_LITE_ADDR_WIDTH => 10,
C_S_AXI_LITE_DATA_WIDTH => 32,
C_DLYTMR_RESOLUTION => 125,
C_PRMRY_IS_ACLK_ASYNC => 0,
C_INCLUDE_SG => 1,
C_ENABLE_MULTI_CHANNEL => 0,
C_SG_INCLUDE_DESC_QUEUE => 1,
C_SG_INCLUDE_STSCNTRL_STRM => 0,
C_SG_USE_STSAPP_LENGTH => 1,
C_SG_LENGTH_WIDTH => 23,
C_M_AXI_SG_ADDR_WIDTH => 32,
C_M_AXI_SG_DATA_WIDTH => 32,
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => 32,
C_S_AXIS_S2MM_STS_TDATA_WIDTH => 32,
C_INCLUDE_MM2S => 1,
C_INCLUDE_MM2S_SF => 1,
C_INCLUDE_MM2S_DRE => 1,
C_MM2S_BURST_SIZE => 16,
C_M_AXI_MM2S_ADDR_WIDTH => 32,
C_M_AXI_MM2S_DATA_WIDTH => 32,
C_M_AXIS_MM2S_TDATA_WIDTH => 32,
C_INCLUDE_S2MM => 1,
C_INCLUDE_S2MM_SF => 1,
C_INCLUDE_S2MM_DRE => 1,
C_S2MM_BURST_SIZE => 16,
C_M_AXI_S2MM_ADDR_WIDTH => 32,
C_M_AXI_S2MM_DATA_WIDTH => 32,
C_S_AXIS_S2MM_TDATA_WIDTH => 32,
C_NUM_S2MM_CHANNELS => 1,
C_NUM_MM2S_CHANNELS => 1,
C_FAMILY => "zynq",
C_INSTANCE => "axi_dma_0"
)
port map (
s_axi_lite_aclk => s_axi_lite_aclk,
m_axi_sg_aclk => m_axi_sg_aclk,
m_axi_mm2s_aclk => m_axi_mm2s_aclk,
m_axi_s2mm_aclk => m_axi_s2mm_aclk,
axi_resetn => axi_resetn,
s_axi_lite_awvalid => s_axi_lite_awvalid,
s_axi_lite_awready => s_axi_lite_awready,
s_axi_lite_awaddr => s_axi_lite_awaddr,
s_axi_lite_wvalid => s_axi_lite_wvalid,
s_axi_lite_wready => s_axi_lite_wready,
s_axi_lite_wdata => s_axi_lite_wdata,
s_axi_lite_bresp => s_axi_lite_bresp,
s_axi_lite_bvalid => s_axi_lite_bvalid,
s_axi_lite_bready => s_axi_lite_bready,
s_axi_lite_arvalid => s_axi_lite_arvalid,
s_axi_lite_arready => s_axi_lite_arready,
s_axi_lite_araddr => s_axi_lite_araddr,
s_axi_lite_rvalid => s_axi_lite_rvalid,
s_axi_lite_rready => s_axi_lite_rready,
s_axi_lite_rdata => s_axi_lite_rdata,
s_axi_lite_rresp => s_axi_lite_rresp,
m_axi_sg_awaddr => m_axi_sg_awaddr,
m_axi_sg_awlen => m_axi_sg_awlen,
m_axi_sg_awsize => m_axi_sg_awsize,
m_axi_sg_awburst => m_axi_sg_awburst,
m_axi_sg_awprot => m_axi_sg_awprot,
m_axi_sg_awcache => m_axi_sg_awcache,
m_axi_sg_awuser => m_axi_sg_awuser,
m_axi_sg_awvalid => m_axi_sg_awvalid,
m_axi_sg_awready => m_axi_sg_awready,
m_axi_sg_wdata => m_axi_sg_wdata,
m_axi_sg_wstrb => m_axi_sg_wstrb,
m_axi_sg_wlast => m_axi_sg_wlast,
m_axi_sg_wvalid => m_axi_sg_wvalid,
m_axi_sg_wready => m_axi_sg_wready,
m_axi_sg_bresp => m_axi_sg_bresp,
m_axi_sg_bvalid => m_axi_sg_bvalid,
m_axi_sg_bready => m_axi_sg_bready,
m_axi_sg_araddr => m_axi_sg_araddr,
m_axi_sg_arlen => m_axi_sg_arlen,
m_axi_sg_arsize => m_axi_sg_arsize,
m_axi_sg_arburst => m_axi_sg_arburst,
m_axi_sg_arprot => m_axi_sg_arprot,
m_axi_sg_arcache => m_axi_sg_arcache,
m_axi_sg_aruser => m_axi_sg_aruser,
m_axi_sg_arvalid => m_axi_sg_arvalid,
m_axi_sg_arready => m_axi_sg_arready,
m_axi_sg_rdata => m_axi_sg_rdata,
m_axi_sg_rresp => m_axi_sg_rresp,
m_axi_sg_rlast => m_axi_sg_rlast,
m_axi_sg_rvalid => m_axi_sg_rvalid,
m_axi_sg_rready => m_axi_sg_rready,
m_axi_mm2s_araddr => m_axi_mm2s_araddr,
m_axi_mm2s_arlen => m_axi_mm2s_arlen,
m_axi_mm2s_arsize => m_axi_mm2s_arsize,
m_axi_mm2s_arburst => m_axi_mm2s_arburst,
m_axi_mm2s_arprot => m_axi_mm2s_arprot,
m_axi_mm2s_arcache => m_axi_mm2s_arcache,
m_axi_mm2s_aruser => m_axi_mm2s_aruser,
m_axi_mm2s_arvalid => m_axi_mm2s_arvalid,
m_axi_mm2s_arready => m_axi_mm2s_arready,
m_axi_mm2s_rdata => m_axi_mm2s_rdata,
m_axi_mm2s_rresp => m_axi_mm2s_rresp,
m_axi_mm2s_rlast => m_axi_mm2s_rlast,
m_axi_mm2s_rvalid => m_axi_mm2s_rvalid,
m_axi_mm2s_rready => m_axi_mm2s_rready,
mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n,
m_axis_mm2s_tdata => m_axis_mm2s_tdata,
m_axis_mm2s_tkeep => m_axis_mm2s_tkeep,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid,
m_axis_mm2s_tready => m_axis_mm2s_tready,
m_axis_mm2s_tlast => m_axis_mm2s_tlast,
m_axis_mm2s_tuser => m_axis_mm2s_tuser,
m_axis_mm2s_tid => m_axis_mm2s_tid,
m_axis_mm2s_tdest => m_axis_mm2s_tdest,
mm2s_cntrl_reset_out_n => mm2s_cntrl_reset_out_n,
m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata,
m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep,
m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid,
m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready,
m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast,
m_axi_s2mm_awaddr => m_axi_s2mm_awaddr,
m_axi_s2mm_awlen => m_axi_s2mm_awlen,
m_axi_s2mm_awsize => m_axi_s2mm_awsize,
m_axi_s2mm_awburst => m_axi_s2mm_awburst,
m_axi_s2mm_awprot => m_axi_s2mm_awprot,
m_axi_s2mm_awcache => m_axi_s2mm_awcache,
m_axi_s2mm_awuser => m_axi_s2mm_awuser,
m_axi_s2mm_awvalid => m_axi_s2mm_awvalid,
m_axi_s2mm_awready => m_axi_s2mm_awready,
m_axi_s2mm_wdata => m_axi_s2mm_wdata,
m_axi_s2mm_wstrb => m_axi_s2mm_wstrb,
m_axi_s2mm_wlast => m_axi_s2mm_wlast,
m_axi_s2mm_wvalid => m_axi_s2mm_wvalid,
m_axi_s2mm_wready => m_axi_s2mm_wready,
m_axi_s2mm_bresp => m_axi_s2mm_bresp,
m_axi_s2mm_bvalid => m_axi_s2mm_bvalid,
m_axi_s2mm_bready => m_axi_s2mm_bready,
s2mm_prmry_reset_out_n => s2mm_prmry_reset_out_n,
s_axis_s2mm_tdata => s_axis_s2mm_tdata,
s_axis_s2mm_tkeep => s_axis_s2mm_tkeep,
s_axis_s2mm_tvalid => s_axis_s2mm_tvalid,
s_axis_s2mm_tready => s_axis_s2mm_tready,
s_axis_s2mm_tlast => s_axis_s2mm_tlast,
s_axis_s2mm_tuser => s_axis_s2mm_tuser,
s_axis_s2mm_tid => s_axis_s2mm_tid,
s_axis_s2mm_tdest => s_axis_s2mm_tdest,
s2mm_sts_reset_out_n => s2mm_sts_reset_out_n,
s_axis_s2mm_sts_tdata => s_axis_s2mm_sts_tdata,
s_axis_s2mm_sts_tkeep => s_axis_s2mm_sts_tkeep,
s_axis_s2mm_sts_tvalid => s_axis_s2mm_sts_tvalid,
s_axis_s2mm_sts_tready => s_axis_s2mm_sts_tready,
s_axis_s2mm_sts_tlast => s_axis_s2mm_sts_tlast,
mm2s_introut => mm2s_introut,
s2mm_introut => s2mm_introut,
axi_dma_tstvec => axi_dma_tstvec
);
end architecture STRUCTURE;
|
--
-- \file rank_filter3x3.vhd
--
-- Configurable 3x3 rank filter
--
-- \author Andreas Agne <[email protected]>
-- \date 21.11.2007
--
-----------------------------------------------------------------------------
-- %%%RECONOS_COPYRIGHT_BEGIN%%%
--
-- This file is part of ReconOS (http://www.reconos.de).
-- Copyright (c) 2006-2010 The ReconOS Project and contributors (see AUTHORS).
-- All rights reserved.
--
-- ReconOS is free software: you can redistribute it and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 3 of the License, or (at your option)
-- any later version.
--
-- ReconOS is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
-- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
-- details.
--
-- You should have received a copy of the GNU General Public License along
-- with ReconOS. If not, see <http://www.gnu.org/licenses/>.
--
-- %%%RECONOS_COPYRIGHT_END%%%
-----------------------------------------------------------------------------
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity rank_filter3x3 is
Port (
shift_in : in STD_LOGIC_VECTOR (23 downto 0);
shift_out : out STD_LOGIC_VECTOR (7 downto 0);
clk : in STD_LOGIC;
ien : in std_logic;
rst : in STD_LOGIC;
i : in STD_LOGIC_VECTOR (3 downto 0)
);
end entity;
architecture Behavioral of rank_filter3x3 is
signal row_a : std_logic_vector(23 downto 0);
signal row_b : std_logic_vector(23 downto 0);
signal row_c : std_logic_vector(23 downto 0);
signal pixels : std_logic_vector(71 downto 0); -- 9 pixels x 8 bit
-- instant sorting
function get_pixel( pixels : std_logic_vector(71 downto 0);
rank : std_logic_vector(3 downto 0)) return std_logic_vector
is
variable s : std_logic_vector(3 downto 0);
variable pixel_j : std_logic_vector(7 downto 0);
variable pixel_k : std_logic_vector(7 downto 0);
begin
for j in 0 to 8 loop -- for each pixel j
s := X"0";
pixel_j := pixels(j*8 + 7 downto j*8);
for k in 0 to 8 loop -- for each pixel k
pixel_k := pixels(k*8 + 7 downto k*8);
if k < j and pixel_k >= pixel_j then
s := s + 1;
elsif k > j and pixel_k > pixel_j then
s := s + 1;
end if;
end loop;
if s = rank then
return pixel_j;
end if;
end loop;
return X"00";
end function;
begin
pixels <= row_a & row_b & row_c;
shift : process(clk, rst)
begin
if rst = '1' then
row_a <= (others => '0');
row_b <= (others => '0');
row_c <= (others => '0');
elsif rising_edge(clk) then
if ien = '1' then
row_a <= shift_in;
row_b <= row_a;
row_c <= row_b;
end if;
shift_out <= get_pixel(pixels, rank);
end if;
end process;
end Behavioral;
|
-- Copyright (C) 1991-2006 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- Quartus II 6.0 Build 178 04/27/2006
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
package stratixii_atom_pack is
function str_to_bin (lut_mask : string ) return std_logic_vector;
function product(list : std_logic_vector) return std_logic ;
function alt_conv_integer(arg : in std_logic_vector) return integer;
-- default generic values
CONSTANT DefWireDelay : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01 : VitalDelayType01 := (1 ns, 1 ns);
CONSTANT DefPropDelay01Z : VitalDelayType01Z := (OTHERS => 1 ns);
CONSTANT DefSetupHoldCnst : TIME := 0 ns;
CONSTANT DefPulseWdthCnst : TIME := 0 ns;
-- default control options
-- CONSTANT DefGlitchMode : VitalGlitchKindType := OnEvent;
-- change default delay type to Transport : for spr 68748
CONSTANT DefGlitchMode : VitalGlitchKindType := VitalTransport;
CONSTANT DefGlitchMsgOn : BOOLEAN := FALSE;
CONSTANT DefGlitchXOn : BOOLEAN := FALSE;
CONSTANT DefMsgOnChecks : BOOLEAN := TRUE;
CONSTANT DefXOnChecks : BOOLEAN := TRUE;
-- output strength mapping
-- UX01ZWHL-
CONSTANT PullUp : VitalOutputMapType := "UX01HX01X";
CONSTANT NoPullUpZ : VitalOutputMapType := "UX01ZX01X";
CONSTANT PullDown : VitalOutputMapType := "UX01LX01X";
-- primitive result strength mapping
CONSTANT wiredOR : VitalResultMapType := ( 'U', 'X', 'L', '1' );
CONSTANT wiredAND : VitalResultMapType := ( 'U', 'X', '0', 'H' );
CONSTANT L : VitalTableSymbolType := '0';
CONSTANT H : VitalTableSymbolType := '1';
CONSTANT x : VitalTableSymbolType := '-';
CONSTANT S : VitalTableSymbolType := 'S';
CONSTANT R : VitalTableSymbolType := '/';
CONSTANT U : VitalTableSymbolType := 'X';
CONSTANT V : VitalTableSymbolType := 'B'; -- valid clock signal (non-rising)
-- Declare array types for CAM_SLICE
TYPE stratixii_mem_data IS ARRAY (0 to 31) of STD_LOGIC_VECTOR (31 downto 0);
function int2str( value : integer ) return string;
function map_x_to_0 (value : std_logic) return std_logic;
function SelectDelay (CONSTANT Paths: IN VitalPathArray01Type) return TIME;
end stratixii_atom_pack;
library IEEE;
use IEEE.std_logic_1164.all;
package body stratixii_atom_pack is
type masklength is array (4 downto 1) of std_logic_vector(3 downto 0);
function str_to_bin (lut_mask : string) return std_logic_vector is
variable slice : masklength := (OTHERS => "0000");
variable mask : std_logic_vector(15 downto 0);
begin
for i in 1 to lut_mask'length loop
case lut_mask(i) is
when '0' => slice(i) := "0000";
when '1' => slice(i) := "0001";
when '2' => slice(i) := "0010";
when '3' => slice(i) := "0011";
when '4' => slice(i) := "0100";
when '5' => slice(i) := "0101";
when '6' => slice(i) := "0110";
when '7' => slice(i) := "0111";
when '8' => slice(i) := "1000";
when '9' => slice(i) := "1001";
when 'a' => slice(i) := "1010";
when 'A' => slice(i) := "1010";
when 'b' => slice(i) := "1011";
when 'B' => slice(i) := "1011";
when 'c' => slice(i) := "1100";
when 'C' => slice(i) := "1100";
when 'd' => slice(i) := "1101";
when 'D' => slice(i) := "1101";
when 'e' => slice(i) := "1110";
when 'E' => slice(i) := "1110";
when others => slice(i) := "1111";
end case;
end loop;
mask := (slice(1) & slice(2) & slice(3) & slice(4));
return (mask);
end str_to_bin;
function product (list: std_logic_vector) return std_logic is
begin
for i in 0 to 31 loop
if list(i) = '0' then
return ('0');
end if;
end loop;
return ('1');
end product;
function alt_conv_integer(arg : in std_logic_vector) return integer is
variable result : integer;
begin
result := 0;
for i in arg'range loop
if arg(i) = '1' then
result := result + 2**i;
end if;
end loop;
return result;
end alt_conv_integer;
function int2str( value : integer ) return string is
variable ivalue,index : integer;
variable digit : integer;
variable line_no: string(8 downto 1) := " ";
begin
ivalue := value;
index := 1;
if (ivalue = 0) then
line_no := " 0";
end if;
while (ivalue > 0) loop
digit := ivalue MOD 10;
ivalue := ivalue/10;
case digit is
when 0 =>
line_no(index) := '0';
when 1 =>
line_no(index) := '1';
when 2 =>
line_no(index) := '2';
when 3 =>
line_no(index) := '3';
when 4 =>
line_no(index) := '4';
when 5 =>
line_no(index) := '5';
when 6 =>
line_no(index) := '6';
when 7 =>
line_no(index) := '7';
when 8 =>
line_no(index) := '8';
when 9 =>
line_no(index) := '9';
when others =>
ASSERT FALSE
REPORT "Illegal number!"
SEVERITY ERROR;
end case;
index := index + 1;
end loop;
return line_no;
end;
function map_x_to_0 (value : std_logic) return std_logic is
begin
if (Is_X (value) = TRUE) then
return '0';
else
return value;
end if;
end;
function SelectDelay (CONSTANT Paths : IN VitalPathArray01Type) return TIME IS
variable Temp : TIME;
variable TransitionTime : TIME := TIME'HIGH;
variable PathDelay : TIME := TIME'HIGH;
begin
for i IN Paths'RANGE loop
next when not Paths(i).PathCondition;
next when Paths(i).InputChangeTime > TransitionTime;
Temp := Paths(i).PathDelay(tr01);
if Paths(i).InputChangeTime < TransitionTime then
PathDelay := Temp;
else
if Temp < PathDelay then
PathDelay := Temp;
end if;
end if;
TransitionTime := Paths(i).InputChangeTime;
end loop;
return PathDelay;
end;
end stratixii_atom_pack;
Library ieee;
use ieee.std_logic_1164.all;
Package stratixii_pllpack is
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer);
function gcd (X: integer; Y: integer) return integer;
function count_digit (X: integer) return integer;
function scale_num (X: integer; Y: integer) return integer;
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer) return integer;
function output_counter_value (clk_divide: integer; clk_mult : integer ;
M: integer; N: integer ) return integer;
function counter_mode (duty_cycle: integer; output_counter_value: integer) return string;
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer;
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer;
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function counter_time_delay ( clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer;
function get_phase_degree (phase_shift: integer; clk_period: integer) return integer;
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer;
function counter_ph (tap_phase: integer; m : integer; n: integer) return integer;
function ph_adjust (tap_phase: integer; ph_base : integer) return integer;
function translate_string (mode : string) return string;
function str2int (s : string) return integer;
function dqs_str2int (s : string) return integer;
end stratixii_pllpack;
package body stratixii_pllpack is
-- finds the closest integer fraction of a given pair of numerator and denominator.
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer) is
constant MAX_ITER : integer := 20;
type INT_ARRAY is array ((MAX_ITER-1) downto 0) of integer;
variable quotient_array : INT_ARRAY;
variable int_loop_iter : integer;
variable int_quot : integer;
variable m_value : integer;
variable d_value : integer;
variable old_m_value : integer;
variable swap : integer;
variable loop_iter : integer;
variable num : integer;
variable den : integer;
variable i_max_iter : integer;
begin
loop_iter := 0;
num := numerator;
den := denominator;
i_max_iter := max_iter;
while (loop_iter < i_max_iter) loop
int_quot := num / den;
quotient_array(loop_iter) := int_quot;
num := num - (den*int_quot);
loop_iter := loop_iter+1;
if ((num = 0) or (max_denom /= -1) or (loop_iter = i_max_iter)) then
-- calculate the numerator and denominator if there is a restriction on the
-- max denom value or if the loop is ending
m_value := 0;
d_value := 1;
-- get the rounded value at this stage for the remaining fraction
if (den /= 0) then
m_value := (2*num/den);
end if;
-- calculate the fraction numerator and denominator at this stage
for int_loop_iter in (loop_iter-1) downto 0 loop
if (m_value = 0) then
m_value := quotient_array(int_loop_iter);
d_value := 1;
else
old_m_value := m_value;
m_value := (quotient_array(int_loop_iter)*m_value) + d_value;
d_value := old_m_value;
end if;
end loop;
-- if the denominator is less than the maximum denom_value or if there is no restriction save it
if ((d_value <= max_denom) or (max_denom = -1)) then
if ((m_value = 0) or (d_value = 0)) then
fraction_num := numerator;
fraction_div := denominator;
else
fraction_num := m_value;
fraction_div := d_value;
end if;
end if;
-- end the loop if the denomitor has overflown or the numerator is zero (no remainder during this round)
if (((d_value > max_denom) and (max_denom /= -1)) or (num = 0)) then
i_max_iter := loop_iter;
end if;
end if;
-- swap the numerator and denominator for the next round
swap := den;
den := num;
num := swap;
end loop;
end find_simple_integer_fraction;
-- find the greatest common denominator of X and Y
function gcd (X: integer; Y: integer) return integer is
variable L, S, R, G : integer := 1;
begin
if (X < Y) then -- find which is smaller.
S := X;
L := Y;
else
S := Y;
L := X;
end if;
R := S;
while ( R > 1) loop
S := L;
L := R;
R := S rem L; -- divide bigger number by smaller.
-- remainder becomes smaller number.
end loop;
if (R = 0) then -- if evenly divisible then L is gcd else it is 1.
G := L;
else
G := R;
end if;
return G;
end gcd;
-- count the number of digits in the given integer
function count_digit (X: integer)
return integer is
variable count, result: integer := 0;
begin
result := X;
while (result /= 0) loop
result := (result / 10);
count := count + 1;
end loop;
return count;
end count_digit;
-- reduce the given huge number to Y significant digits
function scale_num (X: integer; Y: integer)
return integer is
variable count : integer := 0;
variable lc, fac_ten, result: integer := 1;
begin
count := count_digit(X);
for lc in 1 to (count-Y) loop
fac_ten := fac_ten * 10;
end loop;
result := (X / fac_ten);
return result;
end scale_num;
-- find the least common multiple of A1 to A10
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer)
return integer is
variable M1, M2, M3, M4, M5 , M6, M7, M8, M9, R: integer := 1;
begin
M1 := (A1 * A2)/gcd(A1, A2);
M2 := (M1 * A3)/gcd(M1, A3);
M3 := (M2 * A4)/gcd(M2, A4);
M4 := (M3 * A5)/gcd(M3, A5);
M5 := (M4 * A6)/gcd(M4, A6);
M6 := (M5 * A7)/gcd(M5, A7);
M7 := (M6 * A8)/gcd(M6, A8);
M8 := (M7 * A9)/gcd(M7, A9);
M9 := (M8 * A10)/gcd(M8, A10);
if (M9 < 3) then
R := 10;
elsif ((M9 <= 10) and (M9 >= 3)) then
R := 4 * M9;
elsif (M9 > 1000) then
R := scale_num(M9,3);
else
R := M9 ;
end if;
return R;
end lcm;
-- find the factor of division of the output clock frequency compared to the VCO
function output_counter_value (clk_divide: integer; clk_mult: integer ;
M: integer; N: integer ) return integer is
variable R: integer := 1;
begin
R := (clk_divide * M)/(clk_mult * N);
return R;
end output_counter_value;
-- find the mode of each PLL counter - bypass, even or odd
function counter_mode (duty_cycle: integer; output_counter_value: integer)
return string is
variable R: string (1 to 6) := " ";
variable counter_value: integer := 1;
begin
counter_value := (2*duty_cycle*output_counter_value)/100;
if output_counter_value = 1 then
R := "bypass";
elsif (counter_value REM 2) = 0 then
R := " even";
else
R := " odd";
end if;
return R;
end counter_mode;
-- find the number of VCO clock cycles to hold the output clock high
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer is
variable R: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value *2)/100 ;
if (half_cycle_high REM 2 = 0) then
R := half_cycle_high/2 ;
else
R := (half_cycle_high/2) + 1;
end if;
return R;
end;
-- find the number of VCO clock cycles to hold the output clock low
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer is
variable R, R1: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value*2)/100 ;
if (half_cycle_high REM 2 = 0) then
R1 := half_cycle_high/2 ;
else
R1 := (half_cycle_high/2) + 1;
end if;
R := output_counter_value - R1;
return R;
end;
-- find the smallest time delay amongst t1 to t10
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 > 0) then return m9; else return 0; end if;
end;
-- find the numerically largest negative number, and return its absolute value
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 < 0) then return (0 - m9); else return 0; end if;
end;
-- adjust the phase (tap_phase) with the largest negative number (ph_base)
function ph_adjust (tap_phase: integer; ph_base : integer) return integer is
begin
return (tap_phase + ph_base);
end;
-- find the time delay for each PLL counter
function counter_time_delay (clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer is
variable R: integer := 0;
begin
R := clk_time_delay + m_time_delay - n_time_delay;
return R;
end;
-- calculate the given phase shift (in ps) in terms of degrees
function get_phase_degree (phase_shift: integer; clk_period: integer)
return integer is
variable result: integer := 0;
begin
result := ( phase_shift * 360 ) / clk_period;
-- to round up the calculation result
if (result > 0) then
result := result + 1;
elsif (result < 0) then
result := result - 1;
else
result := 0;
end if;
return result;
end;
-- find the number of VCO clock cycles to wait initially before the first rising
-- edge of the output clock
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer is
variable R: integer;
variable R1: real;
begin
R1 := (real(abs(tap_phase)) * real(m))/(360.0 * real(n)) + 0.5;
-- Note NCSim VHDL had problem in rounding up for 0.5 - 0.99.
-- This checking will ensure that the rounding up is done.
if (R1 >= 0.5) and (R1 <= 1.0) then
R1 := 1.0;
end if;
R := integer(R1);
return R;
end;
-- find which VCO phase tap (0 to 7) to align the rising edge of the output clock to
function counter_ph (tap_phase: integer; m: integer; n: integer) return integer is
variable R: integer := 0;
begin
-- 0.5 is added for proper rounding of the tap_phase.
R := (integer(real(tap_phase * m / n)+ 0.5) REM 360)/45;
return R;
end;
-- convert given string to length 6 by padding with spaces
function translate_string (mode : string) return string is
variable new_mode : string (1 to 6) := " ";
begin
if (mode = "bypass") then
new_mode := "bypass";
elsif (mode = "even") then
new_mode := " even";
elsif (mode = "odd") then
new_mode := " odd";
end if;
return new_mode;
end;
function str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "i n string parameter! "
SEVERITY ERROR;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
newdigit := newdigit * 10 + digit;
end loop;
return (sign*newdigit);
end;
function dqs_str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
variable err : boolean := false;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & " in string parameter! "
SEVERITY ERROR;
err := true;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
-- set error flag
err := true;
end case;
if (err) then
err := false;
else
newdigit := newdigit * 10 + digit;
end if;
end loop;
return (sign*newdigit);
end;
end stratixii_pllpack;
--
--
-- DFFE Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_dffe is
generic(
TimingChecksOn: Boolean := True;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
port(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC;
CLRN : in STD_LOGIC;
PRN : in STD_LOGIC;
CLK : in STD_LOGIC;
ENA : in STD_LOGIC);
attribute VITAL_LEVEL0 of stratixii_dffe : entity is TRUE;
end stratixii_dffe;
-- architecture body --
architecture behave of stratixii_dffe is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal D_ipd : STD_ULOGIC := 'U';
signal CLRN_ipd : STD_ULOGIC := 'U';
signal PRN_ipd : STD_ULOGIC := 'U';
signal CLK_ipd : STD_ULOGIC := 'U';
signal ENA_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (D_ipd, D, tipd_D);
VitalWireDelay (CLRN_ipd, CLRN, tipd_CLRN);
VitalWireDelay (PRN_ipd, PRN, tipd_PRN);
VitalWireDelay (CLK_ipd, CLK, tipd_CLK);
VitalWireDelay (ENA_ipd, ENA, tipd_ENA);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (D_ipd, CLRN_ipd, PRN_ipd, CLK_ipd, ENA_ipd)
-- timing check results
VARIABLE Tviol_D_CLK : STD_ULOGIC := '0';
VARIABLE Tviol_ENA_CLK : STD_ULOGIC := '0';
VARIABLE TimingData_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_ENA_CLK : VitalTimingDataType := VitalTimingDataInit;
-- functionality results
VARIABLE Violation : STD_ULOGIC := '0';
VARIABLE PrevData_Q : STD_LOGIC_VECTOR(0 to 7);
VARIABLE D_delayed : STD_ULOGIC := 'U';
VARIABLE CLK_delayed : STD_ULOGIC := 'U';
VARIABLE ENA_delayed : STD_ULOGIC := 'U';
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => '0');
-- output glitch detection variables
VARIABLE Q_VitalGlitchData : VitalGlitchDataType;
CONSTANT dffe_Q_tab : VitalStateTableType := (
( L, L, x, x, x, x, x, x, x, L ),
( L, H, L, H, H, x, x, H, x, H ),
( L, H, L, H, x, L, x, H, x, H ),
( L, H, L, x, H, H, x, H, x, H ),
( L, H, H, x, x, x, H, x, x, S ),
( L, H, x, x, x, x, L, x, x, H ),
( L, H, x, x, x, x, H, L, x, S ),
( L, x, L, L, L, x, H, H, x, L ),
( L, x, L, L, x, L, H, H, x, L ),
( L, x, L, x, L, H, H, H, x, L ),
( L, x, x, x, x, x, x, x, x, S ));
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_D_CLK,
TimingData => TimingData_D_CLK,
TestSignal => D_ipd,
TestSignalName => "D",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_noedge_posedge,
SetupLow => tsetup_D_CLK_noedge_posedge,
HoldHigh => thold_D_CLK_noedge_posedge,
HoldLow => thold_D_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) OR ( (NOT ENA_ipd) )) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ENA_CLK,
TimingData => TimingData_ENA_CLK,
TestSignal => ENA_ipd,
TestSignalName => "ENA",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ENA_CLK_noedge_posedge,
SetupLow => tsetup_ENA_CLK_noedge_posedge,
HoldHigh => thold_ENA_CLK_noedge_posedge,
HoldLow => thold_ENA_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
-------------------------
-- Functionality Section
-------------------------
Violation := Tviol_D_CLK or Tviol_ENA_CLK;
VitalStateTable(
StateTable => dffe_Q_tab,
DataIn => (
Violation, CLRN_ipd, CLK_delayed, Results(1), D_delayed, ENA_delayed, PRN_ipd, CLK_ipd),
Result => Results,
NumStates => 1,
PreviousDataIn => PrevData_Q);
D_delayed := D_ipd;
CLK_delayed := CLK_ipd;
ENA_delayed := ENA_ipd;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Results(1),
Paths => ( 0 => (PRN_ipd'last_event, tpd_PRN_Q_negedge, TRUE),
1 => (CLRN_ipd'last_event, tpd_CLRN_Q_negedge, TRUE),
2 => (CLK_ipd'last_event, tpd_CLK_Q_posedge, TRUE)),
GlitchData => Q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--
--
-- stratixii_mux21 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixii_atom_pack.all;
entity stratixii_mux21 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic);
attribute VITAL_LEVEL0 of stratixii_mux21 : entity is TRUE;
end stratixii_mux21;
architecture AltVITAL of stratixii_mux21 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal A_ipd, B_ipd, S_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (A_ipd, A, tipd_A);
VitalWireDelay (B_ipd, B, tipd_B);
VitalWireDelay (S_ipd, S, tipd_S);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (A_ipd, B_ipd, S_ipd)
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if (S_ipd = '1') then
tmp_MO := B_ipd;
else
tmp_MO := A_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (A_ipd'last_event, tpd_A_MO, TRUE),
1 => (B_ipd'last_event, tpd_B_MO, TRUE),
2 => (S_ipd'last_event, tpd_S_MO, TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- stratixii_mux41 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixii_atom_pack.all;
entity stratixii_mux41 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN0_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN1_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN2_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN3_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_IN0 : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01;
tipd_IN3 : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
IN0 : in std_logic := '0';
IN1 : in std_logic := '0';
IN2 : in std_logic := '0';
IN3 : in std_logic := '0';
S : in std_logic_vector(1 downto 0) := (OTHERS => '0');
MO : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_mux41 : entity is TRUE;
end stratixii_mux41;
architecture AltVITAL of stratixii_mux41 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd : std_logic;
signal S_ipd : std_logic_vector(1 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN0_ipd, IN0, tipd_IN0);
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
VitalWireDelay (IN2_ipd, IN2, tipd_IN2);
VitalWireDelay (IN3_ipd, IN3, tipd_IN3);
VitalWireDelay (S_ipd(0), S(0), tipd_S(0));
VitalWireDelay (S_ipd(1), S(1), tipd_S(1));
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd, S_ipd(0), S_ipd(1))
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if ((S_ipd(1) = '1') AND (S_ipd(0) = '1')) then
tmp_MO := IN3_ipd;
elsif ((S_ipd(1) = '1') AND (S_ipd(0) = '0')) then
tmp_MO := IN2_ipd;
elsif ((S_ipd(1) = '0') AND (S_ipd(0) = '1')) then
tmp_MO := IN1_ipd;
else
tmp_MO := IN0_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (IN0_ipd'last_event, tpd_IN0_MO, TRUE),
1 => (IN1_ipd'last_event, tpd_IN1_MO, TRUE),
2 => (IN2_ipd'last_event, tpd_IN2_MO, TRUE),
3 => (IN3_ipd'last_event, tpd_IN3_MO, TRUE),
4 => (S_ipd(0)'last_event, tpd_S_MO(0), TRUE),
5 => (S_ipd(1)'last_event, tpd_S_MO(1), TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- stratixii_and1 Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixii_atom_pack.all;
-- entity declaration --
entity stratixii_and1 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC);
attribute VITAL_LEVEL0 of stratixii_and1 : entity is TRUE;
end stratixii_and1;
-- architecture body --
architecture AltVITAL of stratixii_and1 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
SIGNAL IN1_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN1_ipd)
-- functionality results
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => 'X');
ALIAS Y_zd : STD_ULOGIC is Results(1);
-- output glitch detection variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
begin
-------------------------
-- Functionality Section
-------------------------
Y_zd := TO_X01(IN1_ipd);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (0 => (IN1_ipd'last_event, tpd_IN1_Y, TRUE)),
GlitchData => Y_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
----------------------------------------------------------------------------
-- Module Name : stratixii_ram_register
-- Description : Register module for RAM inputs/outputs
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_ram_register IS
GENERIC (
width : INTEGER := 1;
preset : STD_LOGIC := '0';
tipd_d : VitalDelayArrayType01(143 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_stall : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpw_ena_posedge : VitalDelayType := DefPulseWdthCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END stratixii_ram_register;
ARCHITECTURE reg_arch OF stratixii_ram_register IS
SIGNAL d_ipd : STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
SIGNAL clk_ipd : STD_LOGIC;
SIGNAL ena_ipd : STD_LOGIC;
SIGNAL aclr_ipd : STD_LOGIC;
SIGNAL stall_ipd : STD_LOGIC;
BEGIN
WireDelay : BLOCK
BEGIN
loopbits : FOR i in d'RANGE GENERATE
VitalWireDelay (d_ipd(i), d(i), tipd_d(i));
END GENERATE;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (stall_ipd, stall, tipd_stall);
END BLOCK;
PROCESS (d_ipd,ena_ipd,stall_ipd,clk_ipd,aclr_ipd,devclrn,devpor)
VARIABLE Tviol_clk_ena : STD_ULOGIC := '0';
VARIABLE Tviol_clk_aclr : STD_ULOGIC := '0';
VARIABLE Tviol_data_clk : STD_ULOGIC := '0';
VARIABLE TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_stall : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_aclr : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_ena : STD_ULOGIC := '0';
VARIABLE PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
VARIABLE q_VitalGlitchDataArray : VitalGlitchDataArrayType(143 downto 0);
VARIABLE CQDelay : TIME := 0 ns;
VARIABLE q_reg : STD_LOGIC_VECTOR(width - 1 DOWNTO 0) := (OTHERS => preset);
BEGIN
IF (aclr_ipd = '1' OR devclrn = '0' OR devpor = '0') THEN
q_reg := (OTHERS => preset);
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1' AND stall_ipd = '0') THEN
q_reg := d_ipd;
END IF;
-- Timing checks
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_stall,
TestSignal => stall_ipd,
TestSignalName => "stall",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_stall_clk_noedge_posedge,
SetupLow => tsetup_stall_clk_noedge_posedge,
HoldHigh => thold_stall_clk_noedge_posedge,
HoldLow => thold_stall_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_aclr,
TimingData => TimingData_clk_aclr,
TestSignal => aclr_ipd,
TestSignalName => "aclr",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_aclr_clk_noedge_posedge,
SetupLow => tsetup_aclr_clk_noedge_posedge,
HoldHigh => thold_aclr_clk_noedge_posedge,
HoldLow => thold_aclr_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_data_clk,
TimingData => TimingData_data_clk,
TestSignal => d_ipd,
TestSignalName => "data",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalPeriodPulseCheck (
Violation => Tviol_ena,
PeriodData => PeriodData_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
PulseWidthHigh => tpw_ena_posedge,
HeaderMsg => "/RAM Register VitalPeriodPulseCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
-- Path Delay Selection
CQDelay := SelectDelay (
Paths => (
(0 => (clk_ipd'LAST_EVENT,tpd_clk_q_posedge,TRUE),
1 => (aclr_ipd'LAST_EVENT,tpd_aclr_q_posedge,TRUE))
)
);
q <= TRANSPORT q_reg AFTER CQDelay;
END PROCESS;
aclrout <= aclr_ipd;
END reg_arch;
----------------------------------------------------------------------------
-- Module Name : stratixii_ram_pulse_generator
-- Description : Generate pulse to initiate memory read/write operations
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_ram_pulse_generator IS
GENERIC (
tipd_clk : VitalDelayType01 := (0.5 ns,0.5 ns);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tpd_clk_pulse_posedge : VitalDelayType01 := DefPropDelay01
);
PORT (
clk,ena : IN STD_LOGIC;
pulse,cycle : OUT STD_LOGIC
);
ATTRIBUTE VITAL_Level0 OF stratixii_ram_pulse_generator:ENTITY IS TRUE;
END stratixii_ram_pulse_generator;
ARCHITECTURE pgen_arch OF stratixii_ram_pulse_generator IS
ATTRIBUTE VITAL_Level0 OF pgen_arch:ARCHITECTURE IS TRUE;
SIGNAL clk_ipd,ena_ipd : STD_LOGIC;
SIGNAL state : STD_LOGIC;
BEGIN
WireDelay : BLOCK
BEGIN
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
END BLOCK;
PROCESS (clk_ipd,state)
BEGIN
IF (state = '1' AND state'EVENT) THEN
state <= '0';
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1') THEN
state <= '1';
END IF;
END PROCESS;
PathDelay : PROCESS
VARIABLE pulse_VitalGlitchData : VitalGlitchDataType;
BEGIN
WAIT UNTIL state'EVENT;
VitalPathDelay01 (
OutSignal => pulse,
OutSignalName => "pulse",
OutTemp => state,
Paths => (0 => (clk_ipd'LAST_EVENT,tpd_clk_pulse_posedge,TRUE)),
GlitchData => pulse_VitalGlitchData,
Mode => DefGlitchMode,
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks
);
END PROCESS;
cycle <= clk_ipd;
END pgen_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_ram_register;
USE work.stratixii_ram_pulse_generator;
ENTITY stratixii_ram_block IS
GENERIC (
-- -------- GLOBAL PARAMETERS ---------
operation_mode : STRING := "single_port";
mixed_port_feed_through_mode : STRING := "dont_care";
ram_block_type : STRING := "auto";
logical_ram_name : STRING := "ram_name";
init_file : STRING := "init_file.hex";
init_file_layout : STRING := "none";
data_interleave_width_in_bits : INTEGER := 1;
data_interleave_offset_in_bits : INTEGER := 1;
port_a_logical_ram_depth : INTEGER := 0;
port_a_logical_ram_width : INTEGER := 0;
port_a_first_address : INTEGER := 0;
port_a_last_address : INTEGER := 0;
port_a_first_bit_number : INTEGER := 0;
port_a_data_in_clear : STRING := "none";
port_a_address_clear : STRING := "none";
port_a_write_enable_clear : STRING := "none";
port_a_data_out_clear : STRING := "none";
port_a_byte_enable_clear : STRING := "none";
port_a_data_in_clock : STRING := "clock0";
port_a_address_clock : STRING := "clock0";
port_a_write_enable_clock : STRING := "clock0";
port_a_byte_enable_clock : STRING := "clock0";
port_a_data_out_clock : STRING := "none";
port_a_data_width : INTEGER := 1;
port_a_address_width : INTEGER := 1;
port_a_byte_enable_mask_width : INTEGER := 1;
port_b_logical_ram_depth : INTEGER := 0;
port_b_logical_ram_width : INTEGER := 0;
port_b_first_address : INTEGER := 0;
port_b_last_address : INTEGER := 0;
port_b_first_bit_number : INTEGER := 0;
port_b_data_in_clear : STRING := "none";
port_b_address_clear : STRING := "none";
port_b_read_enable_write_enable_clear: STRING := "none";
port_b_byte_enable_clear : STRING := "none";
port_b_data_out_clear : STRING := "none";
port_b_data_in_clock : STRING := "clock0";
port_b_address_clock : STRING := "clock0";
port_b_read_enable_write_enable_clock: STRING := "clock0";
port_b_byte_enable_clock : STRING := "none";
port_b_data_out_clock : STRING := "none";
port_b_data_width : INTEGER := 1;
port_b_address_width : INTEGER := 1;
port_b_byte_enable_mask_width : INTEGER := 1;
power_up_uninitialized : STRING := "false";
port_b_disable_ce_on_output_registers : STRING := "off";
port_b_disable_ce_on_input_registers : STRING := "off";
port_b_byte_size : INTEGER := 0;
port_a_disable_ce_on_output_registers : STRING := "off";
port_a_disable_ce_on_input_registers : STRING := "off";
port_a_byte_size : INTEGER := 0;
lpm_type : string := "stratixii_ram_block";
lpm_hint : string := "true";
connectivity_checking : string := "off";
mem_init0 : BIT_VECTOR := X"0";
mem_init1 : BIT_VECTOR := X"0"
);
-- -------- PORT DECLARATIONS ---------
PORT (
portadatain : IN STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portawe : IN STD_LOGIC := '0';
portbdatain : IN STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portbaddr : IN STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portbrewe : IN STD_LOGIC := '0';
clk0 : IN STD_LOGIC := '0';
clk1 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
ena1 : IN STD_LOGIC := '1';
clr0 : IN STD_LOGIC := '0';
clr1 : IN STD_LOGIC := '0';
portabyteenamasks : IN STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbbyteenamasks : IN STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
devclrn : IN STD_LOGIC := '1';
devpor : IN STD_LOGIC := '1';
portaaddrstall : IN STD_LOGIC := '0';
portbaddrstall : IN STD_LOGIC := '0';
portadataout : OUT STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
portbdataout : OUT STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0)
);
END stratixii_ram_block;
ARCHITECTURE block_arch OF stratixii_ram_block IS
COMPONENT stratixii_ram_pulse_generator
PORT (
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
pulse : OUT STD_LOGIC;
cycle : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT stratixii_ram_register
GENERIC (
preset : STD_LOGIC := '0';
width : integer := 1
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END COMPONENT;
FUNCTION cond (condition : BOOLEAN;CONSTANT a,b : INTEGER) RETURN INTEGER IS
VARIABLE c: INTEGER;
BEGIN
IF (condition) THEN c := a; ELSE c := b; END IF;
RETURN c;
END;
SUBTYPE port_type IS BOOLEAN;
CONSTANT primary : port_type := TRUE;
CONSTANT secondary : port_type := FALSE;
CONSTANT primary_port_is_a : BOOLEAN := (port_b_data_width <= port_a_data_width);
CONSTANT primary_port_is_b : BOOLEAN := NOT primary_port_is_a;
CONSTANT mode_is_rom : BOOLEAN := (operation_mode = "rom");
CONSTANT mode_is_sp : BOOLEAN := (operation_mode = "single_port");
CONSTANT mode_is_dp : BOOLEAN := (operation_mode = "dual_port");
CONSTANT mode_is_bdp : BOOLEAN := (operation_mode = "bidir_dual_port");
CONSTANT wired_mode : BOOLEAN := (port_a_address_width = port_b_address_width) AND (port_a_address_width = 1)
AND (port_a_data_width /= port_b_data_width);
CONSTANT num_cols : INTEGER := cond(mode_is_rom OR mode_is_sp,1,
cond(wired_mode,2,2 ** (ABS(port_b_address_width - port_a_address_width))));
CONSTANT data_width : INTEGER := cond(primary_port_is_a,port_a_data_width,port_b_data_width);
CONSTANT data_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_data_width,port_b_data_width);
CONSTANT address_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_a,port_a_address_width,port_b_address_width);
CONSTANT address_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_address_width,port_b_address_width);
CONSTANT byte_size_a : INTEGER := port_a_data_width / port_a_byte_enable_mask_width;
CONSTANT byte_size_b : INTEGER := port_b_data_width / port_b_byte_enable_mask_width;
CONSTANT out_a_is_reg : BOOLEAN := (port_a_data_out_clock /= "none" AND port_a_data_out_clock /= "UNUSED");
CONSTANT out_b_is_reg : BOOLEAN := (port_b_data_out_clock /= "none" AND port_b_data_out_clock /= "UNUSED");
CONSTANT bytes_a_disabled : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT bytes_b_disabled : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT ram_type : BOOLEAN := (ram_block_type = "M-RAM" OR ram_block_type = "m-ram" OR ram_block_type = "MegaRAM" OR
(ram_block_type = "auto" AND mixed_port_feed_through_mode = "dont_care" AND port_b_read_enable_write_enable_clock = "clock0"));
TYPE bool_to_std_logic_map IS ARRAY(TRUE DOWNTO FALSE) OF STD_LOGIC;
CONSTANT bool_to_std_logic : bool_to_std_logic_map := ('1','0');
-- -------- internal signals ---------
-- clock / clock enable
SIGNAL clk_a_in,clk_b_in : STD_LOGIC;
SIGNAL clk_a_byteena,clk_b_byteena : STD_LOGIC;
SIGNAL clk_a_out,clk_b_out : STD_LOGIC;
SIGNAL clkena_a_out,clkena_b_out : STD_LOGIC;
SIGNAL write_cycle_a,write_cycle_b : STD_LOGIC;
-- asynch clear
TYPE clear_mode_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
TYPE clear_vec_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL datain_a_clr,datain_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr,dataout_b_clr : STD_LOGIC;
SIGNAL addr_a_clr,addr_b_clr : STD_LOGIC;
SIGNAL byteena_a_clr,byteena_b_clr : STD_LOGIC;
SIGNAL we_a_clr,rewe_b_clr : STD_LOGIC;
SIGNAL datain_a_clr_in,datain_b_clr_in : STD_LOGIC;
SIGNAL addr_a_clr_in,addr_b_clr_in : STD_LOGIC;
SIGNAL byteena_a_clr_in,byteena_b_clr_in : STD_LOGIC;
SIGNAL we_a_clr_in,rewe_b_clr_in : STD_LOGIC;
SIGNAL mem_invalidate,mem_invalidate_loc,read_latch_invalidate : clear_mode_type;
SIGNAL clear_asserted_during_write : clear_vec_type;
SUBTYPE one_bit_bus_type IS STD_LOGIC_VECTOR(0 DOWNTO 0);
-- port A registers
SIGNAL we_a_reg : STD_LOGIC;
SIGNAL we_a_reg_in,we_a_reg_out : one_bit_bus_type;
SIGNAL addr_a_reg : STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0);
SIGNAL datain_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL byteena_a_reg : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width- 1 DOWNTO 0);
-- port B registers
SIGNAL rewe_b_reg : STD_LOGIC;
SIGNAL rewe_b_reg_in,rewe_b_reg_out : one_bit_bus_type;
SIGNAL addr_b_reg : STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0);
SIGNAL datain_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL byteena_b_reg : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width- 1 DOWNTO 0);
-- pulses
TYPE pulse_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL write_pulse,read_pulse,read_pulse_feedthru : pulse_vec;
SIGNAL wpgen_a_clk,wpgen_a_clkena,wpgen_b_clk,wpgen_b_clkena : STD_LOGIC;
SIGNAL rpgen_a_clkena,rpgen_b_clkena : STD_LOGIC;
SIGNAL ftpgen_a_clkena,ftpgen_b_clkena : STD_LOGIC;
-- registered address
SIGNAL addr_prime_reg,addr_sec_reg : INTEGER;
-- input/output
SIGNAL datain_prime_reg,dataout_prime : STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
SIGNAL datain_sec_reg,dataout_sec : STD_LOGIC_VECTOR(data_unit_width - 1 DOWNTO 0);
-- overlapping location write
SIGNAL dual_write : BOOLEAN;
-- memory core
SUBTYPE mem_word_type IS STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
SUBTYPE mem_col_type IS STD_LOGIC_VECTOR (data_unit_width - 1 DOWNTO 0);
TYPE mem_row_type IS ARRAY (num_cols - 1 DOWNTO 0) OF mem_col_type;
TYPE mem_type IS ARRAY ((2 ** address_unit_width) - 1 DOWNTO 0) OF mem_row_type;
SIGNAL mem : mem_type;
SIGNAL init_mem : BOOLEAN := FALSE;
CONSTANT mem_x : mem_type := (OTHERS => (OTHERS => (OTHERS => 'X')));
CONSTANT row_x : mem_row_type := (OTHERS => (OTHERS => 'X'));
CONSTANT col_x : mem_col_type := (OTHERS => 'X');
SIGNAL mem_data : mem_row_type;
SIGNAL mem_unit_data : mem_col_type;
-- latches
TYPE read_latch_rec IS RECORD
prime : mem_row_type;
sec : mem_col_type;
END RECORD;
SIGNAL read_latch : read_latch_rec;
-- (row,column) coordinates
SIGNAL row_sec,col_sec : INTEGER;
-- byte enable
TYPE mask_type IS (normal,inverse);
TYPE mask_prime_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_word_type;
TYPE mask_sec_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_col_type;
TYPE mask_rec IS RECORD
prime : mask_prime_type;
sec : mask_sec_type;
END RECORD;
SIGNAL mask_vector : mask_rec;
SIGNAL mask_vector_common : mem_col_type;
FUNCTION get_mask(
b_ena : IN STD_LOGIC_VECTOR;
mode : port_type;
CONSTANT b_ena_width ,byte_size: INTEGER
) RETURN mask_rec IS
VARIABLE l : INTEGER;
VARIABLE mask : mask_rec := (
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X')),
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X'))
);
BEGIN
FOR l in 0 TO b_ena_width - 1 LOOP
IF (b_ena(l) = '0') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.prime(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.sec(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
END IF;
ELSIF (b_ena(l) = 'X' OR b_ena(l) = 'U') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
END IF;
END IF;
END LOOP;
RETURN mask;
END get_mask;
-- port active for read/write
SIGNAL active_a_in_vec,active_b_in_vec,active_a_out,active_b_out : one_bit_bus_type;
SIGNAL active_a_in,active_b_in : STD_LOGIC;
SIGNAL active_a,active_write_a : BOOLEAN;
SIGNAL active_b,active_write_b : BOOLEAN;
SIGNAL wire_vcc : STD_LOGIC := '1';
SIGNAL wire_gnd : STD_LOGIC := '0';
BEGIN
-- memory initialization
init_mem <= TRUE;
-- -------- core logic ---------------
clk_a_in <= clk0;
clk_a_byteena <= '0' WHEN (port_a_byte_enable_clock = "none" OR port_a_byte_enable_clock = "UNUSED") ELSE clk_a_in;
clk_a_out <= '0' WHEN (port_a_data_out_clock = "none" OR port_a_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_a_data_out_clock = "clock0") ELSE clk1;
clk_b_in <= clk0 WHEN (port_b_read_enable_write_enable_clock = "clock0") ELSE clk1;
clk_b_byteena <= '0' WHEN (port_b_byte_enable_clock = "none" OR port_b_byte_enable_clock = "UNUSED") ELSE
clk0 WHEN (port_b_byte_enable_clock = "clock0") ELSE clk1;
clk_b_out <= '0' WHEN (port_b_data_out_clock = "none" OR port_b_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_b_data_out_clock = "clock0") ELSE clk1;
addr_a_clr_in <= '0' WHEN (port_a_address_clear = "none" OR port_a_address_clear = "UNUSED") ELSE clr0;
addr_b_clr_in <= '0' WHEN (port_b_address_clear = "none" OR port_b_address_clear = "UNUSED") ELSE
clr0 WHEN (port_b_address_clear = "clear0") ELSE clr1;
datain_a_clr_in <= '0' WHEN (port_a_data_in_clear = "none" OR port_a_data_in_clear = "UNUSED") ELSE clr0;
datain_b_clr_in <= '0' WHEN (port_b_data_in_clear = "none" OR port_b_data_in_clear = "UNUSED") ELSE
clr0 WHEN (port_b_data_in_clear = "clear0") ELSE clr1;
dataout_a_clr <= '0' WHEN (port_a_data_out_clear = "none" OR port_a_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_a_data_out_clear = "clear0") ELSE clr1;
dataout_b_clr <= '0' WHEN (port_b_data_out_clear = "none" OR port_b_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_b_data_out_clear = "clear0") ELSE clr1;
byteena_a_clr_in <= '0' WHEN (port_a_byte_enable_clear = "none" OR port_a_byte_enable_clear = "UNUSED") ELSE clr0;
byteena_b_clr_in <= '0' WHEN (port_b_byte_enable_clear = "none" OR port_b_byte_enable_clear = "UNUSED") ELSE
clr0 WHEN (port_b_byte_enable_clear = "clear0") ELSE clr1;
we_a_clr_in <= '0' WHEN (port_a_write_enable_clear = "none" OR port_a_write_enable_clear = "UNUSED") ELSE clr0;
rewe_b_clr_in <= '0' WHEN (port_b_read_enable_write_enable_clear = "none" OR port_b_read_enable_write_enable_clear = "UNUSED") ELSE
clr0 WHEN (port_b_read_enable_write_enable_clear = "clear0") ELSE clr1;
active_a_in <= '1' WHEN (port_a_disable_ce_on_input_registers = "on") ELSE ena0;
active_b_in <= '1' WHEN (port_b_disable_ce_on_input_registers = "on") ELSE
ena0 WHEN (port_b_read_enable_write_enable_clock = "clock0") ELSE ena1;
-- A port active
active_a_in_vec(0) <= active_a_in;
active_port_a : stratixii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_a_in_vec,
clk => clk_a_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_a_out
);
active_a <= (active_a_out(0) = '1');
active_write_a <= active_a AND (byteena_a_reg /= bytes_a_disabled);
-- B port active
active_b_in_vec(0) <= active_b_in;
active_port_b : stratixii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_b_in_vec,
clk => clk_b_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
stall => wire_gnd,
ena => wire_vcc,
q => active_b_out
);
active_b <= (active_b_out(0) = '1');
active_write_b <= active_b AND (byteena_b_reg /= bytes_b_disabled);
-- ------ A input registers
-- write enable
we_a_reg_in(0) <= '0' WHEN mode_is_rom ELSE portawe;
we_a_register : stratixii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => we_a_reg_in,
clk => clk_a_in,
aclr => we_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => we_a_reg_out,
aclrout => we_a_clr
);
we_a_reg <= we_a_reg_out(0);
-- address
addr_a_register : stratixii_ram_register
GENERIC MAP ( width => port_a_address_width )
PORT MAP (
d => portaaddr,
clk => clk_a_in,
aclr => addr_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portaaddrstall,
ena => active_a_in,
q => addr_a_reg,
aclrout => addr_a_clr
);
-- data
datain_a_register : stratixii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => portadatain,
clk => clk_a_in,
aclr => datain_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => datain_a_reg,
aclrout => datain_a_clr
);
-- byte enable
byteena_a_register : stratixii_ram_register
GENERIC MAP (
width => port_a_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portabyteenamasks,
clk => clk_a_byteena,
aclr => byteena_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => byteena_a_reg,
aclrout => byteena_a_clr
);
-- ------ B input registers
-- read/write enable
rewe_b_reg_in(0) <= portbrewe;
rewe_b_register : stratixii_ram_register
GENERIC MAP (
width => 1,
preset => bool_to_std_logic(mode_is_dp)
)
PORT MAP (
d => rewe_b_reg_in,
clk => clk_b_in,
aclr => rewe_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => rewe_b_reg_out,
aclrout => rewe_b_clr
);
rewe_b_reg <= rewe_b_reg_out(0);
-- address
addr_b_register : stratixii_ram_register
GENERIC MAP ( width => port_b_address_width )
PORT MAP (
d => portbaddr,
clk => clk_b_in,
aclr => addr_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portbaddrstall,
ena => active_b_in,
q => addr_b_reg,
aclrout => addr_b_clr
);
-- data
datain_b_register : stratixii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => portbdatain,
clk => clk_b_in,
aclr => datain_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => datain_b_reg,
aclrout => datain_b_clr
);
-- byte enable
byteena_b_register : stratixii_ram_register
GENERIC MAP (
width => port_b_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portbbyteenamasks,
clk => clk_b_byteena,
aclr => byteena_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => byteena_b_reg,
aclrout => byteena_b_clr
);
datain_prime_reg <= datain_a_reg WHEN primary_port_is_a ELSE datain_b_reg;
addr_prime_reg <= alt_conv_integer(addr_a_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_b_reg);
datain_sec_reg <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
datain_b_reg WHEN primary_port_is_a ELSE datain_a_reg;
addr_sec_reg <= alt_conv_integer(addr_b_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_a_reg);
-- Write pulse generation
wpgen_a_clk <= clk_a_in WHEN ram_type ELSE (NOT clk_a_in);
wpgen_a_clkena <= '1' WHEN (active_write_a AND (we_a_reg = '1')) ELSE '0';
wpgen_a : stratixii_ram_pulse_generator
PORT MAP (
clk => wpgen_a_clk,
ena => wpgen_a_clkena,
pulse => write_pulse(primary_port_is_a),
cycle => write_cycle_a
);
wpgen_b_clk <= clk_b_in WHEN ram_type ELSE (NOT clk_b_in);
wpgen_b_clkena <= '1' WHEN (active_write_b AND mode_is_bdp AND (rewe_b_reg = '1')) ELSE '0';
wpgen_b : stratixii_ram_pulse_generator
PORT MAP (
clk => wpgen_b_clk,
ena => wpgen_b_clkena,
pulse => write_pulse(primary_port_is_b),
cycle => write_cycle_b
);
-- Read pulse generation
rpgen_a_clkena <= '1' WHEN (active_a AND (we_a_reg = '0')) ELSE '0';
rpgen_a : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rpgen_a_clkena,
pulse => read_pulse(primary_port_is_a)
);
rpgen_b_clkena <= '1' WHEN (active_b AND mode_is_dp AND (rewe_b_reg = '1')) OR
(active_b AND mode_is_bdp AND (rewe_b_reg = '0'))
ELSE '0';
rpgen_b : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rpgen_b_clkena,
pulse => read_pulse(primary_port_is_b)
);
-- Create internal masks for byte enable processing
mask_create : PROCESS (byteena_a_reg,byteena_b_reg)
VARIABLE mask : mask_rec;
BEGIN
IF (byteena_a_reg'EVENT) THEN
mask := get_mask(byteena_a_reg,primary_port_is_a,port_a_byte_enable_mask_width,byte_size_a);
IF (primary_port_is_a) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
IF (byteena_b_reg'EVENT) THEN
mask := get_mask(byteena_b_reg,primary_port_is_b,port_b_byte_enable_mask_width,byte_size_b);
IF (primary_port_is_b) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
END PROCESS mask_create;
-- (row,col) coordinates
row_sec <= addr_sec_reg / num_cols;
col_sec <= addr_sec_reg mod num_cols;
mem_rw : PROCESS (init_mem,
write_pulse,read_pulse,read_pulse_feedthru,
mem_invalidate,mem_invalidate_loc,read_latch_invalidate)
-- mem init
TYPE rw_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
VARIABLE addr_range_init,row,col,index : INTEGER;
VARIABLE mem_init_std : STD_LOGIC_VECTOR((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
VARIABLE mem_val : mem_type;
-- read/write
VARIABLE mem_data_p : mem_row_type;
VARIABLE row_prime,col_prime : INTEGER;
VARIABLE access_same_location : BOOLEAN;
VARIABLE read_during_write : rw_type;
BEGIN
read_during_write := (FALSE,FALSE);
-- Memory initialization
IF (init_mem'EVENT) THEN
-- Initialize output latches to 0
IF (primary_port_is_a) THEN
dataout_prime <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_sec <= (OTHERS => '0'); END IF;
ELSE
dataout_sec <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_prime <= (OTHERS => '0'); END IF;
END IF;
IF (power_up_uninitialized = "false" AND (NOT ram_type)) THEN
mem_val := (OTHERS => (OTHERS => (OTHERS => '0')));
END IF;
IF (primary_port_is_a) THEN
addr_range_init := port_a_last_address - port_a_first_address + 1;
ELSE
addr_range_init := port_b_last_address - port_b_first_address + 1;
END IF;
IF (init_file_layout = "port_a" OR init_file_layout = "port_b") THEN
mem_init_std := to_stdlogicvector(mem_init1 & mem_init0)((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
FOR row IN 0 TO addr_range_init - 1 LOOP
FOR col IN 0 to num_cols - 1 LOOP
index := row * data_width;
mem_val(row)(col) := mem_init_std(index + (col+1)*data_unit_width -1 DOWNTO
index + col*data_unit_width);
END LOOP;
END LOOP;
END IF;
mem <= mem_val;
END IF;
access_same_location := (mode_is_dp OR mode_is_bdp) AND (addr_prime_reg = row_sec);
-- Write stage 1 : X to buffer
-- Write stage 2 : actual data to memory
IF (write_pulse(primary)'EVENT) THEN
IF (write_pulse(primary) = '1') THEN
mem_data_p := mem(addr_prime_reg);
FOR i IN 0 TO num_cols - 1 LOOP
mem_data_p(i) := mem_data_p(i) XOR
mask_vector.prime(inverse)((i + 1)*data_unit_width - 1 DOWNTO i*data_unit_width);
END LOOP;
read_during_write(secondary) := (access_same_location AND read_pulse(secondary)'EVENT AND read_pulse(secondary) = '1');
IF (read_during_write(secondary)) THEN
read_latch.sec <= mem_data_p(col_sec);
ELSE
mem_data <= mem_data_p;
END IF;
ELSIF (clear_asserted_during_write(primary) /= '1') THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= datain_prime_reg(i);
ELSIF (mask_vector.prime(inverse)(i) = 'X') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
IF (write_pulse(secondary)'EVENT) THEN
IF (write_pulse(secondary) = '1') THEN
read_during_write(primary) := (access_same_location AND read_pulse(primary)'EVENT AND read_pulse(primary) = '1');
IF (read_during_write(primary)) THEN
read_latch.prime <= mem(addr_prime_reg);
read_latch.prime(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
ELSE
mem_unit_data <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
END IF;
IF (access_same_location AND write_pulse(primary)'EVENT AND write_pulse(primary) = '1') THEN
mask_vector_common <=
mask_vector.prime(inverse)(((col_sec + 1)* data_unit_width - 1) DOWNTO col_sec*data_unit_width) AND
mask_vector.sec(inverse);
dual_write <= TRUE;
END IF;
ELSIF (clear_asserted_during_write(secondary) /= '1') THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
mem(row_sec)(col_sec)(i) <= datain_sec_reg(i);
ELSIF (mask_vector.sec(inverse)(i) = 'X') THEN
mem(row_sec)(col_sec)(i) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
-- Simultaneous write
IF (dual_write AND write_pulse = "00") THEN
mem(row_sec)(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector_common;
dual_write <= FALSE;
END IF;
-- Read stage 1 : read data
-- Read stage 2 : send data to output
IF ((NOT read_during_write(primary)) AND read_pulse(primary)'EVENT) THEN
IF (read_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
IF (access_same_location AND write_pulse(secondary) = '1') THEN
read_latch.prime(col_sec) <= mem_unit_data;
END IF;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
IF ((NOT read_during_write(secondary)) AND read_pulse(secondary)'EVENT) THEN
IF (read_pulse(secondary) = '1') THEN
IF (access_same_location AND write_pulse(primary) = '1') THEN
read_latch.sec <= mem_data(col_sec);
ELSE
read_latch.sec <= mem(row_sec)(col_sec);
END IF;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
-- Same port feed thru
IF (read_pulse_feedthru(primary)'EVENT AND read_pulse_feedthru(primary) = '0') THEN
dataout_prime <= datain_prime_reg XOR mask_vector.prime(normal);
END IF;
IF (read_pulse_feedthru(secondary)'EVENT AND read_pulse_feedthru(secondary) = '0') THEN
dataout_sec <= datain_sec_reg XOR mask_vector.sec(normal);
END IF;
-- Async clear
IF (mem_invalidate'EVENT) THEN
IF (mem_invalidate(primary) = TRUE OR mem_invalidate(secondary) = TRUE) THEN
mem <= mem_x;
END IF;
END IF;
IF (mem_invalidate_loc'EVENT) THEN
IF (mem_invalidate_loc(primary)) THEN mem(addr_prime_reg) <= row_x; END IF;
IF (mem_invalidate_loc(secondary)) THEN mem(row_sec)(col_sec) <= col_x; END IF;
END IF;
IF (read_latch_invalidate'EVENT) THEN
IF (read_latch_invalidate(primary)) THEN read_latch.prime <= row_x; END IF;
IF (read_latch_invalidate(secondary)) THEN read_latch.sec <= col_x; END IF;
END IF;
END PROCESS mem_rw;
-- Same port feed through
ftpgen_a_clkena <= '1' WHEN (active_a AND (NOT mode_is_dp) AND (we_a_reg = '1')) ELSE '0';
ftpgen_a : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => ftpgen_a_clkena,
pulse => read_pulse_feedthru(primary_port_is_a)
);
ftpgen_b_clkena <= '1' WHEN (active_b AND mode_is_bdp AND (rewe_b_reg = '1')) ELSE '0';
ftpgen_b : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => ftpgen_b_clkena,
pulse => read_pulse_feedthru(primary_port_is_b)
);
-- Asynch clear events
clear_a : PROCESS(addr_a_clr,we_a_clr,datain_a_clr)
BEGIN
IF (addr_a_clr'EVENT AND addr_a_clr = '1') THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF (active_a AND we_a_reg = '1') THEN
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_a_clr'EVENT AND we_a_clr = '1') OR (datain_a_clr'EVENT AND datain_a_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_a;
clear_b : PROCESS(addr_b_clr,rewe_b_clr,datain_b_clr)
BEGIN
IF (addr_b_clr'EVENT AND addr_b_clr = '1') THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (rewe_b_reg = '1')) THEN
mem_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF (active_b AND ((mode_is_dp AND rewe_b_reg = '1') OR (mode_is_bdp AND rewe_b_reg = '0'))) THEN
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((rewe_b_clr'EVENT AND rewe_b_clr = '1') OR (datain_b_clr'EVENT AND datain_b_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (rewe_b_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_b;
-- ------ Output registers
clkena_a_out <= '1' WHEN (port_a_disable_ce_on_output_registers = "on") ELSE
ena0 WHEN (port_a_data_out_clock = "clock0") ELSE ena1;
clkena_b_out <= '1' WHEN (port_b_disable_ce_on_output_registers = "on") ELSE
ena0 WHEN (port_b_data_out_clock = "clock0") ELSE ena1;
dataout_a <= dataout_prime WHEN primary_port_is_a ELSE dataout_sec;
dataout_b <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
dataout_prime WHEN primary_port_is_b ELSE dataout_sec;
dataout_a_register : stratixii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => dataout_a,
clk => clk_a_out,
aclr => dataout_a_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_a_out,
q => dataout_a_reg
);
dataout_b_register : stratixii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => dataout_b,
clk => clk_b_out,
aclr => dataout_b_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_b_out,
q => dataout_b_reg
);
portadataout <= dataout_a_reg WHEN out_a_is_reg ELSE dataout_a;
portbdataout <= dataout_b_reg WHEN out_b_is_reg ELSE dataout_b;
END block_arch;
-------------------------------------------------------------------
--
-- Entity Name : stratixii_jtag
--
-- Description : StratixII JTAG VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
entity stratixii_jtag is
generic (
lpm_type : string := "stratixii_jtag"
);
port (tms : in std_logic;
tck : in std_logic;
tdi : in std_logic;
ntrst : in std_logic;
tdoutap : in std_logic;
tdouser : in std_logic;
tdo: out std_logic;
tmsutap: out std_logic;
tckutap: out std_logic;
tdiutap: out std_logic;
shiftuser: out std_logic;
clkdruser: out std_logic;
updateuser: out std_logic;
runidleuser: out std_logic;
usr1user: out std_logic);
end stratixii_jtag;
architecture architecture_jtag of stratixii_jtag is
begin
--process(tms, tck, tdi, ntrst, tdoutap, tdouser)
--begin
--
--end process;
end architecture_jtag;
-------------------------------------------------------------------
--
-- Entity Name : stratixii_crcblock
--
-- Description : StratixII CRCBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
entity stratixii_crcblock is
generic (
oscillator_divider : integer := 1;
lpm_type : string := "stratixii_crcblock"
);
port (clk : in std_logic;
shiftnld : in std_logic;
ldsrc : in std_logic;
crcerror : out std_logic;
regout : out std_logic);
end stratixii_crcblock;
architecture architecture_crcblock of stratixii_crcblock is
begin
end architecture_crcblock;
-------------------------------------------------------------------
--
-- Entity Name : stratixii_asmiblock
--
-- Description : StratixIIII ASMIBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
entity stratixii_asmiblock is
generic (
lpm_type : string := "stratixii_asmiblock"
);
port (dclkin : in std_logic;
scein : in std_logic;
sdoin : in std_logic;
oe : in std_logic;
data0out: out std_logic);
end stratixii_asmiblock;
architecture architecture_asmiblock of stratixii_asmiblock is
begin
--process(dclkin, scein, sdoin, oe)
--begin
--
--end process;
end architecture_asmiblock; -- end of stratixii_asmiblock
---------------------------------------------------------------------
--
-- Entity Name : stratixii_lcell_ff
--
-- Description : StratixII LCELL_FF VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_and1;
entity stratixii_lcell_ff is
generic (
x_on_violation : string := "on";
lpm_type : string := "stratixii_lcell_ff";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_adatasdata_regout: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_adatasdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
datain : in std_logic := '0';
clk : in std_logic := '0';
aclr : in std_logic := '0';
aload : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
ena : in std_logic := '1';
adatasdata : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
regout : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_lcell_ff : entity is TRUE;
end stratixii_lcell_ff;
architecture vital_lcell_ff of stratixii_lcell_ff is
attribute VITAL_LEVEL0 of vital_lcell_ff : architecture is TRUE;
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
signal datain_dly : std_logic;
signal adatasdata_ipd : std_logic;
signal adatasdata_dly : std_logic;
signal adatasdata_dly1 : std_logic;
signal sclr_ipd : std_logic;
signal sload_ipd : std_logic;
signal aclr_ipd : std_logic;
signal aload_ipd : std_logic;
signal ena_ipd : std_logic;
component stratixii_and1
generic (XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01
);
port (Y : out STD_LOGIC;
IN1 : in STD_LOGIC
);
end component;
begin
dataindelaybuffer: stratixii_and1
port map(IN1 => datain_ipd,
Y => datain_dly);
adatasdatadelaybuffer: stratixii_and1
port map(IN1 => adatasdata_ipd,
Y => adatasdata_dly);
adatasdatadelaybuffer1: stratixii_and1
port map(IN1 => adatasdata_dly,
Y => adatasdata_dly1);
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (adatasdata_ipd, adatasdata, tipd_adatasdata);
VitalWireDelay (sclr_ipd, sclr, tipd_sclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (aload_ipd, aload, tipd_aload);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process (clk_ipd, datain_dly, adatasdata_dly1,
sclr_ipd, sload_ipd, aclr_ipd, aload_ipd,
ena_ipd, devclrn, devpor)
variable Tviol_datain_clk : std_ulogic := '0';
variable Tviol_adatasdata_clk : std_ulogic := '0';
variable Tviol_sclr_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_adatasdata_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sclr_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable regout_VitalGlitchData : VitalGlitchDataType;
variable iregout : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(sload_ipd) OR
(sclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_adatasdata_clk,
TimingData => TimingData_adatasdata_clk,
TestSignal => adatasdata_ipd,
TestSignalName => "ADATASDATA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_adatasdata_clk_noedge_posedge,
SetupLow => tsetup_adatasdata_clk_noedge_posedge,
HoldHigh => thold_adatasdata_clk_noedge_posedge,
HoldLow => thold_adatasdata_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT sload_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sclr_clk,
TimingData => TimingData_sclr_clk,
TestSignal => sclr_ipd,
TestSignalName => "SCLR",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sclr_clk_noedge_posedge,
SetupLow => tsetup_sclr_clk_noedge_posedge,
HoldHigh => thold_sclr_clk_noedge_posedge,
HoldLow => thold_sclr_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_datain_clk or Tviol_adatasdata_clk or
Tviol_sclr_clk or Tviol_sload_clk or Tviol_ena_clk;
if ((devpor = '0') or (devclrn = '0') or (aclr_ipd = '1')) then
iregout := '0';
elsif (aload_ipd = '1') then
iregout := adatasdata_dly1;
elsif (violation = 'X' and x_on_violation = "on") then
iregout := 'X';
elsif clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' then
if (ena_ipd = '1') then
if (sclr_ipd = '1') then
iregout := '0';
elsif (sload_ipd = '1') then
iregout := adatasdata_dly1;
else
iregout := datain_dly;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => regout,
OutSignalName => "REGOUT",
OutTemp => iregout,
Paths => (0 => (aclr_ipd'last_event, tpd_aclr_regout_posedge, TRUE),
1 => (aload_ipd'last_event, tpd_aload_regout_posedge, TRUE),
2 => (adatasdata_ipd'last_event, tpd_adatasdata_regout, TRUE),
3 => (clk_ipd'last_event, tpd_clk_regout_posedge, TRUE)),
GlitchData => regout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_ff;
---------------------------------------------------------------------
--
-- Entity Name : stratixii_lcell_comb
--
-- Description : StratixII LCELL_COMB VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_lcell_comb is
generic (
lut_mask : std_logic_vector(63 downto 0) := (OTHERS => '1');
shared_arith : string := "off";
extended_lut : string := "off";
lpm_type : string := "stratixii_lcell_comb";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_dataa_combout : VitalDelayType01 := DefPropDelay01;
tpd_datab_combout : VitalDelayType01 := DefPropDelay01;
tpd_datac_combout : VitalDelayType01 := DefPropDelay01;
tpd_datad_combout : VitalDelayType01 := DefPropDelay01;
tpd_datae_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_combout : VitalDelayType01 := DefPropDelay01;
tpd_datag_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datab_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datac_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datad_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_sumout : VitalDelayType01 := DefPropDelay01;
tpd_cin_sumout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_cout : VitalDelayType01 := DefPropDelay01;
tpd_datab_cout : VitalDelayType01 := DefPropDelay01;
tpd_datac_cout : VitalDelayType01 := DefPropDelay01;
tpd_datad_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_cout : VitalDelayType01 := DefPropDelay01;
tpd_cin_cout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datab_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datac_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datad_shareout : VitalDelayType01 := DefPropDelay01;
tipd_dataa : VitalDelayType01 := DefPropDelay01;
tipd_datab : VitalDelayType01 := DefPropDelay01;
tipd_datac : VitalDelayType01 := DefPropDelay01;
tipd_datad : VitalDelayType01 := DefPropDelay01;
tipd_datae : VitalDelayType01 := DefPropDelay01;
tipd_dataf : VitalDelayType01 := DefPropDelay01;
tipd_datag : VitalDelayType01 := DefPropDelay01;
tipd_cin : VitalDelayType01 := DefPropDelay01;
tipd_sharein : VitalDelayType01 := DefPropDelay01
);
port (
dataa : in std_logic := '0';
datab : in std_logic := '0';
datac : in std_logic := '0';
datad : in std_logic := '0';
datae : in std_logic := '0';
dataf : in std_logic := '0';
datag : in std_logic := '0';
cin : in std_logic := '0';
sharein : in std_logic := '0';
combout : out std_logic;
sumout : out std_logic;
cout : out std_logic;
shareout : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_lcell_comb : entity is TRUE;
end stratixii_lcell_comb;
architecture vital_lcell_comb of stratixii_lcell_comb is
attribute VITAL_LEVEL0 of vital_lcell_comb : architecture is TRUE;
signal dataa_ipd : std_logic;
signal datab_ipd : std_logic;
signal datac_ipd : std_logic;
signal datad_ipd : std_logic;
signal datae_ipd : std_logic;
signal dataf_ipd : std_logic;
signal datag_ipd : std_logic;
signal cin_ipd : std_logic;
signal sharein_ipd : std_logic;
signal f2_input3 : std_logic;
-- sub masks
signal f0_mask : std_logic_vector(15 downto 0);
signal f1_mask : std_logic_vector(15 downto 0);
signal f2_mask : std_logic_vector(15 downto 0);
signal f3_mask : std_logic_vector(15 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (dataa_ipd, dataa, tipd_dataa);
VitalWireDelay (datab_ipd, datab, tipd_datab);
VitalWireDelay (datac_ipd, datac, tipd_datac);
VitalWireDelay (datad_ipd, datad, tipd_datad);
VitalWireDelay (datae_ipd, datae, tipd_datae);
VitalWireDelay (dataf_ipd, dataf, tipd_dataf);
VitalWireDelay (datag_ipd, datag, tipd_datag);
VitalWireDelay (cin_ipd, cin, tipd_cin);
VitalWireDelay (sharein_ipd, sharein, tipd_sharein);
end block;
f0_mask <= lut_mask(15 downto 0);
f1_mask <= lut_mask(31 downto 16);
f2_mask <= lut_mask(47 downto 32);
f3_mask <= lut_mask(63 downto 48);
f2_input3 <= datag_ipd WHEN (extended_lut = "on") ELSE datac_ipd;
VITALtiming : process(dataa_ipd, datab_ipd, datac_ipd, datad_ipd,
datae_ipd, dataf_ipd, f2_input3, cin_ipd,
sharein_ipd)
variable combout_VitalGlitchData : VitalGlitchDataType;
variable sumout_VitalGlitchData : VitalGlitchDataType;
variable cout_VitalGlitchData : VitalGlitchDataType;
variable shareout_VitalGlitchData : VitalGlitchDataType;
-- sub lut outputs
variable f0_out : std_logic;
variable f1_out : std_logic;
variable f2_out : std_logic;
variable f3_out : std_logic;
-- muxed output
variable g0_out : std_logic;
variable g1_out : std_logic;
-- internal variables
variable f2_f : std_logic;
variable adder_input2 : std_logic;
-- output variables
variable combout_tmp : std_logic;
variable sumout_tmp : std_logic;
variable cout_tmp : std_logic;
-- temp variable for NCVHDL
variable lut_mask_var : std_logic_vector(63 downto 0) := (OTHERS => '1');
begin
lut_mask_var := lut_mask;
------------------------
-- Timing Check Section
------------------------
f0_out := VitalMUX(data => f0_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f1_out := VitalMUX(data => f1_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
f2_out := VitalMUX(data => f2_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f3_out := VitalMUX(data => f3_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
-- combout
if (extended_lut = "on") then
if (datae_ipd = '0') then
g0_out := f0_out;
g1_out := f2_out;
elsif (datae_ipd = '1') then
g0_out := f1_out;
g1_out := f3_out;
else
g0_out := 'X';
g1_out := 'X';
end if;
if (dataf_ipd = '0') then
combout_tmp := g0_out;
elsif ((dataf_ipd = '1') or (g0_out = g1_out))then
combout_tmp := g1_out;
else
combout_tmp := 'X';
end if;
else
combout_tmp := VitalMUX(data => lut_mask_var,
dselect => (dataf_ipd,
datae_ipd,
datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
end if;
-- sumout and cout
f2_f := VitalMUX(data => f2_mask,
dselect => (dataf_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
if (shared_arith = "on") then
adder_input2 := sharein_ipd;
else
adder_input2 := NOT f2_f;
end if;
sumout_tmp := cin_ipd XOR f0_out XOR adder_input2;
cout_tmp := (cin_ipd AND f0_out) OR (cin_ipd AND adder_input2) OR
(f0_out AND adder_input2);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => combout,
OutSignalName => "COMBOUT",
OutTemp => combout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_combout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_combout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_combout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_combout, TRUE),
4 => (datae_ipd'last_event, tpd_datae_combout, TRUE),
5 => (dataf_ipd'last_event, tpd_dataf_combout, TRUE),
6 => (datag_ipd'last_event, tpd_datag_combout, TRUE)),
GlitchData => combout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => sumout,
OutSignalName => "SUMOUT",
OutTemp => sumout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_sumout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_sumout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_sumout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_sumout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_sumout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_sumout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_sumout, TRUE)),
GlitchData => sumout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => cout,
OutSignalName => "COUT",
OutTemp => cout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_cout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_cout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_cout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_cout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_cout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_cout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_cout, TRUE)),
GlitchData => cout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => shareout,
OutSignalName => "SHAREOUT",
OutTemp => f2_out,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_shareout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_shareout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_shareout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_shareout, TRUE)),
GlitchData => shareout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_comb;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : ena_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for the gated clock generation
-- Powers upto 1.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_ena_reg is
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_ena_reg : entity is TRUE;
end stratixii_ena_reg;
ARCHITECTURE behave of stratixii_ena_reg is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal d_ipd : std_logic;
signal clk_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (clk_ipd, clk, tipd_clk);
end block;
VITALtiming : process (clk_ipd, prn, clrn)
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable q_reg : std_logic := '1';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "D",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((clrn) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/ENA_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk_ipd'event and clk_ipd = '1' and (ena = '1')) then
q_reg := d_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- VHDL Simulation Model for StratixII CLKCTRL Atom
--
--/////////////////////////////////////////////////////////////////////////////
--
--
-- STRATIXII_CLKCTRL Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_ena_reg;
entity stratixii_clkctrl is
generic (
clock_type : STRING := "Auto";
lpm_type : STRING := "stratixii_clkctrl";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01
);
port (
inclk : in std_logic_vector(3 downto 0) := "0000";
clkselect : in std_logic_vector(1 downto 0) := "00";
ena : in std_logic := '1';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
outclk : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_clkctrl : entity is TRUE;
end stratixii_clkctrl;
architecture vital_clkctrl of stratixii_clkctrl is
attribute VITAL_LEVEL0 of vital_clkctrl : architecture is TRUE;
component stratixii_ena_reg
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end component;
signal inclk_ipd : std_logic_vector(3 downto 0);
signal clkselect_ipd : std_logic_vector(1 downto 0);
signal ena_ipd : std_logic;
signal clkmux_out : std_logic;
signal clkmux_out_inv : std_logic;
signal cereg_clr : std_logic;
signal cereg_out : std_logic;
signal vcc : std_logic := '1';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (inclk_ipd(0), inclk(0), tipd_inclk(0));
VitalWireDelay (inclk_ipd(1), inclk(1), tipd_inclk(1));
VitalWireDelay (inclk_ipd(2), inclk(2), tipd_inclk(2));
VitalWireDelay (inclk_ipd(3), inclk(3), tipd_inclk(3));
VitalWireDelay (clkselect_ipd(0), clkselect(0), tipd_clkselect(0));
VitalWireDelay (clkselect_ipd(1), clkselect(1), tipd_clkselect(1));
end block;
process(inclk_ipd, clkselect_ipd)
variable tmp : std_logic;
begin
if (clkselect_ipd = "11") then
tmp := inclk_ipd(3);
elsif (clkselect_ipd = "10") then
tmp := inclk_ipd(2);
elsif (clkselect_ipd = "01") then
tmp := inclk_ipd(1);
else
tmp := inclk_ipd(0);
end if;
clkmux_out <= tmp;
clkmux_out_inv <= NOT tmp;
end process;
extena0_reg : stratixii_ena_reg
port map (
clk => clkmux_out_inv,
ena => vcc,
d => ena_ipd,
clrn => vcc,
prn => devpor,
q => cereg_out
);
outclk <= cereg_out AND clkmux_out;
end vital_clkctrl;
--
--
-- STRATIXII_ASYNCH_IO Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_asynch_io is
generic(
operation_mode : STRING := "input";
open_drain_output : STRING := "false";
bus_hold : STRING := "false";
dqs_input_frequency : STRING := "10000 ps";
dqs_out_mode : STRING := "none";
dqs_delay_buffer_mode : STRING := "low";
dqs_phase_shift : INTEGER := 0;
dqs_offsetctrl_enable : STRING := "false";
dqs_ctrl_latches_enable : STRING := "false";
dqs_edge_detect_enable : STRING := "false";
gated_dqs : STRING := "false";
sim_dqs_intrinsic_delay : INTEGER := 0;
sim_dqs_delay_increment : INTEGER := 0;
sim_dqs_offset_increment : INTEGER := 0;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
tpd_datain_padio : VitalDelayType01 := DefPropDelay01;
tpd_oe_padio_posedge : VitalDelayType01 := DefPropDelay01;
tpd_oe_padio_negedge : VitalDelayType01 := DefPropDelay01;
tpd_padio_combout : VitalDelayType01 := DefPropDelay01;
tpd_regin_regout : VitalDelayType01 := DefPropDelay01;
tpd_ddioregin_ddioregout : VitalDelayType01 := DefPropDelay01;
tpd_padio_dqsbusout : VitalDelayType01 := DefPropDelay01;
tpd_regin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_padio : VitalDelayType01 := DefPropDelay01;
tipd_dqsupdateen : VitalDelayType01 := DefPropDelay01;
tipd_offsetctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01));
port(
datain : in STD_LOGIC := '0';
oe : in STD_LOGIC := '1';
regin : in std_logic;
ddioregin : in std_logic;
padio : inout STD_LOGIC;
delayctrlin : in std_logic_vector(5 downto 0);
offsetctrlin : in std_logic_vector(5 downto 0);
dqsupdateen : in std_logic;
dqsbusout : out std_logic;
combout : out STD_LOGIC;
regout : out STD_LOGIC;
ddioregout : out STD_LOGIC);
attribute VITAL_LEVEL0 of stratixii_asynch_io : entity is TRUE;
end stratixii_asynch_io;
architecture behave of stratixii_asynch_io is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal datain_ipd, oe_ipd, padio_ipd: std_logic;
signal delayctrlin_in : std_logic_vector(5 downto 0);
signal offsetctrlin_in : std_logic_vector(5 downto 0);
signal dqsupdateen_in : std_logic;
signal dqs_delay_int : integer := 0;
signal tmp_dqsbusout : std_logic;
signal dqs_ctrl_latches_ena : std_logic := '1';
signal combout_tmp_sig : std_logic := '0';
signal dqsbusout_tmp_sig : std_logic := '0';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (oe_ipd, oe, tipd_oe);
VitalWireDelay (padio_ipd, padio, tipd_padio);
VitalWireDelay (delayctrlin_in(5), delayctrlin(5), tipd_delayctrlin(5));
VitalWireDelay (delayctrlin_in(4), delayctrlin(4), tipd_delayctrlin(4));
VitalWireDelay (delayctrlin_in(3), delayctrlin(3), tipd_delayctrlin(3));
VitalWireDelay (delayctrlin_in(2), delayctrlin(2), tipd_delayctrlin(2));
VitalWireDelay (delayctrlin_in(1), delayctrlin(1), tipd_delayctrlin(1));
VitalWireDelay (delayctrlin_in(0), delayctrlin(0), tipd_delayctrlin(0));
VitalWireDelay (dqsupdateen_in, dqsupdateen, tipd_dqsupdateen);
VitalWireDelay (offsetctrlin_in(5), offsetctrlin(5), tipd_offsetctrlin(5));
VitalWireDelay (offsetctrlin_in(4), offsetctrlin(4), tipd_offsetctrlin(4));
VitalWireDelay (offsetctrlin_in(3), offsetctrlin(3), tipd_offsetctrlin(3));
VitalWireDelay (offsetctrlin_in(2), offsetctrlin(2), tipd_offsetctrlin(2));
VitalWireDelay (offsetctrlin_in(1), offsetctrlin(1), tipd_offsetctrlin(1));
VitalWireDelay (offsetctrlin_in(0), offsetctrlin(0), tipd_offsetctrlin(0));
end block;
dqs_ctrl_latches_ena <= '1' when dqs_ctrl_latches_enable = "false" ELSE
dqsupdateen_in when dqs_edge_detect_enable = "false" ELSE
(not (combout_tmp_sig xor tmp_dqsbusout) and dqsupdateen_in);
process(delayctrlin_in, offsetctrlin_in, dqs_ctrl_latches_ena)
variable tmp_delayctrl : integer := 0;
variable tmp_offsetctrl : integer := 0;
begin
tmp_delayctrl := alt_conv_integer(delayctrlin_in);
if (dqs_offsetctrl_enable = "true") then
tmp_offsetctrl := alt_conv_integer(offsetctrlin_in);
else
tmp_offsetctrl := 0;
end if;
if (dqs_ctrl_latches_ena = '1') THEN
dqs_delay_int <= sim_dqs_intrinsic_delay + sim_dqs_delay_increment*tmp_delayctrl + sim_dqs_offset_increment*tmp_offsetctrl;
end if;
if ((dqs_delay_buffer_mode = "high") AND (delayctrlin_in(5) = '1')) THEN
assert false report "DELAYCTRLIN of DQS I/O exceeds 5-bit range in high-frequency mode" severity warning;
dqs_delay_int <= 0;
end if;
end process;
VITAL: process(padio_ipd, datain_ipd, oe_ipd, regin, ddioregin, tmp_dqsbusout)
variable combout_VitalGlitchData : VitalGlitchDataType;
variable dqsbusout_VitalGlitchData : VitalGlitchDataType;
variable padio_VitalGlitchData : VitalGlitchDataType;
variable regout_VitalGlitchData : VitalGlitchDataType;
variable ddioregout_VitalGlitchData : VitalGlitchDataType;
variable tmp_combout, tmp_padio : std_logic;
variable prev_value : std_logic := 'H';
variable dqsbusout_tmp : std_logic;
variable combout_delay : VitalDelayType01 := (0 ps, 0 ps);
variable init : boolean := true;
begin
if (init) then
combout_delay := tpd_padio_combout;
init := false;
end if;
if (bus_hold = "true" ) then
if ( operation_mode = "input") then
if ( padio_ipd = 'Z') then
tmp_combout := to_x01z(prev_value);
else
if ( padio_ipd = '1') then
prev_value := 'H';
elsif ( padio_ipd = '0') then
prev_value := 'L';
else
prev_value := 'W';
end if;
tmp_combout := to_x01z(padio_ipd);
end if;
tmp_padio := 'Z';
elsif ( operation_mode = "output" or operation_mode = "bidir") then
if ( oe_ipd = '1') then
if ( open_drain_output = "true" ) then
if (datain_ipd = '0') then
tmp_padio := '0';
prev_value := 'L';
elsif (datain_ipd = 'X') then
tmp_padio := 'X';
prev_value := 'W';
else -- 'Z'
-- need to update prev_value
if (padio_ipd = '1') then
prev_value := 'H';
elsif (padio_ipd = '0') then
prev_value := 'L';
elsif (padio_ipd = 'X') then
prev_value := 'W';
end if;
tmp_padio := prev_value;
end if;
else
tmp_padio := datain_ipd;
if ( datain_ipd = '1') then
prev_value := 'H';
elsif (datain_ipd = '0' ) then
prev_value := 'L';
elsif ( datain_ipd = 'X') then
prev_value := 'W';
else
prev_value := datain_ipd;
end if;
end if; -- end open_drain_output
elsif ( oe_ipd = '0' ) then
-- need to update prev_value
if (padio_ipd = '1') then
prev_value := 'H';
elsif (padio_ipd = '0') then
prev_value := 'L';
elsif (padio_ipd = 'X') then
prev_value := 'W';
end if;
tmp_padio := prev_value;
else
tmp_padio := 'X';
prev_value := 'W';
end if; -- end oe_in
if ( operation_mode = "bidir") then
tmp_combout := to_x01z(padio_ipd);
else
tmp_combout := 'Z';
end if;
end if;
if ( now <= 1 ps AND prev_value = 'W' ) then --hack for autotest to pass
prev_value := 'L';
end if;
else -- bus_hold is false
if ( operation_mode = "input") then
tmp_combout := padio_ipd;
tmp_padio := 'Z';
elsif (operation_mode = "output" or operation_mode = "bidir" ) then
if ( operation_mode = "bidir") then
tmp_combout := padio_ipd;
else
tmp_combout := 'Z';
end if;
if ( oe_ipd = '1') then
if ( open_drain_output = "true" ) then
if (datain_ipd = '0') then
tmp_padio := '0';
elsif (datain_ipd = 'X') then
tmp_padio := 'X';
else
tmp_padio := 'Z';
end if;
else
tmp_padio := datain_ipd;
end if;
elsif ( oe_ipd = '0' ) then
tmp_padio := 'Z';
else
tmp_padio := 'X';
end if;
end if;
end if; -- end bus_hold
tmp_dqsbusout <= transport tmp_combout after (dqs_delay_int * 1 ps);
if (gated_dqs = "true") then
dqsbusout_tmp := tmp_dqsbusout AND regin;
else
dqsbusout_tmp := tmp_dqsbusout;
end if;
-- for dqs delay ctrl latches enable
dqsbusout_tmp_sig <= dqsbusout_tmp;
combout_tmp_sig <= tmp_combout;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => combout,
OutSignalName => "combout",
OutTemp => tmp_combout,
Paths => (1 => (padio_ipd'last_event, combout_delay, TRUE)),
GlitchData => combout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => dqsbusout,
OutSignalName => "dqsbusout",
OutTemp => dqsbusout_tmp,
Paths => (1 => (tmp_dqsbusout'last_event, tpd_padio_dqsbusout, TRUE),
2 => (regin'last_event, tpd_regin_dqsbusout, gated_dqs = "true")),
GlitchData => dqsbusout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => padio,
OutSignalName => "padio",
OutTemp => tmp_padio,
Paths => (1 => (datain_ipd'last_event, tpd_datain_padio, TRUE),
2 => (oe_ipd'last_event, tpd_oe_padio_posedge, oe_ipd = '1'),
3 => (oe_ipd'last_event, tpd_oe_padio_negedge, oe_ipd = '0')),
GlitchData => padio_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => regout,
OutSignalName => "regout",
OutTemp => regin,
Paths => (1 => (regin'last_event, tpd_regin_regout, TRUE)),
GlitchData => regout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => ddioregout,
OutSignalName => "ddioregout",
OutTemp => ddioregin,
Paths => (1 => (ddioregin'last_event, tpd_ddioregin_ddioregout, TRUE)),
GlitchData => ddioregout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--
-- STRATIXII_IO_REGISTER
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_io_register is
generic (
async_reset : string := "none";
sync_reset : string := "none";
power_up : string := "low";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_areset_regout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01);
port (clk :in std_logic := '0';
datain : in std_logic := '0';
ena : in std_logic := '1';
sreset : in std_logic := '0';
areset : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
regout : out std_logic);
attribute VITAL_LEVEL0 of stratixii_io_register : entity is TRUE;
end stratixii_io_register;
architecture vital_io_reg of stratixii_io_register is
attribute VITAL_LEVEL0 of vital_io_reg : architecture is TRUE;
signal datain_ipd, ena_ipd, sreset_ipd : std_logic;
signal clk_ipd, areset_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
VitalWireDelay (areset_ipd, areset, tipd_areset);
end block;
VITALtiming : process(clk_ipd, datain_ipd, ena_ipd, sreset_ipd, areset_ipd, devclrn, devpor)
variable Tviol_datain_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable Tviol_sreset_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sreset_clk : VitalTimingDataType := VitalTimingDataInit;
variable regout_VitalGlitchData : VitalGlitchDataType;
variable iregout : std_logic;
variable idata : std_logic := '0';
variable tmp_regout : std_logic;
variable tmp_reset : std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
if (now = 0 ns) then
if (power_up = "low") then
iregout := '0';
elsif (power_up = "high") then
iregout := '1';
end if;
end if;
if ( async_reset /= "none") then
tmp_reset := areset_ipd; -- this is used to enable timing check.
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
CheckEnabled => TO_X01((tmp_reset) OR (NOT devpor) OR (NOT devclrn) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((tmp_reset) OR (NOT devpor) OR (NOT devclrn) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sreset_clk,
TimingData => TimingData_sreset_clk,
TestSignal => sreset_ipd,
TestSignalName => "SRESET",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sreset_clk_noedge_posedge,
SetupLow => tsetup_sreset_clk_noedge_posedge,
HoldHigh => thold_sreset_clk_noedge_posedge,
HoldLow => thold_sreset_clk_noedge_posedge,
CheckEnabled => TO_X01((tmp_reset) OR (NOT devpor) OR (NOT devclrn) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_datain_clk or Tviol_ena_clk or Tviol_sreset_clk;
if (devpor = '0') then
if (power_up = "low") then
iregout := '0';
elsif (power_up = "high") then
iregout := '1';
end if;
elsif (devclrn = '0') then
iregout := '0';
elsif (async_reset = "clear" and areset_ipd = '1') then
iregout := '0';
elsif ( async_reset = "preset" and areset_ipd = '1') then
iregout := '1';
elsif (violation = 'X') then
iregout := 'X';
elsif (ena_ipd = '1' and clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0') then
if (sync_reset = "clear" and sreset_ipd = '1' ) then
iregout := '0';
elsif (sync_reset = "preset" and sreset_ipd = '1' ) then
iregout := '1';
else
iregout := to_x01z(datain_ipd);
end if;
end if;
tmp_regout := iregout;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => regout,
OutSignalName => "REGOUT",
OutTemp => tmp_regout,
Paths => (0 => (areset_ipd'last_event, tpd_areset_regout_posedge, async_reset /= "none"),
1 => (clk_ipd'last_event, tpd_clk_regout_posedge, TRUE)),
GlitchData => regout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_io_reg;
--
-- STRATIXII_IO
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_asynch_io;
use work.stratixii_io_register;
use work.stratixii_mux21;
use work.stratixii_and1;
entity stratixii_io is
generic (
operation_mode : string := "input";
ddio_mode : string := "none";
open_drain_output : string := "false";
bus_hold : string := "false";
output_register_mode : string := "none";
output_async_reset : string := "none";
output_power_up : string := "low";
output_sync_reset : string := "none";
tie_off_output_clock_enable : string := "false";
oe_register_mode : string := "none";
oe_async_reset : string := "none";
oe_power_up : string := "low";
oe_sync_reset : string := "none";
tie_off_oe_clock_enable : string := "false";
input_register_mode : string := "none";
input_async_reset : string := "none";
input_power_up : string := "low";
input_sync_reset : string := "none";
extend_oe_disable : string := "false";
dqs_input_frequency : string := "10000 ps";
dqs_out_mode : string := "none";
dqs_delay_buffer_mode : string := "low";
dqs_phase_shift : integer := 0;
inclk_input : string := "normal";
ddioinclk_input : string := "negated_inclk";
dqs_offsetctrl_enable : string := "false";
dqs_ctrl_latches_enable : string := "false";
dqs_edge_detect_enable : string := "false";
gated_dqs : string := "false";
sim_dqs_intrinsic_delay : integer := 0;
sim_dqs_delay_increment : integer := 0;
sim_dqs_offset_increment : integer := 0;
lpm_type : string := "stratixii_io"
);
port (
datain : in std_logic := '0';
ddiodatain : in std_logic := '0';
oe : in std_logic := '1';
outclk : in std_logic := '0';
outclkena : in std_logic := '1';
inclk : in std_logic := '0';
inclkena : in std_logic := '1';
areset : in std_logic := '0';
sreset : in std_logic := '0';
ddioinclk : in std_logic := '0';
delayctrlin : in std_logic_vector(5 downto 0) := "000000";
offsetctrlin : in std_logic_vector(5 downto 0) := "000000";
dqsupdateen : in std_logic := '0';
linkin : in std_logic := '0';
terminationcontrol : in std_logic_vector(13 downto 0) := "00000000000000";
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
devoe : in std_logic := '0';
padio : inout std_logic;
combout : out std_logic;
regout : out std_logic;
ddioregout : out std_logic;
dqsbusout : out std_logic;
linkout : out std_logic
);
end stratixii_io;
architecture structure of stratixii_io is
component stratixii_asynch_io
generic(
operation_mode : string := "input";
open_drain_output : string := "false";
bus_hold : string := "false";
dqs_input_frequency : string := "10000 ps";
dqs_out_mode : string := "none";
dqs_delay_buffer_mode : string := "low";
dqs_phase_shift : integer := 0;
dqs_offsetctrl_enable : string := "false";
dqs_ctrl_latches_enable : string := "false";
dqs_edge_detect_enable : string := "false";
gated_dqs : string := "false";
sim_dqs_intrinsic_delay : integer := 0;
sim_dqs_delay_increment : integer := 0;
sim_dqs_offset_increment : integer := 0);
port(
datain : in STD_LOGIC := '0';
oe : in STD_LOGIC := '1';
regin : in std_logic;
ddioregin : in std_logic;
padio : inout STD_LOGIC;
delayctrlin : in std_logic_vector(5 downto 0);
offsetctrlin : in std_logic_vector(5 downto 0);
dqsupdateen : in std_logic;
dqsbusout : out std_logic;
combout: out STD_LOGIC;
regout : out STD_LOGIC;
ddioregout : out STD_LOGIC);
end component;
component stratixii_io_register
generic(async_reset : string := "none";
sync_reset : string := "none";
power_up : string := "low";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_areset_regout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01);
port(clk :in std_logic := '0';
datain : in std_logic := '0';
ena : in std_logic := '1';
sreset : in std_logic := '0';
areset : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
regout : out std_logic);
end component;
component stratixii_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01);
port ( A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic);
end component;
component stratixii_and1
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01);
port( Y : out STD_LOGIC;
IN1 : in STD_LOGIC);
end component;
signal oe_out : std_logic;
signal in_reg_out, in_ddio0_reg_out, in_ddio1_reg_out: std_logic;
signal oe_reg_out, oe_pulse_reg_out : std_logic;
signal out_reg_out, out_ddio_reg_out: std_logic;
signal tmp_datain : std_logic;
signal not_inclk, not_outclk : std_logic;
-- for DDIO
signal ddio_data : std_logic;
signal outclk_delayed : std_logic;
signal out_clk_ena, oe_clk_ena : std_logic;
begin
not_inclk <= (ddioinclk) WHEN (ddioinclk_input = "dqsb_bus") ELSE (not inclk);
not_outclk <= not outclk;
out_clk_ena <= '1' WHEN tie_off_output_clock_enable = "true" ELSE outclkena;
oe_clk_ena <= '1' WHEN tie_off_oe_clock_enable = "true" ELSE outclkena;
--input register
in_reg : stratixii_io_register
generic map ( ASYNC_RESET => input_async_reset,
SYNC_RESET => input_sync_reset,
POWER_UP => input_power_up)
port map ( regout => in_reg_out,
clk => inclk,
ena => inclkena,
datain => padio,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- in_ddio0_reg
in_ddio0_reg : stratixii_io_register
generic map ( ASYNC_RESET => input_async_reset,
SYNC_RESET => input_sync_reset,
POWER_UP => input_power_up)
port map (regout => in_ddio0_reg_out,
clk => not_inclk,
ena => inclkena,
datain => padio,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- in_ddio1_reg
in_ddio1_reg : stratixii_io_register
generic map ( ASYNC_RESET => input_async_reset,
SYNC_RESET => "none", -- this register does not have sync_reset
POWER_UP => input_power_up)
port map (regout => in_ddio1_reg_out,
clk => inclk,
ena => inclkena,
datain => in_ddio0_reg_out,
areset => areset,
devpor => devpor,
devclrn => devclrn);
-- out_reg
out_reg : stratixii_io_register
generic map ( ASYNC_RESET => output_async_reset,
SYNC_RESET => output_sync_reset,
POWER_UP => output_power_up)
port map (regout => out_reg_out,
clk => outclk,
ena => out_clk_ena,
datain => datain,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- out ddio reg
out_ddio_reg : stratixii_io_register
generic map ( ASYNC_RESET => output_async_reset,
SYNC_RESET => output_sync_reset,
POWER_UP => output_power_up)
port map (regout => out_ddio_reg_out,
clk => outclk,
ena => out_clk_ena,
datain => ddiodatain,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- oe reg
oe_reg : stratixii_io_register
generic map (ASYNC_RESET => oe_async_reset,
SYNC_RESET => oe_sync_reset,
POWER_UP => oe_power_up)
port map (regout => oe_reg_out,
clk => outclk,
ena => oe_clk_ena,
datain => oe,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- oe_pulse reg
oe_pulse_reg : stratixii_io_register
generic map (ASYNC_RESET => oe_async_reset,
SYNC_RESET => oe_sync_reset,
POWER_UP => oe_power_up)
port map (regout => oe_pulse_reg_out,
clk => not_outclk,
ena => oe_clk_ena,
datain => oe_reg_out,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
oe_out <= (oe_pulse_reg_out and oe_reg_out) WHEN (extend_oe_disable = "true") ELSE oe_reg_out WHEN (oe_register_mode = "register") ELSE oe;
sel_delaybuf : stratixii_and1
port map (Y => outclk_delayed,
IN1 => outclk);
ddio_data_mux : stratixii_mux21
port map (MO => ddio_data,
A => out_ddio_reg_out,
B => out_reg_out,
S => outclk_delayed);
tmp_datain <= ddio_data WHEN (ddio_mode = "output" or ddio_mode = "bidir") ELSE
out_reg_out WHEN (output_register_mode = "register") ELSE
datain;
-- timing info in case output and/or input are not registered.
inst1 : stratixii_asynch_io
generic map ( OPERATION_MODE => operation_mode,
OPEN_DRAIN_OUTPUT => open_drain_output,
BUS_HOLD => bus_hold,
dqs_input_frequency => dqs_input_frequency,
dqs_out_mode => dqs_out_mode,
dqs_delay_buffer_mode => dqs_delay_buffer_mode,
dqs_phase_shift => dqs_phase_shift,
dqs_offsetctrl_enable => dqs_offsetctrl_enable,
dqs_ctrl_latches_enable => dqs_ctrl_latches_enable,
dqs_edge_detect_enable => dqs_edge_detect_enable,
gated_dqs => gated_dqs,
sim_dqs_intrinsic_delay => sim_dqs_intrinsic_delay,
sim_dqs_delay_increment => sim_dqs_delay_increment,
sim_dqs_offset_increment => sim_dqs_offset_increment)
port map( datain => tmp_datain,
oe => oe_out,
regin => in_reg_out,
ddioregin => in_ddio1_reg_out,
padio => padio,
delayctrlin => delayctrlin,
offsetctrlin => offsetctrlin,
dqsupdateen => dqsupdateen,
dqsbusout => dqsbusout,
combout => combout,
regout => regout,
ddioregout => ddioregout);
end structure;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_mn_cntr
--
-- Description : Timing simulation model for the M and N counter. This is a
-- common model for the input counter and the loop feedback
-- counter of the StratixII PLL.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY stratixii_mn_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END stratixii_mn_cntr;
ARCHITECTURE behave of stratixii_mn_cntr is
begin
process (clk, reset)
variable count : integer := 1;
variable first_rising_edge : boolean := true;
variable tmp_cout : std_logic;
begin
if (reset = '1') then
count := 1;
tmp_cout := '0';
first_rising_edge := true;
elsif (clk'event and clk = '1' and first_rising_edge) then
first_rising_edge := false;
tmp_cout := clk;
elsif (not first_rising_edge) then
if (count < modulus) then
count := count + 1;
else
count := 1;
tmp_cout := not tmp_cout;
end if;
end if;
cout <= transport tmp_cout after time_delay * 1 ps;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_scale_cntr
--
-- Description : Timing simulation model for the output scale-down counters.
-- This is a common model for the C0, C1, C2, C3, C4 and C5
-- output counters of the StratixII PLL.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY stratixii_scale_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0;
cout : OUT std_logic
);
END stratixii_scale_cntr;
ARCHITECTURE behave of stratixii_scale_cntr is
begin
process (clk, reset)
variable tmp_cout : std_logic := '0';
variable count : integer := 1;
variable output_shift_count : integer := 1;
variable first_rising_edge : boolean := false;
begin
if (reset = '1') then
count := 1;
output_shift_count := 1;
tmp_cout := '0';
first_rising_edge := false;
elsif (clk'event) then
if (mode = " off") then
tmp_cout := '0';
elsif (mode = "bypass") then
tmp_cout := clk;
first_rising_edge := true;
elsif (not first_rising_edge) then
if (clk = '1') then
if (output_shift_count = initial) then
tmp_cout := clk;
first_rising_edge := true;
else
output_shift_count := output_shift_count + 1;
end if;
end if;
elsif (output_shift_count < initial) then
if (clk = '1') then
output_shift_count := output_shift_count + 1;
end if;
else
count := count + 1;
if (mode = " even" and (count = (high*2) + 1)) then
tmp_cout := '0';
elsif (mode = " odd" and (count = high*2)) then
tmp_cout := '0';
elsif (count = (high + low)*2 + 1) then
tmp_cout := '1';
count := 1; -- reset count
end if;
end if;
end if;
cout <= transport tmp_cout;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_pll_reg
--
-- Description : Simulation model for a simple DFF.
-- This is required for the generation of the bit slip-signals.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
ENTITY stratixii_pll_reg is
PORT( clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end stratixii_pll_reg;
ARCHITECTURE behave of stratixii_pll_reg is
begin
process (clk, prn, clrn)
variable q_reg : std_logic := '0';
begin
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk'event and clk = '1' and (ena = '1')) then
q_reg := D;
end if;
Q <= q_reg;
end process;
end behave;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_pll
--
-- Description : Timing simulation model for the StratixII PLL.
-- In the functional mode, it is also the model for the altpll
-- megafunction.
--
-- Limitations : Does not support Spread Spectrum and Bandwidth.
--
-- Outputs : Up to 6 output clocks, each defined by its own set of
-- parameters. Locked output (active high) indicates when the
-- PLL locks. clkbad, clkloss and activeclock are used for
-- clock switchover to indicate which input clock has gone
-- bad, when the clock switchover initiates and which input
-- clock is being used as the reference, respectively.
-- scandataout is the data output of the serial scan chain.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE STD.TEXTIO.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_pllpack.all;
USE work.stratixii_mn_cntr;
USE work.stratixii_scale_cntr;
USE work.stratixii_dffe;
USE work.stratixii_pll_reg;
ENTITY stratixii_pll is
GENERIC (
operation_mode : string := "normal";
pll_type : string := "auto"; -- EGPP/FAST/AUTO
compensate_clock : string := "clk0";
feedback_source : string := "clk0";
qualify_conf_done : string := "off";
test_input_comp_delay : integer := 0;
test_feedback_comp_delay : integer := 0;
inclk0_input_frequency : integer := 10000;
inclk1_input_frequency : integer := 10000;
gate_lock_signal : string := "no";
gate_lock_counter : integer := 1;
self_reset_on_gated_loss_lock : string := "off";
valid_lock_multiplier : integer := 1;
invalid_lock_multiplier : integer := 5;
switch_over_type : string := "auto";
switch_over_on_lossclk : string := "off";
switch_over_on_gated_lock : string := "off";
switch_over_counter : integer := 1;
enable_switch_over_counter : string := "on";
bandwidth : integer := 0;
bandwidth_type : string := "auto";
down_spread : string := "0.0";
spread_frequency : integer := 0;
clk0_output_frequency : integer := 0;
clk0_multiply_by : integer := 1;
clk0_divide_by : integer := 1;
clk0_phase_shift : string := "0";
clk0_duty_cycle : integer := 50;
clk1_output_frequency : integer := 0;
clk1_multiply_by : integer := 1;
clk1_divide_by : integer := 1;
clk1_phase_shift : string := "0";
clk1_duty_cycle : integer := 50;
clk2_output_frequency : integer := 0;
clk2_multiply_by : integer := 1;
clk2_divide_by : integer := 1;
clk2_phase_shift : string := "0";
clk2_duty_cycle : integer := 50;
clk3_output_frequency : integer := 0;
clk3_multiply_by : integer := 1;
clk3_divide_by : integer := 1;
clk3_phase_shift : string := "0";
clk3_duty_cycle : integer := 50;
clk4_output_frequency : integer := 0;
clk4_multiply_by : integer := 1;
clk4_divide_by : integer := 1;
clk4_phase_shift : string := "0";
clk4_duty_cycle : integer := 50;
clk5_output_frequency : integer := 0;
clk5_multiply_by : integer := 1;
clk5_divide_by : integer := 1;
clk5_phase_shift : string := "0";
clk5_duty_cycle : integer := 50;
pfd_min : integer := 0;
pfd_max : integer := 0;
vco_min : integer := 0;
vco_max : integer := 0;
vco_center : integer := 0;
-- ADVANCED USER PARAMETERS
m_initial : integer := 1;
m : integer := 1;
n : integer := 1;
m2 : integer := 1;
n2 : integer := 1;
ss : integer := 0;
c0_high : integer := 1;
c0_low : integer := 1;
c0_initial : integer := 1;
c0_mode : string := "bypass";
c0_ph : integer := 0;
c1_high : integer := 1;
c1_low : integer := 1;
c1_initial : integer := 1;
c1_mode : string := "bypass";
c1_ph : integer := 0;
c2_high : integer := 1;
c2_low : integer := 1;
c2_initial : integer := 1;
c2_mode : string := "bypass";
c2_ph : integer := 0;
c3_high : integer := 1;
c3_low : integer := 1;
c3_initial : integer := 1;
c3_mode : string := "bypass";
c3_ph : integer := 0;
c4_high : integer := 1;
c4_low : integer := 1;
c4_initial : integer := 1;
c4_mode : string := "bypass";
c4_ph : integer := 0;
c5_high : integer := 1;
c5_low : integer := 1;
c5_initial : integer := 1;
c5_mode : string := "bypass";
c5_ph : integer := 0;
m_ph : integer := 0;
clk0_counter : string := "c0";
clk1_counter : string := "c1";
clk2_counter : string := "c2";
clk3_counter : string := "c3";
clk4_counter : string := "c4";
clk5_counter : string := "c5";
c1_use_casc_in : string := "off";
c2_use_casc_in : string := "off";
c3_use_casc_in : string := "off";
c4_use_casc_in : string := "off";
c5_use_casc_in : string := "off";
m_test_source : integer := 5;
c0_test_source : integer := 5;
c1_test_source : integer := 5;
c2_test_source : integer := 5;
c3_test_source : integer := 5;
c4_test_source : integer := 5;
c5_test_source : integer := 5;
-- LVDS mode parameters
enable0_counter : string := "c0";
enable1_counter : string := "c1";
sclkout0_phase_shift : string := "0";
sclkout1_phase_shift : string := "0";
charge_pump_current : integer := 0;
loop_filter_r : string := " 1.000000";
loop_filter_c : integer := 1;
common_rx_tx : string := "off";
rx_outclock_resource : string := "auto";
use_vco_bypass : string := "false";
use_dc_coupling : string := "false";
pll_compensation_delay : integer := 0;
simulation_type : string := "functional";
lpm_type : string := "stratixii_pll";
clk0_use_even_counter_mode : string := "off";
clk1_use_even_counter_mode : string := "off";
clk2_use_even_counter_mode : string := "off";
clk3_use_even_counter_mode : string := "off";
clk4_use_even_counter_mode : string := "off";
clk5_use_even_counter_mode : string := "off";
clk0_use_even_counter_value : string := "off";
clk1_use_even_counter_value : string := "off";
clk2_use_even_counter_value : string := "off";
clk3_use_even_counter_value : string := "off";
clk4_use_even_counter_value : string := "off";
clk5_use_even_counter_value : string := "off";
vco_multiply_by : integer := 0;
vco_divide_by : integer := 0;
vco_post_scale : integer := 1;
-- VITAL generics
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_pfdena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_fbin : VitalDelayType01 := DefPropDelay01;
tipd_scanclk : VitalDelayType01 := DefPropDelay01;
tipd_scanread : VitalDelayType01 := DefPropDelay01;
tipd_scandata : VitalDelayType01 := DefPropDelay01;
tipd_scanwrite : VitalDelayType01 := DefPropDelay01;
tipd_clkswitch : VitalDelayType01 := DefPropDelay01;
tsetup_scandata_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_scandata_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanread_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_scanread_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanwrite_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_scanwrite_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst
);
PORT
(
inclk : in std_logic_vector(1 downto 0);
fbin : in std_logic := '0';
ena : in std_logic := '1';
clkswitch : in std_logic := '0';
areset : in std_logic := '0';
pfdena : in std_logic := '1';
scanread : in std_logic := '0';
scanwrite : in std_logic := '0';
scandata : in std_logic := '0';
scanclk : in std_logic := '0';
testin : in std_logic_vector(3 downto 0) := "0000";
clk : out std_logic_vector(5 downto 0);
clkbad : out std_logic_vector(1 downto 0);
activeclock : out std_logic;
locked : out std_logic;
clkloss : out std_logic;
scandataout : out std_logic;
scandone : out std_logic;
testupout : out std_logic;
testdownout : out std_logic;
-- lvds specific ports
enable0 : out std_logic;
enable1 : out std_logic;
sclkout : out std_logic_vector(1 downto 0)
);
END stratixii_pll;
ARCHITECTURE vital_pll of stratixii_pll is
TYPE int_array is ARRAY(NATURAL RANGE <>) of integer;
TYPE str_array is ARRAY(NATURAL RANGE <>) of string(1 to 6);
TYPE str_array1 is ARRAY(NATURAL RANGE <>) of string(1 to 9);
TYPE std_logic_array is ARRAY(NATURAL RANGE <>) of std_logic;
-- internal advanced parameter signals
signal i_vco_min : integer;
signal i_vco_max : integer;
signal i_vco_center : integer;
signal i_pfd_min : integer;
signal i_pfd_max : integer;
signal c_ph_val : int_array(0 to 5) := (OTHERS => 0);
signal c_high_val : int_array(0 to 5) := (OTHERS => 1);
signal c_low_val : int_array(0 to 5) := (OTHERS => 1);
signal c_initial_val : int_array(0 to 5) := (OTHERS => 1);
signal c_mode_val : str_array(0 to 5);
-- old values
signal c_high_val_old : int_array(0 to 5) := (OTHERS => 1);
signal c_low_val_old : int_array(0 to 5) := (OTHERS => 1);
signal c_ph_val_old : int_array(0 to 5) := (OTHERS => 0);
signal c_mode_val_old : str_array(0 to 5);
-- hold registers
signal c_high_val_hold : int_array(0 to 5) := (OTHERS => 1);
signal c_low_val_hold : int_array(0 to 5) := (OTHERS => 1);
signal c_ph_val_hold : int_array(0 to 5) := (OTHERS => 0);
signal c_mode_val_hold : str_array(0 to 5);
-- temp registers
signal sig_c_ph_val_tmp : int_array(0 to 5) := (OTHERS => 0);
signal sig_c_low_val_tmp : int_array(0 to 5) := (OTHERS => 1);
signal c_ph_val_orig : int_array(0 to 5) := (OTHERS => 0);
--signal i_clk5_counter : string(1 to 2) := "c5";
--signal i_clk4_counter : string(1 to 2) := "c4";
--signal i_clk3_counter : string(1 to 2) := "c3";
--signal i_clk2_counter : string(1 to 2) := "c2";
--signal i_clk1_counter : string(1 to 2) := "c1";
--signal i_clk0_counter : string(1 to 2) := "c0";
signal i_clk5_counter : integer := 5;
signal i_clk4_counter : integer := 4;
signal i_clk3_counter : integer := 3;
signal i_clk2_counter : integer := 2;
signal i_clk1_counter : integer := 1;
signal i_clk0_counter : integer := 0;
signal i_charge_pump_current : integer;
signal i_loop_filter_r : integer;
-- end internal advanced parameter signals
-- CONSTANTS
CONSTANT GPP_SCAN_CHAIN : integer := 174;
CONSTANT FAST_SCAN_CHAIN : integer := 75;
CONSTANT cntrs : str_array(5 downto 0) := (" C5", " C4", " C3", " C2", " C1", " C0");
CONSTANT ss_cntrs : str_array(0 to 3) := (" M", " M2", " N", " N2");
CONSTANT loop_filter_c_arr : int_array(0 to 3) := (57, 16, 36, 5);
CONSTANT fpll_loop_filter_c_arr : int_array(0 to 3) := (18, 13, 8, 2);
CONSTANT charge_pump_curr_arr : int_array(0 to 15) := (6, 12, 30, 36, 52, 57, 72, 77, 92, 96, 110, 114, 127, 131, 144, 148);
CONSTANT loop_filter_r_arr : str_array1(0 to 39) := (" 1.000000", " 1.500000", " 2.000000", " 2.500000", " 3.000000", " 3.500000", " 4.000000", " 4.500000", " 5.000000", " 5.500000", " 6.000000", " 6.500000", " 7.000000", " 7.500000", " 8.000000", " 8.500000", " 9.000000", " 9.500000", "10.000000", "10.500000", "11.000000", "11.500000", "12.000000", "12.500000", "13.000000", "13.500000", "14.000000", "14.500000", "15.000000", "15.500000", "16.000000", "16.500000", "17.000000", "17.500000", "18.000000", "18.500000", "19.000000", "19.500000", "20.000000", "20.500000");
-- signals
signal vcc : std_logic := '1';
signal fbclk : std_logic;
signal refclk : std_logic;
--signal c0_clk : std_logic;
--signal c1_clk : std_logic;
--signal c2_clk : std_logic;
--signal c3_clk : std_logic;
--signal c4_clk : std_logic;
--signal c5_clk : std_logic;
signal c_clk : std_logic_array(0 to 5);
signal vco_out : std_logic_vector(7 downto 0) := (OTHERS => '0');
-- signals to assign values to counter params
signal m_val : int_array(0 to 1) := (OTHERS => 1);
signal n_val : int_array(0 to 1) := (OTHERS => 1);
signal m_ph_val : integer := 0;
signal m_initial_val : integer := m_initial;
signal m_mode_val : str_array(0 to 1) := (OTHERS => " ");
signal n_mode_val : str_array(0 to 1) := (OTHERS => " ");
signal lfc_val : integer := 0;
signal cp_curr_val : integer := 0;
signal lfr_val : string(1 to 9) := " ";
-- old values
signal m_val_old : int_array(0 to 1) := (OTHERS => 1);
signal n_val_old : int_array(0 to 1) := (OTHERS => 1);
signal m_mode_val_old : str_array(0 to 1) := (OTHERS => " ");
signal n_mode_val_old : str_array(0 to 1) := (OTHERS => " ");
signal m_ph_val_old : integer := 0;
signal lfc_old : integer := 0;
signal cp_curr_old : integer := 0;
signal lfr_old : string(1 to 9) := " ";
signal num_output_cntrs : integer := 6;
signal scan_data : std_logic_vector(173 downto 0) := (OTHERS => '0');
signal clk0_tmp : std_logic;
signal clk1_tmp : std_logic;
signal clk2_tmp : std_logic;
signal clk3_tmp : std_logic;
signal clk4_tmp : std_logic;
signal clk5_tmp : std_logic;
signal sclkout0_tmp : std_logic;
signal sclkout1_tmp : std_logic;
signal clkin : std_logic := '0';
signal gate_locked : std_logic := '0';
signal lock : std_logic := '0';
signal about_to_lock : boolean := false;
signal reconfig_err : boolean := false;
signal inclk_c0 : std_logic;
signal inclk_c1 : std_logic;
signal inclk_c2 : std_logic;
signal inclk_c3 : std_logic;
signal inclk_c4 : std_logic;
signal inclk_c5 : std_logic;
signal inclk_m : std_logic;
signal devpor : std_logic;
signal devclrn : std_logic;
signal inclk0_ipd : std_logic;
signal inclk1_ipd : std_logic;
signal ena_ipd : std_logic;
signal pfdena_ipd : std_logic;
signal areset_ipd : std_logic;
signal fbin_ipd : std_logic;
signal scanclk_ipd : std_logic;
signal scanread_ipd : std_logic;
signal scanwrite_ipd : std_logic;
signal scandata_ipd : std_logic;
signal clkswitch_ipd : std_logic;
-- registered signals
signal scanread_reg : std_logic := '0';
signal scanwrite_reg : std_logic := '0';
signal scanwrite_enabled : std_logic := '0';
signal gated_scanclk : std_logic := '1';
signal inclk_c0_dly1 : std_logic := '0';
signal inclk_c0_dly2 : std_logic := '0';
signal inclk_c0_dly3 : std_logic := '0';
signal inclk_c0_dly4 : std_logic := '0';
signal inclk_c0_dly5 : std_logic := '0';
signal inclk_c0_dly6 : std_logic := '0';
signal inclk_c1_dly1 : std_logic := '0';
signal inclk_c1_dly2 : std_logic := '0';
signal inclk_c1_dly3 : std_logic := '0';
signal inclk_c1_dly4 : std_logic := '0';
signal inclk_c1_dly5 : std_logic := '0';
signal inclk_c1_dly6 : std_logic := '0';
signal sig_offset : time := 0 ps;
signal sig_refclk_time : time := 0 ps;
signal sig_fbclk_period : time := 0 ps;
signal sig_vco_period_was_phase_adjusted : boolean := false;
signal sig_phase_adjust_was_scheduled : boolean := false;
signal sig_stop_vco : std_logic := '0';
signal sig_m_times_vco_period : time := 0 ps;
signal sig_new_m_times_vco_period : time := 0 ps;
signal sig_got_refclk_posedge : boolean := false;
signal sig_got_fbclk_posedge : boolean := false;
signal sig_got_second_refclk : boolean := false;
signal m_delay : integer := 0;
signal n_delay : integer := 0;
signal inclk1_tmp : std_logic := '0';
signal ext_fbk_cntr_high : integer := 0;
signal ext_fbk_cntr_low : integer := 0;
signal ext_fbk_cntr_ph : integer := 0;
signal ext_fbk_cntr_initial : integer := 1;
signal ext_fbk_cntr : string(1 to 2) := "c0";
signal ext_fbk_cntr_mode : string(1 to 6) := "bypass";
signal ext_fbk_cntr_index : integer := 0;
signal enable0_tmp : std_logic := '0';
signal enable1_tmp : std_logic := '0';
signal reset_low : std_logic := '0';
signal scandataout_tmp : std_logic := '0';
signal scandone_tmp : std_logic := '0';
signal sig_refclk_period : time := (inclk0_input_frequency * 1 ps) * n;
signal schedule_vco : std_logic := '0';
signal areset_ena_sig : std_logic := '0';
signal pll_in_test_mode : boolean := false;
signal inclk_c_from_vco : std_logic_array(0 to 5);
signal inclk_m_from_vco : std_logic;
signal inclk_sclkout0_from_vco : std_logic;
signal inclk_sclkout1_from_vco : std_logic;
COMPONENT stratixii_mn_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END COMPONENT;
COMPONENT stratixii_scale_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0
);
END COMPONENT;
COMPONENT stratixii_dffe
GENERIC(
TimingChecksOn: Boolean := true;
InstancePath: STRING := "*";
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
COMPONENT stratixii_pll_reg
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (inclk0_ipd, inclk(0), tipd_inclk(0));
VitalWireDelay (inclk1_ipd, inclk(1), tipd_inclk(1));
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (fbin_ipd, fbin, tipd_fbin);
VitalWireDelay (pfdena_ipd, pfdena, tipd_pfdena);
VitalWireDelay (scanclk_ipd, scanclk, tipd_scanclk);
VitalWireDelay (scanread_ipd, scanread, tipd_scanread);
VitalWireDelay (scandata_ipd, scandata, tipd_scandata);
VitalWireDelay (scanwrite_ipd, scanwrite, tipd_scanwrite);
VitalWireDelay (clkswitch_ipd, clkswitch, tipd_clkswitch);
end block;
inclk_m <= clkin when m_test_source = 0 else
clk0_tmp when operation_mode = "external_feedback" and feedback_source = "clk0" else
clk1_tmp when operation_mode = "external_feedback" and feedback_source = "clk1" else
clk2_tmp when operation_mode = "external_feedback" and feedback_source = "clk2" else
clk3_tmp when operation_mode = "external_feedback" and feedback_source = "clk3" else
clk4_tmp when operation_mode = "external_feedback" and feedback_source = "clk4" else
clk5_tmp when operation_mode = "external_feedback" and feedback_source = "clk5" else
inclk_m_from_vco;
ext_fbk_cntr_high <= c_high_val(ext_fbk_cntr_index);
ext_fbk_cntr_low <= c_low_val(ext_fbk_cntr_index);
ext_fbk_cntr_ph <= c_ph_val(ext_fbk_cntr_index);
ext_fbk_cntr_initial <= c_initial_val(ext_fbk_cntr_index);
ext_fbk_cntr_mode <= c_mode_val(ext_fbk_cntr_index);
areset_ena_sig <= areset_ipd or (not ena_ipd) or sig_stop_vco;
pll_in_test_mode <= true when m_test_source /= 5 or c0_test_source /= 5 or
c1_test_source /= 5 or c2_test_source /= 5 or
c3_test_source /= 5 or c4_test_source /= 5 or
c5_test_source /= 5 else
false;
m1 : stratixii_mn_cntr
port map ( clk => inclk_m,
reset => areset_ena_sig,
cout => fbclk,
initial_value => m_initial_val,
modulus => m_val(0),
time_delay => m_delay
);
-- add delta delay to inclk1 to ensure inclk0 and inclk1 are processed
-- in different simulation deltas.
inclk1_tmp <= inclk1_ipd;
process (inclk0_ipd, inclk1_tmp, clkswitch_ipd)
variable input_value : std_logic := '0';
variable current_clock : integer := 0;
variable clk0_count, clk1_count : integer := 0;
variable clk0_is_bad, clk1_is_bad : std_logic := '0';
variable primary_clk_is_bad : boolean := false;
variable current_clk_is_bad : boolean := false;
variable got_curr_clk_falling_edge_after_clkswitch : boolean := false;
variable switch_over_count : integer := 0;
variable active_clock : std_logic := '0';
variable external_switch : boolean := false;
begin
if (now = 0 ps) then
if (switch_over_type = "manual" and clkswitch_ipd = '1') then
current_clock := 1;
active_clock := '1';
end if;
end if;
if (clkswitch_ipd'event and clkswitch_ipd = '1' and switch_over_type = "auto") then
external_switch := true;
elsif (switch_over_type = "manual") then
if (clkswitch_ipd'event and clkswitch_ipd = '1') then
current_clock := 1;
active_clock := '1';
clkin <= transport inclk1_tmp;
elsif (clkswitch_ipd'event and clkswitch_ipd = '0') then
current_clock := 0;
active_clock := '0';
clkin <= transport inclk0_ipd;
end if;
end if;
-- save the current inclk event value
if (inclk0_ipd'event) then
input_value := inclk0_ipd;
elsif (inclk1_tmp'event) then
input_value := inclk1_tmp;
end if;
-- check if either input clk is bad
if (inclk0_ipd'event and inclk0_ipd = '1') then
clk0_count := clk0_count + 1;
clk0_is_bad := '0';
clk1_count := 0;
if (clk0_count > 2) then
-- no event on other clk for 2 cycles
clk1_is_bad := '1';
if (current_clock = 1) then
current_clk_is_bad := true;
end if;
end if;
end if;
if (inclk1_tmp'event and inclk1_tmp = '1') then
clk1_count := clk1_count + 1;
clk1_is_bad := '0';
clk0_count := 0;
if (clk1_count > 2) then
-- no event on other clk for 2 cycles
clk0_is_bad := '1';
if (current_clock = 0) then
current_clk_is_bad := true;
end if;
end if;
end if;
-- check if the bad clk is the primary clock
if (clk0_is_bad = '1') then
primary_clk_is_bad := true;
else
primary_clk_is_bad := false;
end if;
-- actual switching
if (inclk0_ipd'event and current_clock = 0) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk0_ipd = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk0_ipd;
end if;
else
clkin <= transport inclk0_ipd;
end if;
elsif (inclk1_tmp'event and current_clock = 1) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk1_tmp = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk1_tmp;
end if;
else
clkin <= transport inclk1_tmp;
end if;
else
if (input_value = '1' and switch_over_on_lossclk = "on" and enable_switch_over_counter = "on" and primary_clk_is_bad) then
switch_over_count := switch_over_count + 1;
end if;
if (input_value = '0') then
if (external_switch and (got_curr_clk_falling_edge_after_clkswitch or current_clk_is_bad)) or (switch_over_on_lossclk = "on" and primary_clk_is_bad and (enable_switch_over_counter = "off" or switch_over_count = switch_over_counter)) then
got_curr_clk_falling_edge_after_clkswitch := false;
if (current_clock = 0) then
current_clock := 1;
else
current_clock := 0;
end if;
active_clock := not active_clock;
switch_over_count := 0;
external_switch := false;
current_clk_is_bad := false;
end if;
end if;
end if;
-- schedule outputs
clkbad(0) <= clk0_is_bad;
clkbad(1) <= clk1_is_bad;
if (switch_over_on_lossclk = "on" and clkswitch_ipd /= '1') then
if (primary_clk_is_bad) then
-- assert clkloss
clkloss <= '1';
else
clkloss <= '0';
end if;
else
clkloss <= clkswitch_ipd;
end if;
activeclock <= active_clock;
end process;
process (inclk_sclkout0_from_vco)
begin
sclkout0_tmp <= inclk_sclkout0_from_vco;
end process;
process (inclk_sclkout1_from_vco)
begin
sclkout1_tmp <= inclk_sclkout1_from_vco;
end process;
n1 : stratixii_mn_cntr
port map (
clk => clkin,
reset => areset_ipd,
cout => refclk,
initial_value => n_val(0),
modulus => n_val(0));
inclk_c0 <= clkin when c0_test_source = 0 else
refclk when c0_test_source = 1 else
inclk_c_from_vco(0);
c0 : stratixii_scale_cntr
port map (
clk => inclk_c0,
reset => areset_ena_sig,
cout => c_clk(0),
initial => c_initial_val(0),
high => c_high_val(0),
low => c_low_val(0),
mode => c_mode_val(0),
ph_tap => c_ph_val(0));
inclk_c1 <= clkin when c1_test_source = 0 else
fbclk when c1_test_source = 2 else
c_clk(0) when c1_use_casc_in = "on" else
inclk_c_from_vco(1);
c1 : stratixii_scale_cntr
port map (
clk => inclk_c1,
reset => areset_ena_sig,
cout => c_clk(1),
initial => c_initial_val(1),
high => c_high_val(1),
low => c_low_val(1),
mode => c_mode_val(1),
ph_tap => c_ph_val(1));
inclk_c2 <= clkin when c2_test_source = 0 else
c_clk(1) when c2_use_casc_in = "on" else
inclk_c_from_vco(2);
c2 : stratixii_scale_cntr
port map (
clk => inclk_c2,
reset => areset_ena_sig,
cout => c_clk(2),
initial => c_initial_val(2),
high => c_high_val(2),
low => c_low_val(2),
mode => c_mode_val(2),
ph_tap => c_ph_val(2));
inclk_c3 <= clkin when c3_test_source = 0 else
c_clk(2) when c3_use_casc_in = "on" else
inclk_c_from_vco(3);
c3 : stratixii_scale_cntr
port map (
clk => inclk_c3,
reset => areset_ena_sig,
cout => c_clk(3),
initial => c_initial_val(3),
high => c_high_val(3),
low => c_low_val(3),
mode => c_mode_val(3),
ph_tap => c_ph_val(3));
inclk_c4 <= '0' when (pll_type = "fast") else
clkin when (c4_test_source = 0) else
c_clk(3) when (c4_use_casc_in = "on") else
inclk_c_from_vco(4);
c4 : stratixii_scale_cntr
port map (
clk => inclk_c4,
reset => areset_ena_sig,
cout => c_clk(4),
initial => c_initial_val(4),
high => c_high_val(4),
low => c_low_val(4),
mode => c_mode_val(4),
ph_tap => c_ph_val(4));
inclk_c5 <= '0' when (pll_type = "fast") else
clkin when c5_test_source = 0 else
c_clk(4) when c5_use_casc_in = "on" else
inclk_c_from_vco(5);
c5 : stratixii_scale_cntr
port map (
clk => inclk_c5,
reset => areset_ena_sig,
cout => c_clk(5),
initial => c_initial_val(5),
high => c_high_val(5),
low => c_low_val(5),
mode => c_mode_val(5),
ph_tap => c_ph_val(5));
inclk_c0_dly1 <= inclk_c0 when (pll_type = "fast" or pll_type = "lvds")
else '0';
inclk_c0_dly2 <= inclk_c0_dly1;
inclk_c0_dly3 <= inclk_c0_dly2;
inclk_c0_dly4 <= inclk_c0_dly3;
inclk_c0_dly5 <= inclk_c0_dly4;
inclk_c0_dly6 <= inclk_c0_dly5;
inclk_c1_dly1 <= inclk_c1 when (pll_type = "fast" or pll_type = "lvds")
else '0';
inclk_c1_dly2 <= inclk_c1_dly1;
inclk_c1_dly3 <= inclk_c1_dly2;
inclk_c1_dly4 <= inclk_c1_dly3;
inclk_c1_dly5 <= inclk_c1_dly4;
inclk_c1_dly6 <= inclk_c1_dly5;
process(inclk_c0_dly6, inclk_c1_dly6, areset_ipd, ena_ipd, sig_stop_vco)
variable c0_got_first_rising_edge : boolean := false;
variable c0_count : integer := 2;
variable c0_initial_count : integer := 1;
variable c0_tmp, c1_tmp : std_logic := '0';
variable c1_got_first_rising_edge : boolean := false;
variable c1_count : integer := 2;
variable c1_initial_count : integer := 1;
begin
if (areset_ipd = '1' or ena_ipd = '0' or sig_stop_vco = '1') then
c0_count := 2;
c1_count := 2;
c0_initial_count := 1;
c1_initial_count := 1;
c0_got_first_rising_edge := false;
c1_got_first_rising_edge := false;
else
if (not c0_got_first_rising_edge) then
if (inclk_c0_dly6'event and inclk_c0_dly6 = '1') then
if (c0_initial_count = c_initial_val(0)) then
c0_got_first_rising_edge := true;
else
c0_initial_count := c0_initial_count + 1;
end if;
end if;
elsif (inclk_c0_dly6'event) then
c0_count := c0_count + 1;
if (c0_count = (c_high_val(0) + c_low_val(0)) * 2) then
c0_count := 1;
end if;
end if;
if (inclk_c0_dly6'event and inclk_c0_dly6 = '0') then
if (c0_count = 1) then
c0_tmp := '1';
c0_got_first_rising_edge := false;
else
c0_tmp := '0';
end if;
end if;
if (not c1_got_first_rising_edge) then
if (inclk_c1_dly6'event and inclk_c1_dly6 = '1') then
if (c1_initial_count = c_initial_val(1)) then
c1_got_first_rising_edge := true;
else
c1_initial_count := c1_initial_count + 1;
end if;
end if;
elsif (inclk_c1_dly6'event) then
c1_count := c1_count + 1;
if (c1_count = (c_high_val(1) + c_low_val(1)) * 2) then
c1_count := 1;
end if;
end if;
if (inclk_c1_dly6'event and inclk_c1_dly6 = '0') then
if (c1_count = 1) then
c1_tmp := '1';
c1_got_first_rising_edge := false;
else
c1_tmp := '0';
end if;
end if;
end if;
if (enable0_counter = "c0") then
enable0_tmp <= c0_tmp;
elsif (enable0_counter = "c1") then
enable0_tmp <= c1_tmp;
else
enable0_tmp <= '0';
end if;
if (enable1_counter = "c0") then
enable1_tmp <= c0_tmp;
elsif (enable1_counter = "c1") then
enable1_tmp <= c1_tmp;
else
enable1_tmp <= '0';
end if;
end process;
glocked_cntr : process(clkin, ena_ipd, areset_ipd)
variable count : integer := 0;
variable output : std_logic := '0';
begin
if (areset_ipd = '1') then
count := 0;
output := '0';
elsif (clkin'event and clkin = '1') then
if (ena_ipd = '1') then
count := count + 1;
if (count = gate_lock_counter) then
output := '1';
end if;
end if;
end if;
gate_locked <= output;
end process;
locked <= gate_locked and lock when gate_lock_signal = "yes" else
lock;
process (scandone_tmp)
variable buf : line;
begin
if (scandone_tmp'event and scandone_tmp = '1') then
if (reconfig_err = false) then
ASSERT false REPORT "PLL Reprogramming completed with the following values (Values in parantheses indicate values before reprogramming) :" severity note;
write (buf, string'(" N modulus = "));
write (buf, n_val(0));
write (buf, string'(" ( "));
write (buf, n_val_old(0));
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M modulus = "));
write (buf, m_val(0));
write (buf, string'(" ( "));
write (buf, m_val_old(0));
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M ph_tap = "));
write (buf, m_ph_val);
write (buf, string'(" ( "));
write (buf, m_ph_val_old);
write (buf, string'(" )"));
writeline (output, buf);
if (ss > 0) then
write (buf, string'(" M2 modulus = "));
write (buf, m_val(1));
write (buf, string'(" ( "));
write (buf, m_val_old(1));
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" N2 modulus = "));
write (buf, n_val(1));
write (buf, string'(" ( "));
write (buf, n_val_old(1));
write (buf, string'(" )"));
writeline (output, buf);
end if;
for i in 0 to (num_output_cntrs-1) loop
write (buf, cntrs(i));
write (buf, string'(" : high = "));
write (buf, c_high_val(i));
write (buf, string'(" ("));
write (buf, c_high_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , low = "));
write (buf, sig_c_low_val_tmp(i));
write (buf, string'(" ("));
write (buf, c_low_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , mode = "));
write (buf, c_mode_val(i));
write (buf, string'(" ("));
write (buf, c_mode_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , phase tap = "));
write (buf, c_ph_val(i));
write (buf, string'(" ("));
write (buf, c_ph_val_old(i));
write (buf, string'(") "));
writeline(output, buf);
end loop;
write (buf, string'(" Charge Pump Current (uA) = "));
write (buf, cp_curr_val);
write (buf, string'(" ( "));
write (buf, cp_curr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (pF) = "));
write (buf, lfc_val);
write (buf, string'(" ( "));
write (buf, lfc_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (Kohm) = "));
write (buf, lfr_val);
write (buf, string'(" ( "));
write (buf, lfr_old);
write (buf, string'(" ) "));
writeline (output, buf);
else ASSERT false REPORT "Errors were encountered during PLL reprogramming. Please refer to error/warning messages above." severity warning;
end if;
end if;
end process;
process (scanwrite_enabled, c_clk(0), c_clk(1), c_clk(2), c_clk(3), c_clk(4), c_clk(5), vco_out, fbclk, scanclk_ipd, gated_scanclk)
variable init : boolean := true;
variable low, high : std_logic_vector(7 downto 0);
variable low_fast, high_fast : std_logic_vector(3 downto 0);
variable mode : string(1 to 6) := "bypass";
variable is_error : boolean := false;
variable m_tmp, n_tmp : std_logic_vector(8 downto 0);
variable n_fast : std_logic_vector(1 downto 0);
variable c_high_val_tmp : int_array(0 to 5) := (OTHERS => 1);
variable c_low_val_tmp : int_array(0 to 5) := (OTHERS => 1);
variable c_ph_val_tmp : int_array(0 to 5) := (OTHERS => 0);
variable c_mode_val_tmp : str_array(0 to 5);
variable m_ph_val_tmp : integer := 0;
variable m_val_tmp : int_array(0 to 1) := (OTHERS => 1);
variable c0_rising_edge_transfer_done : boolean := false;
variable c1_rising_edge_transfer_done : boolean := false;
variable c2_rising_edge_transfer_done : boolean := false;
variable c3_rising_edge_transfer_done : boolean := false;
variable c4_rising_edge_transfer_done : boolean := false;
variable c5_rising_edge_transfer_done : boolean := false;
-- variables for scaling of multiply_by and divide_by values
variable i_clk0_mult_by : integer := 1;
variable i_clk0_div_by : integer := 1;
variable i_clk1_mult_by : integer := 1;
variable i_clk1_div_by : integer := 1;
variable i_clk2_mult_by : integer := 1;
variable i_clk2_div_by : integer := 1;
variable i_clk3_mult_by : integer := 1;
variable i_clk3_div_by : integer := 1;
variable i_clk4_mult_by : integer := 1;
variable i_clk4_div_by : integer := 1;
variable i_clk5_mult_by : integer := 1;
variable i_clk5_div_by : integer := 1;
variable max_d_value : integer := 1;
variable new_multiplier : integer := 1;
-- internal variables for storing the phase shift number.(used in lvds mode only)
variable i_clk0_phase_shift : integer := 1;
variable i_clk1_phase_shift : integer := 1;
variable i_clk2_phase_shift : integer := 1;
-- user to advanced variables
variable max_neg_abs : integer := 0;
variable i_m_initial : integer;
variable i_m : integer := 1;
variable i_n : integer := 1;
variable i_m2 : integer;
variable i_n2 : integer;
variable i_ss : integer;
variable i_c_high : int_array(0 to 5);
variable i_c_low : int_array(0 to 5);
variable i_c_initial : int_array(0 to 5);
variable i_c_ph : int_array(0 to 5);
variable i_c_mode : str_array(0 to 5);
variable i_m_ph : integer;
variable output_count : integer;
variable new_divisor : integer;
variable clk0_cntr : string(1 to 2) := "c0";
variable clk1_cntr : string(1 to 2) := "c1";
variable clk2_cntr : string(1 to 2) := "c2";
variable clk3_cntr : string(1 to 2) := "c3";
variable clk4_cntr : string(1 to 2) := "c4";
variable clk5_cntr : string(1 to 2) := "c5";
variable fbk_cntr : string(1 to 2);
variable fbk_cntr_index : integer;
variable start_bit : integer;
variable quiet_time : time := 0 ps;
variable slowest_clk_old : time := 0 ps;
variable slowest_clk_new : time := 0 ps;
variable tmp_scan_data : std_logic_vector(173 downto 0) := (OTHERS => '0');
variable m_lo, m_hi : std_logic_vector(4 downto 0);
variable j : integer := 0;
variable scanread_active_edge : time := 0 ps;
variable got_first_scanclk : boolean := false;
variable got_first_gated_scanclk : boolean := false;
variable scanclk_last_rising_edge : time := 0 ps;
variable scanclk_period : time := 0 ps;
variable current_scan_data : std_logic_vector(173 downto 0) := (OTHERS => '0');
variable index : integer := 0;
variable Tviol_scandata_scanclk : std_ulogic := '0';
variable Tviol_scanread_scanclk : std_ulogic := '0';
variable Tviol_scanwrite_scanclk : std_ulogic := '0';
variable TimingData_scandata_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_scanread_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_scanwrite_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable scan_chain_length : integer := GPP_SCAN_CHAIN;
variable tmp_rem : integer := 0;
variable scanclk_cycles : integer := 0;
variable lfc_tmp : std_logic_vector(1 downto 0);
variable lfr_tmp : std_logic_vector(5 downto 0);
variable lfr_int : integer := 0;
function slowest_clk (
C0 : integer; C0_mode : string(1 to 6);
C1 : integer; C1_mode : string(1 to 6);
C2 : integer; C2_mode : string(1 to 6);
C3 : integer; C3_mode : string(1 to 6);
C4 : integer; C4_mode : string(1 to 6);
C5 : integer; C5_mode : string(1 to 6);
refclk : time; m_mod : integer) return time is
variable max_modulus : integer := 1;
variable q_period : time := 0 ps;
variable refclk_int : integer := 0;
begin
if (C0_mode /= "bypass" and C0_mode /= " off") then
max_modulus := C0;
end if;
if (C1 > max_modulus and C1_mode /= "bypass" and C1_mode /= " off") then
max_modulus := C1;
end if;
if (C2 > max_modulus and C2_mode /= "bypass" and C2_mode /= " off") then
max_modulus := C2;
end if;
if (C3 > max_modulus and C3_mode /= "bypass" and C3_mode /= " off") then
max_modulus := C3;
end if;
if (C4 > max_modulus and C4_mode /= "bypass" and C4_mode /= " off") then
max_modulus := C4;
end if;
if (C5 > max_modulus and C5_mode /= "bypass" and C5_mode /= " off") then
max_modulus := C5;
end if;
refclk_int := refclk / 1 ps;
if (m_mod /= 0) then
q_period := (refclk_int * max_modulus / m_mod) * 1 ps;
end if;
return (2*q_period);
end slowest_clk;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function extract_cntr_index (arg:string) return integer is
variable index : integer := 0;
begin
if (arg(2) = '0') then
index := 0;
elsif (arg(2) = '1') then
index := 1;
elsif (arg(2) = '2') then
index := 2;
elsif (arg(2) = '3') then
index := 3;
elsif (arg(2) = '4') then
index := 4;
else index := 5;
end if;
return index;
end extract_cntr_index;
begin
if (init) then
if (m = 0) then
clk5_cntr := "c5";
clk4_cntr := "c4";
clk3_cntr := "c3";
clk2_cntr := "c2";
clk1_cntr := "c1";
clk0_cntr := "c0";
else
clk5_cntr := clk5_counter;
clk4_cntr := clk4_counter;
clk3_cntr := clk3_counter;
clk2_cntr := clk2_counter;
clk1_cntr := clk1_counter;
clk0_cntr := clk0_counter;
end if;
if (operation_mode = "external_feedback") then
if (feedback_source = "clk0") then
fbk_cntr := clk0_cntr;
elsif (feedback_source = "clk1") then
fbk_cntr := clk1_cntr;
elsif (feedback_source = "clk2") then
fbk_cntr := clk2_cntr;
elsif (feedback_source = "clk3") then
fbk_cntr := clk3_cntr;
elsif (feedback_source = "clk4") then
fbk_cntr := clk4_cntr;
elsif (feedback_source = "clk5") then
fbk_cntr := clk5_cntr;
else
fbk_cntr := "c0";
end if;
if (fbk_cntr = "c0") then
fbk_cntr_index := 0;
elsif (fbk_cntr = "c1") then
fbk_cntr_index := 1;
elsif (fbk_cntr = "c2") then
fbk_cntr_index := 2;
elsif (fbk_cntr = "c3") then
fbk_cntr_index := 3;
elsif (fbk_cntr = "c4") then
fbk_cntr_index := 4;
elsif (fbk_cntr = "c5") then
fbk_cntr_index := 5;
end if;
ext_fbk_cntr <= fbk_cntr;
ext_fbk_cntr_index <= fbk_cntr_index;
end if;
i_clk0_counter <= extract_cntr_index(clk0_cntr);
i_clk1_counter <= extract_cntr_index(clk1_cntr);
i_clk2_counter <= extract_cntr_index(clk2_cntr);
i_clk3_counter <= extract_cntr_index(clk3_cntr);
i_clk4_counter <= extract_cntr_index(clk4_cntr);
i_clk5_counter <= extract_cntr_index(clk5_cntr);
if (m = 0) then -- convert user parameters to advanced
-- set the limit of the divide_by value that can be returned by
-- the following function.
max_d_value := 500;
-- scale down the multiply_by and divide_by values provided by the design
-- before attempting to use them in the calculations below
find_simple_integer_fraction(clk0_multiply_by, clk0_divide_by,
max_d_value, i_clk0_mult_by, i_clk0_div_by);
find_simple_integer_fraction(clk1_multiply_by, clk1_divide_by,
max_d_value, i_clk1_mult_by, i_clk1_div_by);
find_simple_integer_fraction(clk2_multiply_by, clk2_divide_by,
max_d_value, i_clk2_mult_by, i_clk2_div_by);
find_simple_integer_fraction(clk3_multiply_by, clk3_divide_by,
max_d_value, i_clk3_mult_by, i_clk3_div_by);
find_simple_integer_fraction(clk4_multiply_by, clk4_divide_by,
max_d_value, i_clk4_mult_by, i_clk4_div_by);
find_simple_integer_fraction(clk5_multiply_by, clk5_divide_by,
max_d_value, i_clk5_mult_by, i_clk5_div_by);
if (((pll_type = "fast") or (pll_type = "lvds")) and ((vco_multiply_by /= 0) and (vco_divide_by /= 0))) then
i_n := vco_divide_by;
i_m := vco_multiply_by;
else
i_n := 1;
i_m := lcm (i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by, i_clk5_mult_by,
1, 1, 1, 1, inclk0_input_frequency);
end if;
if (pll_type = "flvds") then
-- Need to readjust phase shift values when the clock multiply value has been readjusted.
new_multiplier := clk0_multiply_by / i_clk0_mult_by;
i_clk0_phase_shift := str2int(clk0_phase_shift) * new_multiplier;
i_clk1_phase_shift := str2int(clk1_phase_shift) * new_multiplier;
i_clk2_phase_shift := str2int(clk2_phase_shift) * new_multiplier;
else
i_clk0_phase_shift := str2int(clk0_phase_shift);
i_clk1_phase_shift := str2int(clk1_phase_shift);
i_clk2_phase_shift := str2int(clk2_phase_shift);
end if;
max_neg_abs := maxnegabs(i_clk0_phase_shift,
i_clk1_phase_shift,
i_clk2_phase_shift,
str2int(clk3_phase_shift),
str2int(clk4_phase_shift),
str2int(clk5_phase_shift),
0, 0, 0, 0);
i_m_ph := counter_ph(get_phase_degree(max_neg_abs,inclk0_input_frequency), i_m, i_n);
i_c_ph(0) := counter_ph(get_phase_degree(ph_adjust(i_clk0_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(1) := counter_ph(get_phase_degree(ph_adjust(i_clk1_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(2) := counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(3) := counter_ph(get_phase_degree(ph_adjust(str2int(clk3_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(4) := counter_ph(get_phase_degree(ph_adjust(str2int(clk4_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(5) := counter_ph(get_phase_degree(ph_adjust(str2int(clk5_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_high(0) := counter_high(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_high(1) := counter_high(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_high(2) := counter_high(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_high(3) := counter_high(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_high(4) := counter_high(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_high(5) := counter_high(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_c_low(0) := counter_low(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_low(1) := counter_low(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_low(2) := counter_low(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_low(3) := counter_low(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_low(4) := counter_low(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_low(5) := counter_low(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_m_initial := counter_initial(get_phase_degree(max_neg_abs, inclk0_input_frequency), i_m,i_n);
i_c_initial(0) := counter_initial(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(1) := counter_initial(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(2) := counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(3) := counter_initial(get_phase_degree(ph_adjust(str2int(clk3_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(4) := counter_initial(get_phase_degree(ph_adjust(str2int(clk4_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(5) := counter_initial(get_phase_degree(ph_adjust(str2int(clk5_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_mode(0) := counter_mode(clk0_duty_cycle, output_counter_value(i_clk0_div_by, i_clk0_mult_by, i_m, i_n));
i_c_mode(1) := counter_mode(clk1_duty_cycle, output_counter_value(i_clk1_div_by, i_clk1_mult_by, i_m, i_n));
i_c_mode(2) := counter_mode(clk2_duty_cycle, output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n));
i_c_mode(3) := counter_mode(clk3_duty_cycle, output_counter_value(i_clk3_div_by, i_clk3_mult_by, i_m, i_n));
i_c_mode(4) := counter_mode(clk4_duty_cycle, output_counter_value(i_clk4_div_by, i_clk4_mult_by, i_m, i_n));
i_c_mode(5) := counter_mode(clk5_duty_cycle, output_counter_value(i_clk5_div_by, i_clk5_mult_by, i_m, i_n));
-- in external feedback mode, need to adjust M value to take
-- into consideration the external feedback counter value
if(operation_mode = "external_feedback") then
-- if there is a negative phase shift, m_initial can
-- only be 1
if (max_neg_abs > 0) then
i_m_initial := 1;
end if;
-- calculate the feedback counter multiplier
if (i_c_mode(fbk_cntr_index) = "bypass") then
output_count := 1;
else
output_count := i_c_high(fbk_cntr_index) + i_c_low(fbk_cntr_index);
end if;
new_divisor := gcd(i_m, output_count);
i_m := i_m / new_divisor;
i_n := output_count / new_divisor;
end if;
else -- m /= 0
i_n := n;
i_m := m;
i_m_initial := m_initial;
i_m_ph := m_ph;
i_c_ph(0) := c0_ph;
i_c_ph(1) := c1_ph;
i_c_ph(2) := c2_ph;
i_c_ph(3) := c3_ph;
i_c_ph(4) := c4_ph;
i_c_ph(5) := c5_ph;
i_c_high(0) := c0_high;
i_c_high(1) := c1_high;
i_c_high(2) := c2_high;
i_c_high(3) := c3_high;
i_c_high(4) := c4_high;
i_c_high(5) := c5_high;
i_c_low(0) := c0_low;
i_c_low(1) := c1_low;
i_c_low(2) := c2_low;
i_c_low(3) := c3_low;
i_c_low(4) := c4_low;
i_c_low(5) := c5_low;
i_c_initial(0) := c0_initial;
i_c_initial(1) := c1_initial;
i_c_initial(2) := c2_initial;
i_c_initial(3) := c3_initial;
i_c_initial(4) := c4_initial;
i_c_initial(5) := c5_initial;
i_c_mode(0) := translate_string(c0_mode);
i_c_mode(1) := translate_string(c1_mode);
i_c_mode(2) := translate_string(c2_mode);
i_c_mode(3) := translate_string(c3_mode);
i_c_mode(4) := translate_string(c4_mode);
i_c_mode(5) := translate_string(c5_mode);
end if; -- user to advanced conversion.
m_initial_val <= i_m_initial;
n_val(0) <= i_n;
m_val(0) <= i_m;
m_val(1) <= m2;
n_val(1) <= n2;
if (i_m = 1) then
m_mode_val(0) <= "bypass";
else
m_mode_val(0) <= " ";
end if;
if (m2 = 1) then
m_mode_val(1) <= "bypass";
end if;
if (i_n = 1) then
n_mode_val(0) <= "bypass";
end if;
if (n2 = 1) then
n_mode_val(1) <= "bypass";
end if;
m_ph_val <= i_m_ph;
m_ph_val_tmp := i_m_ph;
m_val_tmp := m_val;
for i in 0 to 5 loop
if (i_c_mode(i) = "bypass") then
if (pll_type = "fast" or pll_type = "lvds") then
i_c_high(i) := 16;
i_c_low(i) := 16;
else
i_c_high(i) := 256;
i_c_low(i) := 256;
end if;
end if;
c_ph_val(i) <= i_c_ph(i);
c_initial_val(i) <= i_c_initial(i);
c_high_val(i) <= i_c_high(i);
c_low_val(i) <= i_c_low(i);
c_mode_val(i) <= i_c_mode(i);
c_high_val_tmp(i) := i_c_high(i);
c_low_val_tmp(i) := i_c_low(i);
c_mode_val_tmp(i) := i_c_mode(i);
c_ph_val_tmp(i) := i_c_ph(i);
c_ph_val_orig(i) <= i_c_ph(i);
c_high_val_hold(i) <= i_c_high(i);
c_low_val_hold(i) <= i_c_low(i);
c_mode_val_hold(i) <= i_c_mode(i);
end loop;
lfc_val <= loop_filter_c;
lfr_val <= loop_filter_r;
cp_curr_val <= charge_pump_current;
if (pll_type = "fast") then
scan_chain_length := FAST_SCAN_CHAIN;
end if;
-- initialize the scan_chain contents
-- CP/LF bits
scan_data(11 downto 0) <= "000000000000";
for i in 0 to 3 loop
if (pll_type = "fast" or pll_type = "lvds") then
if (fpll_loop_filter_c_arr(i) = loop_filter_c) then
scan_data(11 downto 10) <= int2bin(i, 2);
end if;
else
if (loop_filter_c_arr(i) = loop_filter_c) then
scan_data(11 downto 10) <= int2bin(i, 2);
end if;
end if;
end loop;
for i in 0 to 15 loop
if (charge_pump_curr_arr(i) = charge_pump_current) then
scan_data(3 downto 0) <= int2bin(i, 4);
end if;
end loop;
for i in 0 to 39 loop
if (loop_filter_r_arr(i) = loop_filter_r) then
if (i >= 16 and i <= 23) then
scan_data(9 downto 4) <= int2bin((i+8), 6);
elsif (i >= 24 and i <= 31) then
scan_data(9 downto 4) <= int2bin((i+16), 6);
elsif (i >= 32) then
scan_data(9 downto 4) <= int2bin((i+24), 6);
else
scan_data(9 downto 4) <= int2bin(i, 6);
end if;
end if;
end loop;
if (pll_type = "fast" or pll_type = "lvds") then
scan_data(21 downto 12) <= "0000000000"; -- M, C3-C0 ph
-- C0-C3 high
scan_data(25 downto 22) <= int2bin(i_c_high(0), 4);
scan_data(35 downto 32) <= int2bin(i_c_high(1), 4);
scan_data(45 downto 42) <= int2bin(i_c_high(2), 4);
scan_data(55 downto 52) <= int2bin(i_c_high(3), 4);
-- C0-C3 low
scan_data(30 downto 27) <= int2bin(i_c_low(0), 4);
scan_data(40 downto 37) <= int2bin(i_c_low(1), 4);
scan_data(50 downto 47) <= int2bin(i_c_low(2), 4);
scan_data(60 downto 57) <= int2bin(i_c_low(3), 4);
-- C0-C3 mode
for i in 0 to 3 loop
if (i_c_mode(i) = " off" or i_c_mode(i) = "bypass") then
scan_data(26 + (10*i)) <= '1';
if (i_c_mode(i) = " off") then
scan_data(31 + (10*i)) <= '1';
else
scan_data(31 + (10*i)) <= '0';
end if;
else
scan_data(26 + (10*i)) <= '0';
if (i_c_mode(i) = " odd") then
scan_data(31 + (10*i)) <= '1';
else
scan_data(31 + (10*i)) <= '0';
end if;
end if;
end loop;
-- M
if (i_m = 1) then
scan_data(66) <= '1';
scan_data(71) <= '0';
scan_data(65 downto 62) <= "0000";
scan_data(70 downto 67) <= "0000";
else
scan_data(66) <= '0'; -- set BYPASS bit to 0
scan_data(70 downto 67) <= int2bin(i_m/2, 4); -- set M low
if (i_m rem 2 = 0) then
-- M is an even no. : set M high = low,
-- set odd/even bit to 0
scan_data(65 downto 62) <= int2bin(i_m/2, 4);
scan_data(71) <= '0';
else -- M is odd : M high = low + 1
scan_data(65 downto 62) <= int2bin((i_m/2) + 1, 4);
scan_data(71) <= '1';
end if;
end if;
-- N
scan_data(73 downto 72) <= int2bin(i_n, 2);
if (i_n = 1) then
scan_data(74) <= '1';
scan_data(73 downto 72) <= "00";
end if;
else -- PLL type is auto or enhanced
scan_data(25 downto 12) <= "00000000000000"; -- M, C5-C0 ph
-- C0-C5 high
scan_data(123 downto 116) <= int2bin(i_c_high(0), 8);
scan_data(105 downto 98) <= int2bin(i_c_high(1), 8);
scan_data(87 downto 80) <= int2bin(i_c_high(2), 8);
scan_data(69 downto 62) <= int2bin(i_c_high(3), 8);
scan_data(51 downto 44) <= int2bin(i_c_high(4), 8);
scan_data(33 downto 26) <= int2bin(i_c_high(5), 8);
-- C0-C5 low
scan_data(132 downto 125) <= int2bin(i_c_low(0), 8);
scan_data(114 downto 107) <= int2bin(i_c_low(1), 8);
scan_data(96 downto 89) <= int2bin(i_c_low(2), 8);
scan_data(78 downto 71) <= int2bin(i_c_low(3), 8);
scan_data(60 downto 53) <= int2bin(i_c_low(4), 8);
scan_data(42 downto 35) <= int2bin(i_c_low(5), 8);
-- C0-C5 mode
for i in 0 to 5 loop
if (i_c_mode(i) = " off" or i_c_mode(i) = "bypass") then
scan_data(124 - (18*i)) <= '1';
if (i_c_mode(i) = " off") then
scan_data(133 - (18*i)) <= '1';
else
scan_data(133 - (18*i)) <= '0';
end if;
else
scan_data(124 - (18*i)) <= '0';
if (i_c_mode(i) = " odd") then
scan_data(133 - (18*i)) <= '1';
else
scan_data(133 - (18*i)) <= '0';
end if;
end if;
end loop;
-- M/M2
scan_data(142 downto 134) <= int2bin(i_m, 9);
scan_data(143) <= '0';
scan_data(152 downto 144) <= int2bin(m2, 9);
scan_data(153) <= '0';
if (i_m = 1) then
scan_data(143) <= '1';
scan_data(142 downto 134) <= "000000000";
end if;
if (m2 = 1) then
scan_data(153) <= '1';
scan_data(152 downto 144) <= "000000000";
end if;
-- N/N2
scan_data(162 downto 154) <= int2bin(i_n, 9);
scan_data(172 downto 164) <= int2bin(n2, 9);
if (i_n = 1) then
scan_data(163) <= '1';
scan_data(162 downto 154) <= "000000000";
end if;
if (n2 = 1) then
scan_data(173) <= '1';
scan_data(172 downto 164) <= "000000000";
end if;
end if;
if (pll_type = "fast" or pll_type = "lvds") then
num_output_cntrs <= 4;
else
num_output_cntrs <= 6;
end if;
init := false;
elsif (scanwrite_enabled'event and scanwrite_enabled = '0') then
-- falling edge : deassert scandone
scandone_tmp <= transport '0' after (1.5 * scanclk_period);
c0_rising_edge_transfer_done := false;
c1_rising_edge_transfer_done := false;
c2_rising_edge_transfer_done := false;
c3_rising_edge_transfer_done := false;
c4_rising_edge_transfer_done := false;
c5_rising_edge_transfer_done := false;
elsif (scanwrite_enabled'event and scanwrite_enabled = '1') then
ASSERT false REPORT "PLL Reprogramming Initiated" severity note;
reconfig_err <= false;
-- make temporary copy of scan_data for processing
tmp_scan_data := scan_data;
-- save old values
lfc_old <= lfc_val;
lfr_old <= lfr_val;
cp_curr_old <= cp_curr_val;
-- CP
-- Bits 0-3 : all values are legal
cp_curr_val <= charge_pump_curr_arr(alt_conv_integer(scan_data(3 downto 0)));
-- LF Resistance : bits 4-9
-- values from 010000 - 010111, 100000 - 100111,
-- 110000 - 110111 are illegal
lfr_tmp := tmp_scan_data(9 downto 4);
lfr_int := alt_conv_integer(lfr_tmp);
if (((lfr_int >= 16) and (lfr_int <= 23)) or
((lfr_int >= 32) and (lfr_int <= 39)) or
((lfr_int >= 48) and (lfr_int <= 55))) then
reconfig_err <= true;
ASSERT false REPORT "Illegal bit settings for Loop Filter Resistance. Legal bit values range from 000000-001111, 011000-011111, 101000-101111 and 111000-111111. Reconfiguration may not work." severity warning;
else
if (lfr_int >= 56) then
lfr_int := lfr_int - 24;
elsif ((lfr_int >= 40) and (lfr_int <= 47)) then
lfr_int := lfr_int - 16;
elsif ((lfr_int >= 24) and (lfr_int <= 31)) then
lfr_int := lfr_int - 8;
end if;
lfr_val <= loop_filter_r_arr(lfr_int);
end if;
-- LF Capacitance : bits 10,11 : all values are legal
lfc_tmp := scan_data(11 downto 10);
if (pll_type = "fast" or pll_type = "lvds") then
lfc_val <= fpll_loop_filter_c_arr(alt_conv_integer(lfc_tmp));
else
lfc_val <= loop_filter_c_arr(alt_conv_integer(lfc_tmp));
end if;
-- cntrs c0-c5
-- save old values for display info.
m_val_old <= m_val;
n_val_old <= n_val;
m_mode_val_old <= m_mode_val;
n_mode_val_old <= n_mode_val;
m_ph_val_old <= m_ph_val;
c_high_val_old <= c_high_val;
c_low_val_old <= c_low_val;
c_ph_val_old <= c_ph_val;
c_mode_val_old <= c_mode_val;
-- first the M counter phase : bit order same for fast and GPP
if (scan_data(12) = '0') then
-- do nothing
elsif (scan_data(12) = '1' and scan_data(13) = '1') then
m_ph_val_tmp := m_ph_val_tmp + 1;
if (m_ph_val_tmp > 7) then
m_ph_val_tmp := 0;
end if;
elsif (scan_data(12) = '1' and scan_data(13) = '0') then
m_ph_val_tmp := m_ph_val_tmp - 1;
if (m_ph_val_tmp < 0) then
m_ph_val_tmp := 7;
end if;
else
reconfig_err <= true;
ASSERT false REPORT "Illegal values for M counter phase tap. Reconfiguration may not work." severity warning;
end if;
-- read the fast PLL bits
if (pll_type = "fast" or pll_type = "lvds") then
-- C3-C0 phase bits
for i in 3 downto 0 loop
start_bit := 14 + ((3-i)*2);
if (tmp_scan_data(start_bit) = '0') then
-- do nothing
elsif (tmp_scan_data(start_bit) = '1') then
if (tmp_scan_data(start_bit + 1) = '1') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) + 1;
if (c_ph_val_tmp(i) > 7) then
c_ph_val_tmp(i) := 0;
end if;
elsif (tmp_scan_data(start_bit + 1) = '0') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) - 1;
if (c_ph_val_tmp(i) < 0) then
c_ph_val_tmp(i) := 7;
end if;
end if;
end if;
end loop;
-- C0-C3 counter moduli
for i in 0 to 3 loop
start_bit := 22 + (i*10);
if (tmp_scan_data(start_bit + 4) = '1') then
c_mode_val_tmp(i) := "bypass";
if (tmp_scan_data(start_bit + 9) = '1') then
c_mode_val_tmp(i) := " off";
ASSERT false REPORT "The specified bit settings will turn OFF the " &cntrs(i)& "counter. It cannot be turned on unless the part is re-initialized." severity warning;
end if;
elsif (tmp_scan_data(start_bit + 9) = '1') then
c_mode_val_tmp(i) := " odd";
else
c_mode_val_tmp(i) := " even";
end if;
high_fast := tmp_scan_data(start_bit+3 downto start_bit);
low_fast := tmp_scan_data(start_bit+8 downto start_bit+5);
if (tmp_scan_data(start_bit+3 downto start_bit) = "0000") then
c_high_val_tmp(i) := 16;
else
c_high_val_tmp(i) := alt_conv_integer(high_fast);
end if;
if (tmp_scan_data(start_bit+8 downto start_bit+5) = "0000") then
c_low_val_tmp(i) := 16;
else
c_low_val_tmp(i) := alt_conv_integer(low_fast);
end if;
end loop;
sig_c_ph_val_tmp <= c_ph_val_tmp;
sig_c_low_val_tmp <= c_low_val_tmp;
-- M
-- some temporary storage
if (tmp_scan_data(65 downto 62) = "0000") then
m_hi := "10000";
else
m_hi := "0" & tmp_scan_data(65 downto 62);
end if;
if (tmp_scan_data(70 downto 67) = "0000") then
m_lo := "10000";
else
m_lo := "0" & tmp_scan_data(70 downto 67);
end if;
m_val_tmp(0) := alt_conv_integer(m_hi) + alt_conv_integer(m_lo);
if (tmp_scan_data(66) = '1') then
if (tmp_scan_data(71) = '1') then
-- this will turn off the M counter : error
reconfig_err <= true;
is_error := true;
ASSERT false REPORT "The specified bit settings will turn OFF the M counter. This is illegal. Reconfiguration may not work." severity warning;
else -- M counter is being bypassed
if (m_mode_val(0) /= "bypass") then
-- mode is switched : give warning
ASSERT false REPORT "M counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
m_val_tmp(0) := 1;
m_mode_val(0) <= "bypass";
end if;
else
if (m_mode_val(0) = "bypass") then
-- mode is switched : give warning
ASSERT false REPORT "M counter switched BYPASS mode to enabled. PLL may lose lock." severity warning;
end if;
m_mode_val(0) <= " ";
if (tmp_scan_data(71) = '1') then
-- odd : check for duty cycle, if not 50% -- error
if (alt_conv_integer(m_hi) - alt_conv_integer(m_lo) /= 1) then
reconfig_err <= true;
ASSERT FALSE REPORT "The M counter of the StratixII FAST PLL can be configured for 50% duty cycle only. In this case, the HIGH and LOW moduli programmed will result in a duty cycle other than 50%, which is illegal. Reconfiguration may not work." severity warning;
end if;
else -- even
if (alt_conv_integer(m_hi) /= alt_conv_integer(m_lo)) then
reconfig_err <= true;
ASSERT FALSE REPORT "The M counter of the StratixII FAST PLL can be configured for 50% duty cycle only. In this case, the HIGH and LOW moduli programmed will result in a duty cycle other than 50%, which is illegal. Reconfiguration may not work." severity warning;
end if;
end if;
end if;
-- N
is_error := false;
n_fast := tmp_scan_data(73 downto 72);
n_val(0) <= alt_conv_integer(n_fast);
if (tmp_scan_data(74) /= '1') then
if (alt_conv_integer(n_fast) = 1) then
is_error := true;
reconfig_err <= true;
-- cntr value is illegal : give warning
ASSERT false REPORT "Illegal 1 value for N counter. Instead the counter should be BYPASSED. Reconfiguration may not work." severity warning;
elsif (alt_conv_integer(n_fast) = 0) then
n_val(0) <= 4;
ASSERT FALSE REPORT "N Modulus = " &int2str(4)& " " severity note;
end if;
if (not is_error) then
if (n_mode_val(0) = "bypass") then
ASSERT false REPORT "N Counter switched from BYPASS mode to enabled (N modulus = " &int2str(alt_conv_integer(n_fast))& "). PLL may lose lock." severity warning;
else
ASSERT FALSE REPORT "N modulus = " &int2str(alt_conv_integer(n_fast))& " "severity note;
end if;
n_mode_val(0) <= " ";
end if;
elsif (tmp_scan_data(74) = '1') then
if (tmp_scan_data(72) /= '0') then
is_error := true;
reconfig_err <= true;
ASSERT false report "Illegal value for N counter in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work." severity warning;
else
if (n_mode_val(0) /= "bypass") then
ASSERT false REPORT "N Counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
n_val(0) <= 1;
n_mode_val(0) <= "bypass";
end if;
end if;
else -- GENERAL PURPOSE PLL
for i in 0 to 5 loop
start_bit := 116 - (i*18);
if (tmp_scan_data(start_bit + 8) = '1') then
c_mode_val_tmp(i) := "bypass";
if (tmp_scan_data(start_bit + 17) = '1') then
c_mode_val_tmp(i) := " off";
ASSERT false REPORT "The specified bit settings will turn OFF the " &cntrs(i)& "counter. It cannot be turned on unless the part is re-initialized." severity warning;
end if;
elsif (tmp_scan_data(start_bit + 17) = '1') then
c_mode_val_tmp(i) := " odd";
else
c_mode_val_tmp(i) := " even";
end if;
high := tmp_scan_data(start_bit + 7 downto start_bit);
low := tmp_scan_data(start_bit+16 downto start_bit+9);
if (tmp_scan_data(start_bit+7 downto start_bit) = "00000000") then
c_high_val_tmp(i) := 256;
else
c_high_val_tmp(i) := alt_conv_integer(high);
end if;
if (tmp_scan_data(start_bit+16 downto start_bit+9) = "00000000") then
c_low_val_tmp(i) := 256;
else
c_low_val_tmp(i) := alt_conv_integer(low);
end if;
end loop;
-- the phase taps
for i in 0 to 5 loop
start_bit := 14 + (i*2);
if (tmp_scan_data(start_bit) = '0') then
-- do nothing
elsif (tmp_scan_data(start_bit) = '1') then
if (tmp_scan_data(start_bit + 1) = '1') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) + 1;
if (c_ph_val_tmp(i) > 7) then
c_ph_val_tmp(i) := 0;
end if;
elsif (tmp_scan_data(start_bit + 1) = '0') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) - 1;
if (c_ph_val_tmp(i) < 0) then
c_ph_val_tmp(i) := 7;
end if;
end if;
end if;
end loop;
sig_c_ph_val_tmp <= c_ph_val_tmp;
sig_c_low_val_tmp <= c_low_val_tmp;
-- cntrs M/M2
for i in 0 to 1 loop
start_bit := 134 + (i*10);
if ( i = 0 or (i = 1 and ss > 0) ) then
is_error := false;
m_tmp := tmp_scan_data(start_bit+8 downto start_bit);
m_val_tmp(i) := alt_conv_integer(m_tmp);
if (tmp_scan_data(start_bit+9) /= '1') then
if (alt_conv_integer(m_tmp) = 1) then
is_error := true;
reconfig_err <= true;
-- cntr value is illegal : give warning
ASSERT false REPORT "Illegal 1 value for " &ss_cntrs(i)& "counter. Instead " &ss_cntrs(i)& "should be BYPASSED. Reconfiguration may not work." severity warning;
elsif (tmp_scan_data(start_bit+8 downto start_bit) = "000000000") then
m_val_tmp(i) := 512;
end if;
if (not is_error) then
if (m_mode_val(i) = "bypass") then
-- Mode is switched : give warning
ASSERT false REPORT "M Counter switched from BYPASS mode to enabled (M modulus = " &int2str(alt_conv_integer(m_tmp))& "). PLL may lose lock." severity warning;
else
end if;
m_mode_val(i) <= " ";
end if;
elsif (tmp_scan_data(start_bit+9) = '1') then
if (tmp_scan_data(start_bit) /= '0') then
is_error := true;
reconfig_err <= true;
ASSERT false report "Illegal value for counter " &ss_cntrs(i)& "in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work." severity warning;
else
if (m_mode_val(i) /= "bypass") then
-- Mode is switched : give warning
ASSERT false REPORT "M Counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
m_val_tmp(i) := 1;
m_mode_val(i) <= "bypass";
end if;
end if;
end if;
end loop;
if (ss > 0) then
if (m_mode_val(0) /= m_mode_val(1)) then
reconfig_err <= true;
is_error := true;
ASSERT false REPORT "Incompatible modes for M/M2 counters. Either both should be BYPASSED or both NON-BYPASSED. Reconfiguration may not work." severity warning;
end if;
end if;
-- cntrs N/N2
for i in 0 to 1 loop
start_bit := 154 + i*10;
if ( i = 0 or (i = 1 and ss > 0) ) then
is_error := false;
n_tmp := tmp_scan_data(start_bit+8 downto start_bit);
n_val(i) <= alt_conv_integer(n_tmp);
if (tmp_scan_data(start_bit+9) /= '1') then
if (alt_conv_integer(n_tmp) = 1) then
is_error := true;
reconfig_err <= true;
-- cntr value is illegal : give warning
ASSERT false REPORT "Illegal 1 value for " &ss_cntrs(2+i)& "counter. Instead " &ss_cntrs(2+i)& "should be BYPASSED. Reconfiguration may not work." severity warning;
elsif (alt_conv_integer(n_tmp) = 0) then
n_val(i) <= 512;
end if;
if (not is_error) then
if (n_mode_val(i) = "bypass") then
ASSERT false REPORT "N Counter switched from BYPASS mode to enabled (N modulus = " &int2str(alt_conv_integer(n_tmp))& "). PLL may lose lock." severity warning;
else
end if;
n_mode_val(i) <= " ";
end if;
elsif (tmp_scan_data(start_bit+9) = '1') then
if (tmp_scan_data(start_bit) /= '0') then
is_error := true;
reconfig_err <= true;
ASSERT false report "Illegal value for counter " &ss_cntrs(2+i)& "in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work." severity warning;
else
if (n_mode_val(i) /= "bypass") then
ASSERT false REPORT "N Counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
n_val(i) <= 1;
n_mode_val(i) <= "bypass";
end if;
end if;
end if;
end loop;
if (ss > 0) then
if (n_mode_val(0) /= n_mode_val(1)) then
reconfig_err <= true;
is_error := true;
ASSERT false REPORT "Incompatible modes for N/N2 counters. Either both should be BYPASSED or both NON-BYPASSED. Reconfiguration may not work." severity warning;
end if;
end if;
end if;
slowest_clk_old := slowest_clk(c_high_val(0)+c_low_val(0), c_mode_val(0),
c_high_val(1)+c_low_val(1), c_mode_val(1),
c_high_val(2)+c_low_val(2), c_mode_val(2),
c_high_val(3)+c_low_val(3), c_mode_val(3),
c_high_val(4)+c_low_val(4), c_mode_val(4),
c_high_val(5)+c_low_val(5), c_mode_val(5),
sig_refclk_period, m_val(0));
slowest_clk_new := slowest_clk(c_high_val(0)+c_low_val(0), c_mode_val(0),
c_high_val_tmp(1)+c_low_val(1), c_mode_val_tmp(1),
c_high_val_tmp(2)+c_low_val(2), c_mode_val_tmp(2),
c_high_val_tmp(3)+c_low_val(3), c_mode_val_tmp(3),
c_high_val_tmp(4)+c_low_val(4), c_mode_val_tmp(4),
c_high_val_tmp(5)+c_low_val(5), c_mode_val_tmp(5),
sig_refclk_period, m_val(0));
if (slowest_clk_new > slowest_clk_old) then
quiet_time := slowest_clk_new;
else
quiet_time := slowest_clk_old;
end if;
tmp_rem := (quiet_time/1 ps) rem (scanclk_period/ 1 ps);
scanclk_cycles := (quiet_time/1 ps) / (scanclk_period/1 ps);
if (tmp_rem /= 0) then
scanclk_cycles := scanclk_cycles + 1;
end if;
scandone_tmp <= transport '1' after ((scanclk_cycles+1)*scanclk_period - (scanclk_period/2));
end if;
if (scanwrite_enabled = '1') then
if (fbclk'event and fbclk = '1') then
m_val <= m_val_tmp;
end if;
if (c_clk(0)'event and c_clk(0) = '1') then
c_high_val_hold(0) <= c_high_val_tmp(0);
c_mode_val_hold(0) <= c_mode_val_tmp(0);
c0_rising_edge_transfer_done := true;
c_high_val(0) <= c_high_val_hold(0);
c_mode_val(0) <= c_mode_val_hold(0);
end if;
if (c_clk(1)'event and c_clk(1) = '1') then
c_high_val_hold(1) <= c_high_val_tmp(1);
c_mode_val_hold(1) <= c_mode_val_tmp(1);
c1_rising_edge_transfer_done := true;
c_high_val(1) <= c_high_val_hold(1);
c_mode_val(1) <= c_mode_val_hold(1);
end if;
if (c_clk(2)'event and c_clk(2) = '1') then
c_high_val_hold(2) <= c_high_val_tmp(2);
c_mode_val_hold(2) <= c_mode_val_tmp(2);
c2_rising_edge_transfer_done := true;
c_high_val(2) <= c_high_val_hold(2);
c_mode_val(2) <= c_mode_val_hold(2);
end if;
if (c_clk(3)'event and c_clk(3) = '1') then
c_high_val_hold(3) <= c_high_val_tmp(3);
c_mode_val_hold(3) <= c_mode_val_tmp(3);
c_high_val(3) <= c_high_val_hold(3);
c_mode_val(3) <= c_mode_val_hold(3);
c3_rising_edge_transfer_done := true;
end if;
if (c_clk(4)'event and c_clk(4) = '1') then
c_high_val_hold(4) <= c_high_val_tmp(4);
c_mode_val_hold(4) <= c_mode_val_tmp(4);
c_high_val(4) <= c_high_val_hold(4);
c_mode_val(4) <= c_mode_val_hold(4);
c4_rising_edge_transfer_done := true;
end if;
if (c_clk(5)'event and c_clk(5) = '1') then
c_high_val_hold(5) <= c_high_val_tmp(5);
c_mode_val_hold(5) <= c_mode_val_tmp(5);
c_high_val(5) <= c_high_val_hold(5);
c_mode_val(5) <= c_mode_val_hold(5);
c5_rising_edge_transfer_done := true;
end if;
end if;
if (c_clk(0)'event and c_clk(0) = '0' and c0_rising_edge_transfer_done) then
c_low_val_hold(0) <= c_low_val_tmp(0);
c_low_val(0) <= c_low_val_hold(0);
end if;
if (c_clk(1)'event and c_clk(1) = '0' and c1_rising_edge_transfer_done) then
c_low_val_hold(1) <= c_low_val_tmp(1);
c_low_val(1) <= c_low_val_hold(1);
end if;
if (c_clk(2)'event and c_clk(2) = '0' and c2_rising_edge_transfer_done) then
c_low_val_hold(2) <= c_low_val_tmp(2);
c_low_val(2) <= c_low_val_hold(2);
end if;
if (c_clk(3)'event and c_clk(3) = '0' and c3_rising_edge_transfer_done) then
c_low_val_hold(3) <= c_low_val_tmp(3);
c_low_val(3) <= c_low_val_hold(3);
end if;
if (c_clk(4)'event and c_clk(4) = '0' and c4_rising_edge_transfer_done) then
c_low_val_hold(4) <= c_low_val_tmp(4);
c_low_val(4) <= c_low_val_hold(4);
end if;
if (c_clk(5)'event and c_clk(5) = '0' and c5_rising_edge_transfer_done) then
c_low_val_hold(5) <= c_low_val_tmp(5);
c_low_val(5) <= c_low_val_hold(5);
end if;
if (scanwrite_enabled = '1') then
if (vco_out(0)'event and vco_out(0) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 0) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 0) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(1)'event and vco_out(1) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 1) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 1) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(2)'event and vco_out(2) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 2) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 2) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(3)'event and vco_out(3) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 3) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 3) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(4)'event and vco_out(4) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 4) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 4) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(5)'event and vco_out(5) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 5) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 5) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(6)'event and vco_out(6) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 6) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 6) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(7)'event and vco_out(7) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 7) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 7) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
end if;
-- revert counter phase tap values to POF programmed values
-- if PLL is reset
if (areset_ipd = '1') then
c_ph_val <= i_c_ph;
c_ph_val_tmp := i_c_ph;
m_ph_val <= i_m_ph;
m_ph_val_tmp := i_m_ph;
end if;
if (vco_out(0)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 0) then
inclk_c_from_vco(i) <= vco_out(0);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(0);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(0);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(0);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(0);
end if;
end if;
end loop;
if (m_ph_val = 0) then
inclk_m_from_vco <= vco_out(0);
end if;
end if;
if (vco_out(1)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 1) then
inclk_c_from_vco(i) <= vco_out(1);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(1);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(1);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(1);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(1);
end if;
end if;
end loop;
if (m_ph_val = 1) then
inclk_m_from_vco <= vco_out(1);
end if;
end if;
if (vco_out(2)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 2) then
inclk_c_from_vco(i) <= vco_out(2);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(2);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(2);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(2);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(2);
end if;
end if;
end loop;
if (m_ph_val = 2) then
inclk_m_from_vco <= vco_out(2);
end if;
end if;
if (vco_out(3)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 3) then
inclk_c_from_vco(i) <= vco_out(3);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(3);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(3);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(3);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(3);
end if;
end if;
end loop;
if (m_ph_val = 3) then
inclk_m_from_vco <= vco_out(3);
end if;
end if;
if (vco_out(4)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 4) then
inclk_c_from_vco(i) <= vco_out(4);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(4);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(4);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(4);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(4);
end if;
end if;
end loop;
if (m_ph_val = 4) then
inclk_m_from_vco <= vco_out(4);
end if;
end if;
if (vco_out(5)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 5) then
inclk_c_from_vco(i) <= vco_out(5);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(5);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(5);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(5);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(5);
end if;
end if;
end loop;
if (m_ph_val = 5) then
inclk_m_from_vco <= vco_out(5);
end if;
end if;
if (vco_out(6)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 6) then
inclk_c_from_vco(i) <= vco_out(6);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(6);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(6);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(6);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(6);
end if;
end if;
end loop;
if (m_ph_val = 6) then
inclk_m_from_vco <= vco_out(6);
end if;
end if;
if (vco_out(7)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 7) then
inclk_c_from_vco(i) <= vco_out(7);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(7);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(7);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(7);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(7);
end if;
end if;
end loop;
if (m_ph_val = 7) then
inclk_m_from_vco <= vco_out(7);
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_scandata_scanclk,
TimingData => TimingData_scandata_scanclk,
TestSignal => scandata_ipd,
TestSignalName => "scandata",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scandata_scanclk_noedge_posedge,
SetupLow => tsetup_scandata_scanclk_noedge_posedge,
HoldHigh => thold_scandata_scanclk_noedge_posedge,
HoldLow => thold_scandata_scanclk_noedge_posedge,
-- CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_scanread_scanclk,
TimingData => TimingData_scanread_scanclk,
TestSignal => scanread_ipd,
TestSignalName => "scanread",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scanread_scanclk_noedge_posedge,
SetupLow => tsetup_scanread_scanclk_noedge_posedge,
HoldHigh => thold_scanread_scanclk_noedge_posedge,
HoldLow => thold_scanread_scanclk_noedge_posedge,
-- CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_scanwrite_scanclk,
TimingData => TimingData_scanwrite_scanclk,
TestSignal => scanwrite_ipd,
TestSignalName => "scanwrite",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scanwrite_scanclk_noedge_posedge,
SetupLow => tsetup_scanwrite_scanclk_noedge_posedge,
HoldHigh => thold_scanwrite_scanclk_noedge_posedge,
HoldLow => thold_scanwrite_scanclk_noedge_posedge,
-- CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (scanclk_ipd'event and scanclk_ipd = '0') then
-- enable scanwrite on falling edge
scanwrite_enabled <= scanwrite_reg;
end if;
if (scanread_reg = '1') then
gated_scanclk <= transport scanclk_ipd and scanread_reg;
else
gated_scanclk <= transport '1';
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
-- register scanread and scanwrite
scanread_reg <= scanread_ipd;
scanwrite_reg <= scanwrite_ipd;
if (got_first_scanclk) then
scanclk_period := now - scanclk_last_rising_edge;
else
got_first_scanclk := true;
end if;
-- reset got_first_scanclk on falling edge of scanread_reg
if (scanread_ipd = '0' and scanread_reg = '1') then
got_first_scanclk := false;
got_first_gated_scanclk := false;
end if;
scanclk_last_rising_edge := now;
end if;
if (gated_scanclk'event and gated_scanclk = '1' and now > 0 ps) then
if (not got_first_gated_scanclk) then
got_first_gated_scanclk := true;
end if;
for j in scan_chain_length - 1 downto 1 loop
scan_data(j) <= scan_data(j-1);
end loop;
scan_data(0) <= scandata_ipd;
end if;
end process;
scandataout_tmp <= scan_data(FAST_SCAN_CHAIN-1) when (pll_type = "fast" or pll_type = "lvds") else scan_data(GPP_SCAN_CHAIN-1);
process (schedule_vco, areset_ipd, ena_ipd, pfdena_ipd, refclk, fbclk)
variable sched_time : time := 0 ps;
TYPE time_array is ARRAY (0 to 7) of time;
variable init : boolean := true;
variable refclk_period : time;
variable m_times_vco_period : time;
variable new_m_times_vco_period : time;
variable phase_shift : time_array := (OTHERS => 0 ps);
variable last_phase_shift : time_array := (OTHERS => 0 ps);
variable l_index : integer := 1;
variable cycle_to_adjust : integer := 0;
variable stop_vco : boolean := false;
variable locked_tmp : std_logic := '0';
variable pll_is_locked : boolean := false;
variable pll_about_to_lock : boolean := false;
variable cycles_to_lock : integer := 0;
variable cycles_to_unlock : integer := 0;
variable got_first_refclk : boolean := false;
variable got_second_refclk : boolean := false;
variable got_first_fbclk : boolean := false;
variable refclk_time : time := 0 ps;
variable fbclk_time : time := 0 ps;
variable first_fbclk_time : time := 0 ps;
variable fbclk_period : time := 0 ps;
variable first_schedule : boolean := true;
variable vco_val : std_logic := '0';
variable vco_period_was_phase_adjusted : boolean := false;
variable phase_adjust_was_scheduled : boolean := false;
variable loop_xplier : integer;
variable loop_initial : integer := 0;
variable loop_ph : integer := 0;
variable loop_time_delay : integer := 0;
variable initial_delay : time := 0 ps;
variable vco_per : time;
variable tmp_rem : integer;
variable my_rem : integer;
variable fbk_phase : integer := 0;
variable pull_back_M : integer := 0;
variable total_pull_back : integer := 0;
variable fbk_delay : integer := 0;
variable offset : time := 0 ps;
variable tmp_vco_per : integer := 0;
variable high_time : time;
variable low_time : time;
variable got_refclk_posedge : boolean := false;
variable got_fbclk_posedge : boolean := false;
variable inclk_out_of_range : boolean := false;
variable no_warn : boolean := false;
variable ext_fbk_cntr_modulus : integer := 1;
variable init_clks : boolean := true;
variable pll_is_in_reset : boolean := false;
begin
if (init) then
-- jump-start the VCO
-- add 1 ps delay to ensure all signals are updated to initial
-- values
schedule_vco <= transport not schedule_vco after 1 ps;
init := false;
end if;
if (schedule_vco'event) then
if (init_clks) then
refclk_period := inclk0_input_frequency * n_val(0) * 1 ps;
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
init_clks := false;
end if;
sched_time := 0 ps;
for i in 0 to 7 loop
last_phase_shift(i) := phase_shift(i);
end loop;
cycle_to_adjust := 0;
l_index := 1;
m_times_vco_period := new_m_times_vco_period;
end if;
-- areset was asserted
if (areset_ipd'event and areset_ipd = '1') then
assert false report "PLL was reset" severity note;
-- reset lock parameters
locked_tmp := '0';
pll_is_locked := false;
pll_about_to_lock := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
end if;
-- ena was deasserted
if (ena_ipd'event and ena_ipd = '0') then
assert false report "PLL was disabled" severity note;
end if;
if (schedule_vco'event and (areset_ipd = '1' or ena_ipd = '0' or stop_vco)) then
if (areset_ipd = '1') then
pll_is_in_reset := true;
end if;
-- drop VCO taps to 0
for i in 0 to 7 loop
vco_out(i) <= transport '0' after last_phase_shift(i);
phase_shift(i) := 0 ps;
last_phase_shift(i) := 0 ps;
end loop;
-- reset lock parameters
locked_tmp := '0';
pll_is_locked := false;
pll_about_to_lock := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
got_first_refclk := false;
got_second_refclk := false;
refclk_time := 0 ps;
got_first_fbclk := false;
fbclk_time := 0 ps;
first_fbclk_time := 0 ps;
fbclk_period := 0 ps;
first_schedule := true;
vco_val := '0';
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
elsif ((schedule_vco'event or ena_ipd'event or areset_ipd'event) and areset_ipd = '0' and ena_ipd = '1' and (not stop_vco) and now > 0 ps) then
-- note areset deassert time
-- note it as refclk_time to prevent false triggering
-- of stop_vco after areset
if (areset_ipd'event and areset_ipd = '0' and pll_is_in_reset) then
refclk_time := now;
pll_is_in_reset := false;
end if;
-- calculate loop_xplier : this will be different from m_val
-- in external_feedback_mode
loop_xplier := m_val(0);
loop_initial := m_initial_val - 1;
loop_ph := m_ph_val;
if (operation_mode = "external_feedback") then
if (ext_fbk_cntr_mode = "bypass") then
ext_fbk_cntr_modulus := 1;
else
ext_fbk_cntr_modulus := ext_fbk_cntr_high + ext_fbk_cntr_low;
end if;
loop_xplier := m_val(0) * (ext_fbk_cntr_modulus);
loop_ph := ext_fbk_cntr_ph;
loop_initial := ext_fbk_cntr_initial - 1 + ((m_initial_val - 1) * ext_fbk_cntr_modulus);
end if;
-- convert initial value to delay
initial_delay := (loop_initial * m_times_vco_period)/loop_xplier;
-- convert loop ph_tap to delay
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
tmp_vco_per := (m_times_vco_period/1 ps) / loop_xplier;
if (my_rem /= 0) then
tmp_vco_per := tmp_vco_per + 1;
end if;
fbk_phase := (loop_ph * tmp_vco_per)/8;
if (operation_mode = "external_feedback") then
pull_back_M := (m_initial_val - 1) * ext_fbk_cntr_modulus * ((refclk_period/loop_xplier)/1 ps);
while (pull_back_M > refclk_period/1 ps) loop
pull_back_M := pull_back_M - refclk_period/ 1 ps;
end loop;
else
pull_back_M := initial_delay/1 ps + fbk_phase;
end if;
total_pull_back := pull_back_M;
if (simulation_type = "timing") then
total_pull_back := total_pull_back + pll_compensation_delay;
end if;
while (total_pull_back > refclk_period/1 ps) loop
total_pull_back := total_pull_back - refclk_period/1 ps;
end loop;
if (total_pull_back > 0) then
offset := refclk_period - (total_pull_back * 1 ps);
end if;
if (operation_mode = "external_feedback") then
fbk_delay := pull_back_M;
if (simulation_type = "timing") then
fbk_delay := fbk_delay + pll_compensation_delay;
end if;
else
fbk_delay := total_pull_back - fbk_phase;
if (fbk_delay < 0) then
offset := offset - (fbk_phase * 1 ps);
fbk_delay := total_pull_back;
end if;
end if;
-- assign m_delay
m_delay <= transport fbk_delay after 1 ps;
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
for i in 1 to loop_xplier loop
-- adjust cycles
tmp_vco_per := (m_times_vco_period/1 ps)/loop_xplier;
if (my_rem /= 0 and l_index <= my_rem) then
tmp_rem := (loop_xplier * l_index) rem my_rem;
cycle_to_adjust := (loop_xplier * l_index) / my_rem;
if (tmp_rem /= 0) then
cycle_to_adjust := cycle_to_adjust + 1;
end if;
end if;
if (cycle_to_adjust = i) then
tmp_vco_per := tmp_vco_per + 1;
l_index := l_index + 1;
end if;
-- calculate high and low periods
vco_per := tmp_vco_per * 1 ps;
high_time := (tmp_vco_per/2) * 1 ps;
if (tmp_vco_per rem 2 /= 0) then
high_time := high_time + 1 ps;
end if;
low_time := vco_per - high_time;
-- schedule the rising and falling edges
for j in 1 to 2 loop
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
if (first_schedule) then
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
else
vco_out(k) <= transport vco_val after (sched_time + last_phase_shift(k));
end if;
end loop;
end loop;
end loop;
-- schedule once more
if (first_schedule) then
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
end loop;
first_schedule := false;
end if;
schedule_vco <= transport not schedule_vco after sched_time;
if (vco_period_was_phase_adjusted) then
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := true;
vco_per := m_times_vco_period/loop_xplier;
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
end loop;
end if;
end if;
if (refclk'event and refclk = '1' and areset_ipd = '0') then
got_refclk_posedge := true;
if (not got_first_refclk) then
got_first_refclk := true;
else
got_second_refclk := true;
refclk_period := now - refclk_time;
-- check if incoming freq. will cause VCO range to be
-- exceeded
if ( (vco_max /= 0 and vco_min /= 0 and pfdena_ipd = '1') and
(((refclk_period/1 ps)/loop_xplier > vco_max) or
((refclk_period/1 ps)/loop_xplier < vco_min)) ) then
if (pll_is_locked) then
assert false report " Input clock freq. is not within VCO range : PLL may lose lock" severity warning;
if (inclk_out_of_range) then
pll_is_locked := false;
locked_tmp := '0';
pll_about_to_lock := false;
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report "Stratixii PLL lost lock." severity note;
end if;
elsif (not no_warn) then
assert false report " Input clock freq. is not within VCO range : PLL may not lock. Please use the correct frequency." severity warning;
no_warn := true;
end if;
inclk_out_of_range := true;
else
inclk_out_of_range := false;
end if;
end if;
if (stop_vco) then
stop_vco := false;
schedule_vco <= not schedule_vco;
end if;
refclk_time := now;
else
got_refclk_posedge := false;
end if;
if (fbclk'event and fbclk = '1') then
got_fbclk_posedge := true;
if (not got_first_fbclk) then
got_first_fbclk := true;
else
fbclk_period := now - fbclk_time;
end if;
-- need refclk_period here, so initialized to proper value above
if ( ( (now - refclk_time > 1.5 * refclk_period) and pfdena_ipd = '1' and pll_is_locked) or ( (now - refclk_time > 5 * refclk_period) and pfdena_ipd = '1') ) then
stop_vco := true;
-- reset
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
if (pll_is_locked) then
pll_is_locked := false;
locked_tmp := '0';
assert false report "PLL lost lock due to loss of input clock" severity note;
end if;
pll_about_to_lock := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
first_schedule := true;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
end if;
fbclk_time := now;
else
got_fbclk_posedge := false;
end if;
if ((got_refclk_posedge or got_fbclk_posedge) and got_second_refclk and pfdena_ipd = '1' and (not inclk_out_of_range)) then
-- now we know actual incoming period
if ( abs(fbclk_time - refclk_time) <= 5 ps or
(got_first_fbclk and abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5 ps)) then
-- considered in phase
if (cycles_to_lock = valid_lock_multiplier - 1) then
pll_about_to_lock := true;
end if;
if (cycles_to_lock = valid_lock_multiplier) then
if (not pll_is_locked) then
assert false report "PLL locked to incoming clock" severity note;
end if;
pll_is_locked := true;
locked_tmp := '1';
cycles_to_unlock := 0;
end if;
-- increment lock counter only if second part of above
-- time check is NOT true
if (not(abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5 ps)) then
cycles_to_lock := cycles_to_lock + 1;
end if;
-- adjust m_times_vco_period
new_m_times_vco_period := refclk_period;
else
-- if locked, begin unlock
if (pll_is_locked) then
cycles_to_unlock := cycles_to_unlock + 1;
if (cycles_to_unlock = invalid_lock_multiplier) then
pll_is_locked := false;
locked_tmp := '0';
pll_about_to_lock := false;
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report "PLL lost lock." severity note;
end if;
end if;
if ( abs(refclk_period - fbclk_period) <= 2 ps ) then
-- frequency is still good
if (now = fbclk_time and (not phase_adjust_was_scheduled)) then
if ( abs(fbclk_time - refclk_time) > refclk_period/2) then
new_m_times_vco_period := m_times_vco_period + (refclk_period - abs(fbclk_time - refclk_time));
vco_period_was_phase_adjusted := true;
else
new_m_times_vco_period := m_times_vco_period - abs(fbclk_time - refclk_time);
vco_period_was_phase_adjusted := true;
end if;
end if;
else
phase_adjust_was_scheduled := false;
new_m_times_vco_period := refclk_period;
end if;
end if;
end if;
if (pfdena_ipd = '0') then
if (pll_is_locked) then
locked_tmp := 'X';
end if;
pll_is_locked := false;
cycles_to_lock := 0;
end if;
-- give message only at time of deassertion
if (pfdena_ipd'event and pfdena_ipd = '0') then
assert false report "PFDENA deasserted." severity note;
elsif (pfdena_ipd'event and pfdena_ipd = '1') then
got_first_refclk := false;
got_second_refclk := false;
refclk_time := now;
end if;
if (reconfig_err) then
lock <= '0';
else
lock <= locked_tmp;
end if;
about_to_lock <= pll_about_to_lock after 1 ps;
-- signal to calculate quiet_time
sig_refclk_period <= refclk_period;
if (stop_vco = true) then
sig_stop_vco <= '1';
else
sig_stop_vco <= '0';
end if;
end process;
clk0_tmp <= c_clk(i_clk0_counter);
-- clk0_tmp <= c0_clk when i_clk0_counter = "c0" else
-- c_clk(1) when i_clk0_counter = "c1" else
-- c2_clk when i_clk0_counter = "c2" else
-- c3_clk when i_clk0_counter = "c3" else
-- c4_clk when i_clk0_counter = "c4" else
-- c5_clk when i_clk0_counter = "c5" else
-- '0';
clk(0) <= clk0_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk1_tmp <= c_clk(i_clk1_counter);
-- clk1_tmp <= c_clk(0) when i_clk1_counter = "c0" else
-- c_clk(1) when i_clk1_counter = "c1" else
-- c2_clk when i_clk1_counter = "c2" else
-- c3_clk when i_clk1_counter = "c3" else
-- c4_clk when i_clk1_counter = "c4" else
-- c5_clk when i_clk1_counter = "c5" else
-- '0';
clk(1) <= clk1_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk2_tmp <= c_clk(i_clk2_counter);
-- clk2_tmp <= c_clk(0) when i_clk2_counter = "c0" else
-- c_clk(1) when i_clk2_counter = "c1" else
-- c2_clk when i_clk2_counter = "c2" else
-- c3_clk when i_clk2_counter = "c3" else
-- c4_clk when i_clk2_counter = "c4" else
-- c5_clk when i_clk2_counter = "c5" else
-- '0';
clk(2) <= clk2_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk3_tmp <= c_clk(i_clk3_counter);
-- clk3_tmp <= c_clk(0) when i_clk3_counter = "c0" else
-- c_clk(1) when i_clk3_counter = "c1" else
-- c2_clk when i_clk3_counter = "c2" else
-- c3_clk when i_clk3_counter = "c3" else
-- c4_clk when i_clk3_counter = "c4" else
-- c5_clk when i_clk3_counter = "c5" else
-- '0';
clk(3) <= clk3_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk4_tmp <= c_clk(i_clk4_counter);
-- clk4_tmp <= c_clk(0) when i_clk4_counter = "c0" else
-- c_clk(1) when i_clk4_counter = "c1" else
-- c2_clk when i_clk4_counter = "c2" else
-- c3_clk when i_clk4_counter = "c3" else
-- c4_clk when i_clk4_counter = "c4" else
-- c5_clk when i_clk4_counter = "c5" else
-- '0';
clk(4) <= clk4_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk5_tmp <= c_clk(i_clk5_counter);
-- clk5_tmp <= c_clk(0) when i_clk5_counter = "c0" else
-- c_clk(1) when i_clk5_counter = "c1" else
-- c2_clk when i_clk5_counter = "c2" else
-- c3_clk when i_clk5_counter = "c3" else
-- c4_clk when i_clk5_counter = "c4" else
-- c5_clk when i_clk5_counter = "c5" else
-- '0';
clk(5) <= clk5_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
sclkout(0) <= sclkout0_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
sclkout(1) <= sclkout1_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
enable0 <= enable0_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
enable1 <= enable1_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
scandataout <= scandataout_tmp;
scandone <= scandone_tmp;
end vital_pll;
-- END ARCHITECTURE VITAL_PLL
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_mac_bit_register
--
-- Description : a single bit register. This is used for registering all
-- single bit input ports.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_bit_register IS
GENERIC (
power_up : std_logic := '0';
tipd_data : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst);
PORT (
data : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic := '0'
);
END stratixii_mac_bit_register;
ARCHITECTURE arch OF stratixii_mac_bit_register IS
SIGNAL data_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '1';
SIGNAL dataout_reg : std_logic := '0';
SIGNAL viol_notifier : std_logic := '0';
SIGNAL data_dly : std_logic := '0';
BEGIN
WireDelay : block
begin
VitalWireDelay (data_ipd, data, tipd_data);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
clk_delay: process (data_ipd)
begin
data_dly <= data_ipd;
end process;
PROCESS (data_dly, clk_ipd, aclr_ipd, ena_ipd, async)
variable dataout_reg : STD_LOGIC := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable Tviol_clk_ena : STD_ULOGIC := '0';
variable Tviol_data_clk : STD_ULOGIC := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_ena : STD_ULOGIC := '0';
variable PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
BEGIN
if(async = '1') then
dataout_reg := data_dly;
else
if (if_aclr = '1') then
IF (aclr_ipd = '1') THEN
dataout_reg := '0';
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg := data_dly;
ELSE
dataout_reg := dataout_reg;
END IF;
END IF;
else
IF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg := data_dly;
ELSE
dataout_reg := dataout_reg;
END IF;
END IF;
end if;
end if;
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => dataout_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
END PROCESS;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_REGISTER
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_register IS
GENERIC (
data_width : integer := 18;
power_up : std_logic := '0';
tipd_data : VitalDelayArrayType01(143 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst);
PORT (
data : IN std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic_vector(data_width -1 DOWNTO 0) := (others => '0'));
END stratixii_mac_register;
ARCHITECTURE arch OF stratixii_mac_register IS
SIGNAL data_ipd : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '1';
SIGNAL dataout_reg : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL viol_notifier : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 : for i in data'range generate
VitalWireDelay (data_ipd(i), data(i), tipd_data(i));
end generate;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
PROCESS (data_ipd, clk_ipd, aclr_ipd, ena_ipd, async)
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(71 downto 0);
variable Tviol_clk_ena : STD_ULOGIC := '0';
variable Tviol_data_clk : STD_ULOGIC := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_ena : STD_ULOGIC := '0';
variable PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
BEGIN
if(async = '1') then
dataout_reg <= data_ipd;
else
if (if_aclr = '1') then
IF (aclr_ipd = '1') THEN
dataout_reg <= (others => '0');
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg <= data_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
else
IF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg <= data_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
end if;
end if;
END PROCESS;
PathDelay : block
begin
g1 : for i in dataout'range generate
PROCESS (dataout_reg(i))
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_reg(i),
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
end process;
end generate;
end block;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_RS_BLOCK
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
library grlib;
use grlib.stdlib.all;
ENTITY stratixii_mac_rs_block IS
GENERIC (
tpd_saturate_dataout : VitalDelayType01 := DefPropDelay01;
tpd_round_dataout : VitalDelayType01 := DefPropDelay01;
block_type : string := "mac_mult";
dataa_width : integer := 18;
datab_width : integer := 18);
PORT (
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
addnsub : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
signsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
roundsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataoutsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0'));
END stratixii_mac_rs_block;
ARCHITECTURE arch OF stratixii_mac_rs_block IS
SIGNAL round_ipd : std_logic := '0';
SIGNAL saturate_ipd : std_logic := '0';
SIGNAL addnsub_ipd : std_logic := '0';
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datain_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_tbuf : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_saturate : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_mac_mult : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_mac_out : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_round : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_saturate : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_dly : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL saturated : std_logic := '0';
SIGNAL min : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL max : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL msb : std_logic := '0';
SIGNAL dataout_tmp1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
round_ipd <= round ;
saturate_ipd <= saturate ;
addnsub_ipd <= addnsub ;
signa_ipd <= signa ;
signb_ipd <= signb ;
dataa_ipd(dataa_width-1 downto 0) <= dataa;
datab_ipd(datab_width-1 downto 0) <= datab;
datain_ipd(71 downto 0) <= datain(71 downto 0) ;
PROCESS (datain_ipd,
signa_ipd,
signb_ipd,
addnsub_ipd,
round_ipd)
VARIABLE dataout_round_tmp2 : std_logic_vector(71 DOWNTO 0);
BEGIN
IF (round_ipd = '1') THEN
dataout_round_tmp2 := datain_ipd + (2 **(conv_integer(dataoutsize - signsize - roundsize - "00000001")));
ELSE
dataout_round_tmp2 := datain_ipd;
END IF;
dataout_round <= dataout_round_tmp2;
END PROCESS;
PROCESS (datain_ipd,
signa_ipd,
signb_ipd,
round_ipd,
saturate_ipd,
addnsub_ipd,
dataout_round)
VARIABLE dataout_saturate_tmp3 : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE saturated_tmp4 : std_logic := '0';
VARIABLE gnd : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE min_tmp5 : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE max_tmp6 : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE msb_tmp7 : std_logic := '0';
VARIABLE i : integer;
BEGIN
IF (saturate_ipd = '1') THEN
IF (block_type = "mac_mult") THEN
IF (dataout_round(dataa_width + datab_width - 1) = '0' AND dataout_round(dataa_width + datab_width - 2) = '1') THEN
dataout_saturate_tmp3 := "111111111111111111111111111111111111111111111111111111111111111111111111";
FOR i IN dataa_width + datab_width - 2 TO (72 - 1) LOOP
dataout_saturate_tmp3(i) := '0';
END LOOP;
saturated_tmp4 := '1';
ELSE
dataout_saturate_tmp3 := dataout_round;
saturated_tmp4 := '0';
END IF;
min_tmp5 := dataout_saturate_tmp3;
max_tmp6 := dataout_saturate_tmp3;
ELSE
IF ((operation(2) = '1') AND ((block_type = "ab") OR (block_type = "cd"))) THEN
saturated_tmp4 := '0';
i := datab_width - 2;
WHILE (i < (datab_width + signsize - 2)) LOOP
IF (dataout_round(datab_width - 2) /= dataout_round(i)) THEN
saturated_tmp4 := '1';
END IF;
i := i + 1;
END LOOP;
IF (saturated_tmp4 = '1') THEN
min_tmp5 := "111111111111111111111111111111111111111111111111111111111111111111111111";
max_tmp6 := "111111111111111111111111111111111111111111111111111111111111111111111111";
FOR i IN 0 TO ((datab_width - 2) - 1) LOOP
max_tmp6(i) := '0';
END LOOP;
FOR i IN datab_width - 2 TO (72 - 1) LOOP
min_tmp5(i) := '0';
END LOOP;
ELSE
dataout_saturate_tmp3 := dataout_round;
END IF;
msb_tmp7 := dataout_round(datab_width + 15);
ELSE
IF ((signa_ipd OR signb_ipd OR NOT addnsub_ipd) = '1') THEN
min_tmp5 := gnd + (2**((dataa_width)));
max_tmp6 := gnd + ((2**((dataa_width))) - 1);
ELSE
min_tmp5 := "000000000000000000000000000000000000000000000000000000000000000000000000";
max_tmp6 := gnd + ((2**((dataa_width + 1))) - 1);
END IF;
saturated_tmp4 := '0';
i := dataa_width - 2;
WHILE (i < (dataa_width + signsize - 1)) LOOP
IF (dataout_round(dataa_width - 2) /= dataout_round(i)) THEN
saturated_tmp4 := '1';
END IF;
i := i + 1;
END LOOP;
msb_tmp7 := dataout_round(i);
END IF;
IF (saturated_tmp4 = '1') THEN
IF (msb_tmp7 = '1') THEN
dataout_saturate_tmp3 := max_tmp6;
ELSE
dataout_saturate_tmp3 := min_tmp5;
END IF;
ELSE
dataout_saturate_tmp3 := dataout_round;
END IF;
END IF;
ELSE
saturated_tmp4 := '0';
dataout_saturate_tmp3 := dataout_round;
END IF;
dataout_saturate <= dataout_saturate_tmp3;
saturated <= saturated_tmp4;
min <= min_tmp5;
max <= max_tmp6;
msb <= msb_tmp7;
END PROCESS;
PROCESS (datain_ipd,
signa_ipd,
signb_ipd,
round_ipd,
saturate_ipd,
dataout_round,
dataout_saturate)
VARIABLE dataout_dly_tmp8 : std_logic_vector(71 DOWNTO 0);
VARIABLE i : integer;
BEGIN
IF (round_ipd = '1') THEN
dataout_dly_tmp8 := dataout_saturate;
i := 0;
WHILE (i < (dataoutsize - signsize - roundsize)) LOOP
dataout_dly_tmp8(i) := '0';
i := i + 1;
END LOOP;
ELSE
dataout_dly_tmp8 := dataout_saturate;
END IF;
dataout_dly <= dataout_dly_tmp8;
END PROCESS;
dataout_tbuf <= datain WHEN (operation = "0000") OR (operation = "0111") ELSE rs_saturate ;
rs_saturate <= rs_mac_mult WHEN (saturate_ipd = '1') ELSE rs_mac_out ;
rs_mac_mult <= (dataout_dly(71 DOWNTO 3) & "00" & saturated)
WHEN ((saturate_ipd = '1') AND (saturated = '1') AND (block_type = "mac_mult")) ELSE rs_mac_out ;
rs_mac_out <= (dataout_dly(71 DOWNTO 3) & saturated & datain_ipd(1 DOWNTO 0))
WHEN ((saturate_ipd = '1') AND (block_type /= "mac_mult")) ELSE dataout_dly ;
PROCESS (dataout_tbuf)
VARIABLE dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(71 downto 0);
BEGIN
VitalPathDelay01 (
OutSignal => dataout(0),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(0),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(1),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(1),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(2),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(2),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(3),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(3),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(4),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(4),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(5),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(5),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(6),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(6),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(6),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(7),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(7),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(7),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(8),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(8),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(8),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(9),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(9),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(9),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(10),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(10),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(10),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(11),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(11),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(11),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(12),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(12),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(12),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(13),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(13),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(13),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(14),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(14),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(14),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(15),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(15),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(15),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(16),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(16),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(16),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(17),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(17),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(17),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(18),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(18),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(18),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(19),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(19),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(19),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(20),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(20),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(20),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(21),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(21),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(21),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(22),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(22),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(22),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(23),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(23),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(23),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(24),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(24),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(24),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(25),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(25),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(25),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(26),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(26),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(26),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(27),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(27),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(27),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(28),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(28),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(28),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(29),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(29),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(29),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(30),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(30),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(30),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(31),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(31),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(31),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(32),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(32),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(32),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(33),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(33),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(33),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(34),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(34),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(34),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(35),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(35),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(35),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(36),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(36),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(36),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(37),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(37),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(37),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(38),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(38),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(38),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(39),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(39),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(39),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(40),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(40),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(40),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(41),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(41),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(41),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(42),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(42),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(42),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(43),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(43),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(43),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(44),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(44),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(44),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(45),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(45),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(45),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(46),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(46),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(46),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(47),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(47),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(47),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(48),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(48),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(48),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(49),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(49),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(49),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(50),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(50),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(50),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(51),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(51),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(51),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(52),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(52),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(52),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(53),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(53),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(53),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(54),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(54),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(54),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(55),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(55),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(55),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(56),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(56),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(56),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(57),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(57),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(57),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(58),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(58),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(58),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(59),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(59),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(59),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(60),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(60),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(60),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(61),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(61),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(61),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(62),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(62),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(62),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(63),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(63),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(63),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(64),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(64),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(64),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(65),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(65),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(65),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(66),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(66),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(66),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(67),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(67),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(67),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(68),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(68),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(68),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(69),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(69),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(69),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(70),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(70),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(70),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(71),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(71),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(71),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
end process;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_MULT_INTERNAL
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
library grlib;
use grlib.stdlib.all;
ENTITY stratixii_mac_mult_internal IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataout_width : integer := 36;
dynamic_mode : string := "no";
tipd_dataa : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tpd_dataa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datab_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signb_dataout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_scanouta : VitalDelayType01 := DefPropDelay01;
tpd_datab_scanoutb : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0) := (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
bypass : IN std_logic := '0';
scanouta : OUT std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
scanoutb : OUT std_logic_vector(datab_width - 1 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(35 DOWNTO 0) := (others => '0')
);
END stratixii_mac_mult_internal;
ARCHITECTURE arch OF stratixii_mac_mult_internal IS
SIGNAL dataa_ipd : std_logic_vector(17 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(17 DOWNTO 0) := (others => '0');
SIGNAL neg : std_logic := '0';
SIGNAL dataout_pre_bypass : std_logic_vector((dataa_width+datab_width) -1 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp : std_logic_vector((dataa_width+datab_width) -1 DOWNTO 0) := (others => '0');
SIGNAL abs_a : std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
SIGNAL abs_b : std_logic_vector(datab_width - 1 DOWNTO 0) := (others => '0');
SIGNAL abs_output : std_logic_vector((dataa_width+datab_width) -1 DOWNTO 0) := (others => '0');
BEGIN
neg <= (dataa_ipd(dataa_width - 1) AND signa) XOR (datab_ipd(datab_width - 1) AND signb) ;
abs_a <= (NOT dataa_ipd(dataa_width - 1 DOWNTO 0) + 1) WHEN (signa AND dataa_ipd(dataa_width - 1)) = '1' ELSE dataa_ipd(dataa_width - 1 DOWNTO 0) ;
abs_b <= (NOT datab_ipd(datab_width - 1 DOWNTO 0) + 1) WHEN (signb AND datab_ipd(datab_width - 1)) = '1' ELSE datab_ipd(datab_width - 1 DOWNTO 0) ;
abs_output((dataa_width + datab_width) - 1 DOWNTO 0) <= abs_a(dataa_width-1 downto 0) * abs_b(datab_width-1 downto 0) ;
dataout_pre_bypass((dataa_width + datab_width) - 1 DOWNTO 0) <= (NOT abs_output + 1) WHEN neg = '1' ELSE abs_output ;
dataout_tmp((dataa_width + datab_width) - 1 DOWNTO 0) <= datab(datab_width-1 downto 0) & dataa(dataa_width-1 downto 0) when ((dynamic_mode = "yes") and (bypass = '1')) else dataa(dataa_width-1 downto 0) & datab(datab_width-1 downto 0) WHEN (bypass = '1') ELSE dataout_pre_bypass ;
PathDelay : block
begin
g1 : for i in 0 to 256 generate
do: if i < dataout_width generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout, TRUE),
2 => (signa'last_event, tpd_signa_dataout, TRUE),
3 => (signb'last_event, tpd_signb_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do;
sa: if i < dataa_width generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
PROCESS(dataa_ipd)
variable scanouta_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => scanouta(i),
OutSignalName => "scanouta",
OutTemp => dataa_ipd(i),
Paths => (1 => (dataa_ipd'last_event, tpd_dataa_scanouta, TRUE)),
GlitchData => scanouta_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
end process;
end generate;
sb: if i < datab_width generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
PROCESS(datab_ipd)
variable scanoutb_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => scanoutb(i),
OutSignalName => "scanoutb",
OutTemp => datab_ipd(i),
Paths => (1 => (datab_ipd'last_event, tpd_datab_scanoutb, TRUE)),
GlitchData => scanoutb_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
end process;
end generate;
end generate;
end block;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_MULT
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_mac_mult_internal;
use work.stratixii_mac_bit_register;
use work.stratixii_mac_register;
use work.stratixii_mac_rs_block;
library grlib;
use grlib.stdlib.all;
ENTITY stratixii_mac_mult IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataa_clock : string := "none";
datab_clock : string := "none";
signa_clock : string := "none";
signb_clock : string := "none";
round_clock : string := "none";
saturate_clock : string := "none";
output_clock : string := "none";
round_clear : string := "none";
saturate_clear : string := "none";
dataa_clear : string := "none";
datab_clear : string := "none";
signa_clear : string := "none";
signb_clear : string := "none";
output_clear : string := "none";
bypass_multiplier : string := "no";
mode_clock : string := "none";
zeroacc_clock : string := "none";
mode_clear : string := "none";
zeroacc_clear : string := "none";
signa_internally_grounded : string := "false";
signb_internally_grounded : string := "false";
lpm_hint : string := "true";
lpm_type : string := "stratixii_mac_mult";
dynamic_mode : string := "no");
PORT (
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
scanina : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
scaninb : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
sourcea : IN std_logic := '0';
sourceb : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
round : IN std_logic := '0';
saturate : IN std_logic := '0';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
mode : IN std_logic := '0';
zeroacc : IN std_logic := '0';
dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0) := (others => '0');
scanouta : OUT std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
scanoutb : OUT std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_mac_mult;
ARCHITECTURE arch OF stratixii_mac_mult IS
COMPONENT stratixii_mac_mult_internal
GENERIC (
dataout_width : integer := 36;
dataa_width : integer := 18;
datab_width : integer := 18;
dynamic_mode : string := "no";
tipd_dataa : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tpd_dataa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datab_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signb_dataout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_scanouta : VitalDelayType01 := DefPropDelay01;
tpd_datab_scanoutb : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
bypass : IN std_logic := '0';
scanouta : OUT std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
scanoutb : OUT std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(35 DOWNTO 0));
END COMPONENT;
COMPONENT stratixii_mac_bit_register
GENERIC (
power_up : std_logic := '0');
PORT (
data : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic := '0');
END COMPONENT;
COMPONENT stratixii_mac_register
GENERIC (
power_up : std_logic := '0';
data_width : integer := 18);
PORT (
data : IN std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic_vector(data_width -1 DOWNTO 0) := (others => '0'));
END COMPONENT;
COMPONENT stratixii_mac_rs_block
GENERIC (
tpd_saturate_dataout : VitalDelayType01 := DefPropDelay01;
tpd_round_dataout : VitalDelayType01 := DefPropDelay01;
block_type : string := "mac_mult";
dataa_width : integer := 18;
datab_width : integer := 18);
PORT (
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
addnsub : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
signsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
roundsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataoutsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0'));
END COMPONENT;
SIGNAL mult_output : std_logic_vector(35 DOWNTO 0) := (others => '0');
SIGNAL signa_out : std_logic := '0';
SIGNAL signb_out : std_logic := '0';
SIGNAL round_out : std_logic := '0';
SIGNAL saturate_out : std_logic := '0';
SIGNAL mode_out : std_logic := '0';
SIGNAL zeroacc_out : std_logic := '0';
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_rs : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL scanouta_tmp : std_logic_vector(dataa_width -1 DOWNTO 0) := (others => '0');
SIGNAL scanoutb_tmp : std_logic_vector(datab_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataa_src : std_logic_vector(dataa_width -1 DOWNTO 0) := (others => '0');
SIGNAL datab_src : std_logic_vector(datab_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataa_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL output_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL dataa_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL output_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL mode_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL mode_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL clk_dataa : std_logic := '0';
SIGNAL clear_dataa : std_logic := '0';
SIGNAL aclr_dataa : std_logic := '0';
SIGNAL ena_dataa : std_logic := '0';
SIGNAL async_dataa : std_logic := '0';
SIGNAL clk_datab : std_logic := '0';
SIGNAL clear_datab : std_logic := '0';
SIGNAL aclr_datab : std_logic := '0';
SIGNAL ena_datab : std_logic := '0';
SIGNAL async_datab : std_logic := '0';
SIGNAL clk_signa : std_logic := '0';
SIGNAL clear_signa : std_logic := '0';
SIGNAL aclr_signa : std_logic := '0';
SIGNAL ena_signa : std_logic := '0';
SIGNAL async_signa : std_logic := '0';
SIGNAL clk_signb : std_logic := '0';
SIGNAL clear_signb : std_logic := '0';
SIGNAL aclr_signb : std_logic := '0';
SIGNAL ena_signb : std_logic := '0';
SIGNAL async_signb : std_logic := '0';
SIGNAL clk_round : std_logic := '0';
SIGNAL clear_round : std_logic := '0';
SIGNAL aclr_round : std_logic := '0';
SIGNAL ena_round : std_logic := '0';
SIGNAL async_round : std_logic := '0';
SIGNAL clk_saturate : std_logic := '0';
SIGNAL clear_saturate : std_logic := '0';
SIGNAL aclr_saturate : std_logic := '0';
SIGNAL ena_saturate : std_logic := '0';
SIGNAL async_saturate : std_logic := '0';
SIGNAL clk_mode : std_logic := '0';
SIGNAL clear_mode : std_logic := '0';
SIGNAL aclr_mode : std_logic := '0';
SIGNAL ena_mode : std_logic := '0';
SIGNAL async_mode : std_logic := '0';
SIGNAL clk_zeroacc : std_logic := '0';
SIGNAL clear_zeroacc : std_logic := '0';
SIGNAL aclr_zeroacc : std_logic := '0';
SIGNAL ena_zeroacc : std_logic := '0';
SIGNAL async_zeroacc : std_logic := '0';
SIGNAL clk_output : std_logic := '0';
SIGNAL clear_output : std_logic := '0';
SIGNAL aclr_output : std_logic := '0';
SIGNAL ena_output : std_logic := '0';
SIGNAL async_output : std_logic := '0';
SIGNAL signa_internal : std_logic := '0';
SIGNAL signb_internal : std_logic := '0';
SIGNAL bypass : std_logic := '0';
SIGNAL mac_mult_dataoutsize : std_logic_vector(7 DOWNTO 0) := (others => '0');
SIGNAL tmp_4 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL tmp_60 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL port_tmp62 : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL port_tmp63 : std_logic := '0';
SIGNAL port_tmp64 : std_logic_vector(7 DOWNTO 0) := (others => '0');
SIGNAL port_tmp65 : std_logic_vector(7 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp1 : std_logic_vector(35 DOWNTO 0) := (others => '0');
SIGNAL scanouta_tmp2 : std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
SIGNAL scanoutb_tmp3 : std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
BEGIN
dataout <= dataout_tmp1(dataout'range);
scanouta <= scanouta_tmp2;
scanoutb <= scanoutb_tmp3;
dataout_tmp1 <= dataout_tmp(35 DOWNTO 0) ;
dataa_src <= scanina WHEN (sourcea = '1') ELSE dataa ;
datab_src <= scaninb WHEN (sourceb = '1') ELSE datab ;
dataa_mac_reg : stratixii_mac_register
GENERIC MAP (
data_width => dataa_width,
power_up => '0')
PORT MAP (
data => dataa_src,
clk => clk_dataa,
aclr => aclr_dataa,
if_aclr => clear_dataa,
ena => ena_dataa,
dataout => scanouta_tmp,
async => async_dataa);
async_dataa <= '1' WHEN (dataa_clock = "none") ELSE '0' ;
clear_dataa <= '1' WHEN (dataa_clear /= "none") ELSE '0' ;
clk_dataa <= '1' WHEN clk(conv_integer(dataa_clk)) = '1' ELSE '0' ;
aclr_dataa <= '1' WHEN (aclr(conv_integer(dataa_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_dataa <= '1' WHEN ena(conv_integer(dataa_clk)) = '1' ELSE '0' ;
dataa_clk <= "0000" WHEN ((dataa_clock = "0") OR (dataa_clock = "none")) ELSE "0001" WHEN (dataa_clock = "1") ELSE "0010" WHEN (dataa_clock = "2") ELSE "0011" WHEN (dataa_clock = "3") ELSE "0000" ;
dataa_aclr <= "0000" WHEN ((dataa_clear = "0") OR (dataa_clear = "none")) ELSE "0001" WHEN (dataa_clear = "1") ELSE "0010" WHEN (dataa_clear = "2") ELSE "0011" WHEN (dataa_clear = "3") ELSE "0000" ;
datab_mac_reg : stratixii_mac_register
GENERIC MAP (
data_width => datab_width,
power_up => '0')
PORT MAP (
data => datab_src,
clk => clk_datab,
aclr => aclr_datab,
if_aclr => clear_datab,
ena => ena_datab,
dataout => scanoutb_tmp,
async => async_datab);
async_datab <= '1' WHEN (datab_clock = "none") ELSE '0' ;
clear_datab <= '1' WHEN (datab_clear /= "none") ELSE '0' ;
clk_datab <= '1' WHEN clk(conv_integer(datab_clk)) = '1' ELSE '0' ;
aclr_datab <= '1' WHEN (aclr(conv_integer(datab_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_datab <= '1' WHEN ena(conv_integer(datab_clk)) = '1' ELSE '0' ;
datab_clk <= "0000" WHEN ((datab_clock = "0") OR (datab_clock = "none")) ELSE "0001" WHEN (datab_clock = "1") ELSE "0010" WHEN (datab_clock = "2") ELSE "0011" WHEN (datab_clock = "3") ELSE "0000" ;
datab_aclr <= "0000" WHEN ((datab_clear = "0") OR (datab_clear = "none")) ELSE "0001" WHEN (datab_clear = "1") ELSE "0010" WHEN (datab_clear = "2") ELSE "0011" WHEN (datab_clear = "3") ELSE "0000" ;
signa_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => signa,
clk => clk_signa,
aclr => aclr_signa,
if_aclr => clear_signa,
ena => ena_signa,
dataout => signa_out,
async => async_signa);
async_signa <= '1' WHEN (signa_clock = "none") ELSE '0' ;
clear_signa <= '1' WHEN (signa_clear /= "none") ELSE '0' ;
clk_signa <= '1' WHEN clk(conv_integer(signa_clk)) = '1' ELSE '0' ;
aclr_signa <= '1' WHEN (aclr(conv_integer(signa_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_signa <= '1' WHEN ena(conv_integer(signa_clk)) = '1' ELSE '0' ;
signa_clk <= "0000" WHEN ((signa_clock = "0") OR (signa_clock = "none")) ELSE "0001" WHEN (signa_clock = "1") ELSE "0010" WHEN (signa_clock = "2") ELSE "0011" WHEN (signa_clock = "3") ELSE "0000" ;
signa_aclr <= "0000" WHEN ((signa_clear = "0") OR (signa_clear = "none")) ELSE "0001" WHEN (signa_clear = "1") ELSE "0010" WHEN (signa_clear = "2") ELSE "0011" WHEN (signa_clear = "3") ELSE "0000" ;
signb_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => signb,
clk => clk_signb,
aclr => aclr_signb,
if_aclr => clear_signb,
ena => ena_signb,
dataout => signb_out,
async => async_signb);
async_signb <= '1' WHEN (signb_clock = "none") ELSE '0' ;
clear_signb <= '1' WHEN (signb_clear /= "none") ELSE '0' ;
clk_signb <= '1' WHEN clk(conv_integer(signb_clk)) = '1' ELSE '0' ;
aclr_signb <= '1' WHEN (aclr(conv_integer(signb_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_signb <= '1' WHEN ena(conv_integer(signb_clk)) = '1' ELSE '0' ;
signb_clk <= "0000" WHEN ((signb_clock = "0") OR (signb_clock = "none")) ELSE "0001" WHEN (signb_clock = "1") ELSE "0010" WHEN (signb_clock = "2") ELSE "0011" WHEN (signb_clock = "3") ELSE "0000" ;
signb_aclr <= "0000" WHEN ((signb_clear = "0") OR (signb_clear = "none")) ELSE "0001" WHEN (signb_clear = "1") ELSE "0010" WHEN (signb_clear = "2") ELSE "0011" WHEN (signb_clear = "3") ELSE "0000" ;
round_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => round,
clk => clk_round,
aclr => aclr_round,
if_aclr => clear_round,
ena => ena_round,
dataout => round_out,
async => async_round);
async_round <= '1' WHEN (round_clock = "none") ELSE '0' ;
clear_round <= '1' WHEN (round_clear /= "none") ELSE '0' ;
clk_round <= '1' WHEN clk(conv_integer(round_clk)) = '1' ELSE '0' ;
aclr_round <= '1' WHEN (aclr(conv_integer(round_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_round <= '1' WHEN ena(conv_integer(round_clk)) = '1' ELSE '0' ;
round_clk <= "0000" WHEN ((round_clock = "0") OR (round_clock = "none")) ELSE "0001" WHEN (round_clock = "1") ELSE "0010" WHEN (round_clock = "2") ELSE "0011" WHEN (round_clock = "3") ELSE "0000" ;
round_aclr <= "0000" WHEN ((round_clear = "0") OR (round_clear = "none")) ELSE "0001" WHEN (round_clear = "1") ELSE "0010" WHEN (round_clear = "2") ELSE "0011" WHEN (round_clear = "3") ELSE "0000" ;
saturate_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => saturate,
clk => clk_saturate,
aclr => aclr_saturate,
if_aclr => clear_saturate,
ena => ena_saturate,
dataout => saturate_out,
async => async_saturate);
async_saturate <= '1' WHEN (saturate_clock = "none") ELSE '0' ;
clear_saturate <= '1' WHEN (saturate_clear /= "none") ELSE '0' ;
clk_saturate <= '1' WHEN clk(conv_integer(saturate_clk)) = '1' ELSE '0' ;
aclr_saturate <= '1' WHEN (aclr(conv_integer(saturate_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_saturate <= '1' WHEN ena(conv_integer(saturate_clk)) = '1' ELSE '0' ;
saturate_clk <= "0000" WHEN ((saturate_clock = "0") OR (saturate_clock = "none")) ELSE "0001" WHEN (saturate_clock = "1") ELSE "0010" WHEN (saturate_clock = "2") ELSE "0011" WHEN (saturate_clock = "3") ELSE "0000" ;
saturate_aclr <= "0000" WHEN ((saturate_clear = "0") OR (saturate_clear = "none")) ELSE "0001" WHEN (saturate_clear = "1") ELSE "0010" WHEN (saturate_clear = "2") ELSE "0011" WHEN (saturate_clear = "3") ELSE "0000" ;
mode_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => mode,
clk => clk_mode,
aclr => aclr_mode,
if_aclr => clear_mode,
ena => ena_mode,
dataout => mode_out,
async => async_mode);
async_mode <= '1' WHEN (mode_clock = "none") ELSE '0' ;
clear_mode <= '1' WHEN (mode_clear /= "none") ELSE '0' ;
clk_mode <= '1' WHEN clk(conv_integer(mode_clk)) = '1' ELSE '0' ;
aclr_mode <= '1' WHEN (aclr(conv_integer(mode_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_mode <= '1' WHEN ena(conv_integer(mode_clk)) = '1' ELSE '0' ;
mode_clk <= "0000" WHEN ((mode_clock = "0") OR (mode_clock = "none")) ELSE "0001" WHEN (mode_clock = "1") ELSE "0010" WHEN (mode_clock = "2") ELSE "0011" WHEN (mode_clock = "3") ELSE "0000" ;
mode_aclr <= "0000" WHEN ((mode_clear = "0") OR (mode_clear = "none")) ELSE "0001" WHEN (mode_clear = "1") ELSE "0010" WHEN (mode_clear = "2") ELSE "0011" WHEN (mode_clear = "3") ELSE "0000" ;
zeroacc_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => zeroacc,
clk => clk_zeroacc,
aclr => aclr_zeroacc,
if_aclr => clear_zeroacc,
ena => ena_zeroacc,
dataout => zeroacc_out,
async => async_zeroacc);
async_zeroacc <= '1' WHEN (zeroacc_clock = "none") ELSE '0' ;
clear_zeroacc <= '1' WHEN (zeroacc_clear /= "none") ELSE '0' ;
clk_zeroacc <= '1' WHEN clk(conv_integer(zeroacc_clk)) = '1' ELSE '0' ;
aclr_zeroacc <= '1' WHEN (aclr(conv_integer(zeroacc_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_zeroacc <= '1' WHEN ena(conv_integer(zeroacc_clk)) = '1' ELSE '0' ;
zeroacc_clk <= "0000" WHEN ((zeroacc_clock = "0") OR (zeroacc_clock = "none")) ELSE "0001" WHEN (zeroacc_clock = "1") ELSE "0010" WHEN (zeroacc_clock = "2") ELSE "0011" WHEN (zeroacc_clock = "3") ELSE "0000" ;
zeroacc_aclr <= "0000" WHEN ((zeroacc_clear = "0") OR (zeroacc_clear = "none")) ELSE "0001" WHEN (zeroacc_clear = "1") ELSE "0010" WHEN (zeroacc_clear = "2") ELSE "0011" WHEN (zeroacc_clear = "3") ELSE "0000" ;
mac_multiply : stratixii_mac_mult_internal
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
dataout_width => dataa_width + datab_width,
dynamic_mode => dynamic_mode)
PORT MAP (
dataa => scanouta_tmp,
datab => scanoutb_tmp,
signa => signa_internal,
signb => signb_internal,
bypass => bypass,
scanouta => scanouta_tmp2,
scanoutb => scanoutb_tmp3,
dataout => mult_output);
signa_internal <= '0' WHEN ((signa_internally_grounded = "true") AND (dynamic_mode = "no")) OR ((((signa_internally_grounded = "true") AND (dynamic_mode = "yes")) AND (zeroacc_out = '1')) AND (mode_out = '0')) ELSE signa_out ;
signb_internal <= '0' WHEN ((signb_internally_grounded = "true") AND (dynamic_mode = "no")) OR ((((signb_internally_grounded = "true") AND (dynamic_mode = "yes")) AND (zeroacc_out = '1')) AND (mode_out = '0')) ELSE signb_out ;
bypass <= '1' WHEN ((bypass_multiplier = "yes") AND (dynamic_mode = "no")) OR (((bypass_multiplier = "yes") AND (mode_out = '1')) AND (dynamic_mode = "yes")) ELSE '0' ;
tmp_60 <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & mult_output(35 DOWNTO 0);
port_tmp62 <= "1111";
port_tmp63 <= '0';
port_tmp64 <= "00000010";
port_tmp65 <= "00001111";
mac_rs_block : stratixii_mac_rs_block
GENERIC MAP (
block_type => "mac_mult",
dataa_width => dataa_width,
datab_width => datab_width)
PORT MAP (
operation => port_tmp62,
round => round_out,
saturate => saturate_out,
addnsub => port_tmp63,
signa => signa_out,
signb => signb_out,
signsize => port_tmp64,
roundsize => port_tmp65,
dataoutsize => mac_mult_dataoutsize,
dataa => scanouta_tmp,
datab => scanoutb_tmp,
datain => tmp_60,
dataout => dataout_rs);
mac_mult_dataoutsize <= CONV_STD_LOGIC_VECTOR(dataa_width + datab_width, 8) ;
dataout_reg <= tmp_60 when bypass = '1' else dataout_rs;
dataout_mac_reg : stratixii_mac_register
GENERIC MAP (
data_width => dataa_width + datab_width,
power_up => '0')
PORT MAP (
data => dataout_reg((dataa_width + datab_width) -1 downto 0),
clk => clk_output,
aclr => aclr_output,
if_aclr => clear_output,
ena => ena_output,
dataout => dataout_tmp((dataa_width + datab_width) -1 downto 0),
async => async_output);
async_output <= '1' WHEN (output_clock = "none") ELSE '0' ;
clear_output <= '1' WHEN (output_clear /= "none") ELSE '0' ;
clk_output <= '1' WHEN clk(conv_integer(output_clk)) = '1' ELSE '0' ;
aclr_output <= '1' WHEN (aclr(conv_integer(output_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_output <= '1' WHEN ena(conv_integer(output_clk)) = '1' ELSE '0' ;
output_clk <= "0000" WHEN ((output_clock = "0") OR (output_clock = "none")) ELSE "0001" WHEN (output_clock = "1") ELSE "0010" WHEN (output_clock = "2") ELSE "0011" WHEN (output_clock = "3") ELSE "0000" ;
output_aclr <= "0000" WHEN ((output_clear = "0") OR (output_clear = "none")) ELSE "0001" WHEN (output_clear = "1") ELSE "0010" WHEN (output_clear = "2") ELSE "0011" WHEN (output_clear = "3") ELSE "0000" ;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_DYNAMIC_MUX
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_dynamic_mux IS
PORT (
ab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
cd : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sata : IN std_logic := '0';
satb : IN std_logic := '0';
satc : IN std_logic := '0';
satd : IN std_logic := '0';
multsatab : IN std_logic := '0';
multsatcd : IN std_logic := '0';
outsatab : IN std_logic := '0';
outsatcd : IN std_logic := '0';
multabsaturate : IN std_logic := '0';
multcdsaturate : IN std_logic := '0';
saturateab : IN std_logic := '0';
saturatecd : IN std_logic := '0';
overab : IN std_logic := '0';
overcd : IN std_logic := '0';
sum : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
m36 : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
bypass : IN std_logic_vector(143 DOWNTO 0) := (others => '0');
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(143 DOWNTO 0) := (others => '0');
accoverflow : OUT std_logic := '0');
END stratixii_mac_dynamic_mux;
ARCHITECTURE arch OF stratixii_mac_dynamic_mux IS
SIGNAL dataout_tmp : std_logic_vector(143 DOWNTO 0) := (others => '0');
SIGNAL accoverflow_tmp : std_logic := '0';
SIGNAL dataout_tmp1 : std_logic_vector(143 DOWNTO 0) := (others => '0');
SIGNAL accoverflow_tmp2 : std_logic := '0';
BEGIN
dataout <= dataout_tmp1;
accoverflow <= accoverflow_tmp2;
PROCESS (ab, cd, sata, satb, satc, satd, multsatab, multsatcd, outsatab, outsatcd, multabsaturate, multcdsaturate, saturateab, saturatecd, overab, overcd, sum, m36, bypass, operation)
VARIABLE dataout_tmp_tmp3 : std_logic_vector(143 DOWNTO 0) := (others => '0');
VARIABLE accoverflow_tmp_tmp4 : std_logic := '0';
VARIABLE temp_tmp5 : std_logic_vector(1 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp6 : std_logic_vector(1 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp7 : std_logic_vector(3 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp8 : std_logic_vector(1 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp9 : std_logic_vector(1 DOWNTO 0) := (others => '0');
BEGIN
CASE operation IS
WHEN "0000" =>
dataout_tmp_tmp3 := bypass;
accoverflow_tmp_tmp4 := '0';
WHEN "0100" =>
temp_tmp5 := saturateab & multabsaturate;
CASE temp_tmp5 IS
WHEN "00" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
WHEN "01" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 2) & multsatab & ab(0);
WHEN "10" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "11" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overab;
WHEN "0001" =>
IF (multabsaturate = '1') THEN
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 2) & satb & sata;
ELSE
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 0);
END IF;
accoverflow_tmp_tmp4 := '0';
WHEN "0010" =>
temp_tmp6 := multsatcd & multsatab;
CASE temp_tmp6 IS
WHEN "00" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 0);
accoverflow_tmp_tmp4 := '0';
WHEN "01" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 2) & satb & sata;
accoverflow_tmp_tmp4 := '0';
WHEN "10" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 3) & satc & sum(1 DOWNTO 0);
accoverflow_tmp_tmp4 := satd;
WHEN "11" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 3) & satc & satb & sata;
accoverflow_tmp_tmp4 := satd;
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 0);
accoverflow_tmp_tmp4 := '0';
END CASE;
WHEN "0111" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & m36;
accoverflow_tmp_tmp4 := '0';
WHEN "1100" =>
temp_tmp7 := saturatecd & saturateab & multsatcd & multsatab;
CASE temp_tmp7 IS
WHEN "0000" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "0001" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "0010" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "0011" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "0100" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "0101" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN "0110" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "0111" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN "1000" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "1001" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "1010" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "1011" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "1100" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "1101" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN "1110" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "1111" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN OTHERS =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overab;
WHEN "1101" =>
temp_tmp8 := saturateab & multabsaturate;
CASE temp_tmp8 IS
WHEN "00" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
WHEN "01" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "10" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "11" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overab;
WHEN "1110" =>
temp_tmp9 := saturatecd & multcdsaturate;
CASE temp_tmp9 IS
WHEN "00" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & bypass(71 DOWNTO 0);
WHEN "01" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & bypass(71 DOWNTO 0);
WHEN "10" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & bypass(71 DOWNTO 0);
WHEN "11" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & bypass(71 DOWNTO 0);
WHEN OTHERS =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & bypass(71 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overcd;
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass;
accoverflow_tmp_tmp4 := '0';
END CASE;
dataout_tmp <= dataout_tmp_tmp3;
accoverflow_tmp <= accoverflow_tmp_tmp4;
END PROCESS;
dataout_tmp1 <= dataout_tmp ;
accoverflow_tmp2 <= accoverflow_tmp ;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_PIN_MAP
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_pin_map IS
GENERIC (
tipd_addnsub : VitalDelayType01 := DefPropDelay01;
data_width : integer := 144;
tipd_datain : VitalDelayArrayType01(143 downto 0) := (OTHERS => (20 ps,20 ps));
operation_mode : string := "output_only";
pinmap : string := "map");
PORT (
datain : IN std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
addnsub : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width -1 DOWNTO 0) := (others => '0'));
END stratixii_mac_pin_map;
ARCHITECTURE arch OF stratixii_mac_pin_map IS
SIGNAL addnsub_ipd : std_logic := '0';
SIGNAL datain_ipd : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp2 : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
VitalWireDelay (addnsub_ipd, addnsub, tipd_addnsub);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
dataout <= dataout_tmp2(dataout'range);
PROCESS (datain_ipd, addnsub_ipd)
VARIABLE dataout_tmp_tmp3 : std_logic_vector(143 DOWNTO 0) := (others => '0');
BEGIN
IF (operation_mode = "dynamic") THEN
IF (pinmap = "map") THEN
CASE operation IS
WHEN "1100" =>
dataout_tmp_tmp3 := "XXXXXXXXXXXXXXXXXXX" & datain_ipd(123 DOWNTO 108) &
'X' & datain_ipd(107 DOWNTO 72) &
"XXXXXXXXXXXXXXXXXXX" & datain_ipd(51 DOWNTO 36) &
'X' & datain_ipd(35 DOWNTO 0);
WHEN "1101" =>
dataout_tmp_tmp3 := datain_ipd(143 DOWNTO 72)& "XXXXXXXXXXXXXXXXXXX" & datain_ipd(51 DOWNTO 36) & 'X' & datain_ipd(35 DOWNTO 0);
WHEN "1110" =>
dataout_tmp_tmp3 := "XXXXXXXXXXXXXXXXXXX" & datain_ipd(123 DOWNTO 108) & 'X' & datain_ipd(107 DOWNTO 0);
WHEN "0111" =>
IF (addnsub_ipd = '1') THEN
dataout_tmp_tmp3(17 DOWNTO 0) := datain_ipd(17 DOWNTO 0);
dataout_tmp_tmp3(35 DOWNTO 18) := datain_ipd(53 DOWNTO 36);
dataout_tmp_tmp3(53 DOWNTO 36) := datain_ipd(35 DOWNTO 18);
dataout_tmp_tmp3(71 DOWNTO 54) := datain_ipd(71 DOWNTO 54);
ELSE
dataout_tmp_tmp3(17 DOWNTO 0) := "XXXXXXXXXXXXXXXXXX";
dataout_tmp_tmp3(35 DOWNTO 18) := "XXXXXXXXXXXXXXXXXX";
dataout_tmp_tmp3(53 DOWNTO 36) := "XXXXXXXXXXXXXXXXXX";
dataout_tmp_tmp3(71 DOWNTO 54) := "XXXXXXXXXXXXXXXXXX";
END IF;
dataout_tmp_tmp3(143 DOWNTO 72) := "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
WHEN OTHERS =>
dataout_tmp_tmp3 := datain_ipd;
END CASE;
ELSE
CASE operation IS
WHEN "1100" =>
dataout_tmp_tmp3(35 DOWNTO 0) := datain_ipd(35 DOWNTO 0);
dataout_tmp_tmp3(70 DOWNTO 36) := datain_ipd(71 DOWNTO 37);
dataout_tmp_tmp3(107 DOWNTO 72) := datain_ipd(107 DOWNTO 72);
dataout_tmp_tmp3(142 DOWNTO 108) := datain_ipd(143 DOWNTO 109);
WHEN "1101" =>
dataout_tmp_tmp3(35 DOWNTO 0) := datain_ipd(35 DOWNTO 0);
dataout_tmp_tmp3(70 DOWNTO 36) := datain_ipd(71 DOWNTO 37);
dataout_tmp_tmp3(143 DOWNTO 72) := datain_ipd(143 DOWNTO 72);
WHEN "1110" =>
dataout_tmp_tmp3(107 DOWNTO 0) := datain_ipd(107 DOWNTO 0);
dataout_tmp_tmp3(107 DOWNTO 72) := datain_ipd(107 DOWNTO 72);
dataout_tmp_tmp3(142 DOWNTO 108) := datain_ipd(143 DOWNTO 109);
WHEN "0111" =>
dataout_tmp_tmp3(17 DOWNTO 0) := datain_ipd(17 DOWNTO 0);
dataout_tmp_tmp3(53 DOWNTO 36) := datain_ipd(35 DOWNTO 18);
dataout_tmp_tmp3(35 DOWNTO 18) := datain_ipd(53 DOWNTO 36);
dataout_tmp_tmp3(71 DOWNTO 54) := datain_ipd(71 DOWNTO 54);
dataout_tmp_tmp3(143 DOWNTO 72) := "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
WHEN OTHERS =>
dataout_tmp_tmp3 := datain_ipd;
END CASE;
END IF;
ELSE
dataout_tmp_tmp3 := datain_ipd;
END IF;
dataout_tmp <= dataout_tmp_tmp3;
END PROCESS;
dataout_tmp2 <= dataout_tmp ;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_tx_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_tx_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic;
d : IN std_logic;
clrn : IN std_logic;
prn : IN std_logic
);
attribute VITAL_LEVEL0 of stratixii_lvds_tx_reg : ENTITY is TRUE;
END stratixii_lvds_tx_reg;
ARCHITECTURE vital_stratixii_lvds_tx_reg of stratixii_lvds_tx_reg is
attribute VITAL_LEVEL0 of vital_stratixii_lvds_tx_reg : architecture is TRUE;
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d_ipd,
TestSignalName => "d",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_lvds_tx_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_stratixii_lvds_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixii_lvds_tx_parallel_register
--
-- Description : Register for the 10 data input channels of the StratixII
-- LVDS Tx
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE std.textio.all;
ENTITY stratixii_lvds_tx_parallel_register is
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END stratixii_lvds_tx_parallel_register;
ARCHITECTURE vital_tx_reg of stratixii_lvds_tx_parallel_register is
signal clk_ipd : std_logic;
signal enable_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, enable_ipd, datain_ipd, devpor, devclrn)
variable Tviol_datain_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable i : integer := 0;
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (now = 0 ns) then
dataout_tmp := (OTHERS => '0');
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_lvds_tx_parallel_register",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay(
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
end vital_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixii_lvds_tx_out_block
--
-- Description : Negative-edge triggered register on the Tx output.
-- Also, optionally generates an identical/inverted output clock
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE std.textio.all;
ENTITY stratixii_lvds_tx_out_block is
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END stratixii_lvds_tx_out_block;
ARCHITECTURE vital_tx_out_block of stratixii_lvds_tx_out_block is
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
signal inv_clk : integer;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, datain_ipd, devpor, devclrn)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable dataout_tmp : std_logic;
begin
if (now = 0 ns) then
dataout_tmp := '0';
else
if (bypass_serializer = "false") then
if (use_falling_clock_edge = "false") then
dataout_tmp := datain_ipd;
end if;
if (clk_ipd'event and clk_ipd = '0') then
if (use_falling_clock_edge = "true") then
dataout_tmp := datain_ipd;
end if;
end if;
else
if (invert_clock = "false") then
dataout_tmp := clk_ipd;
else
dataout_tmp := NOT (clk_ipd);
end if;
if (invert_clock = "false") then
inv_clk <= 0;
else
inv_clk <= 1;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
if (bypass_serializer = "false") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (datain_ipd'last_event, DefpropDelay01, TRUE),
1 => (clk_ipd'last_event, tpd_clk_dataout_negedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
if (bypass_serializer = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_tx_out_block;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixii_lvds_transmitter
--
-- Description : Timing simulation model for the StratixII LVDS Tx WYSIWYG.
-- It instantiates the following sub-modules :
-- 1) primitive DFFE
-- 2) StratixII_lvds_tx_parallel_register and
-- 3) StratixII_lvds_tx_out_block
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE std.textio.all;
USE work.stratixii_lvds_tx_parallel_register;
USE work.stratixii_lvds_tx_out_block;
USE work.stratixii_lvds_tx_reg;
ENTITY stratixii_lvds_transmitter is
GENERIC ( channel_width : integer := 10;
bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
use_serial_data_input : String := "false";
use_post_dpa_serial_data_input : String := "false";
preemphasis_setting : integer := 0;
vod_setting : integer := 0;
differential_drive : integer := 0;
lpm_type : string := "stratixii_lvds_transmitter";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk0_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk0_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tpd_serialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_postdpaserialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tipd_serialdatain : VitalDelayType01 := DefpropDelay01;
tipd_postdpaserialdatain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk0 : in std_logic;
enable0 : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
serialdatain : in std_logic := '0';
postdpaserialdatain : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic;
serialfdbkout : out std_logic
);
end stratixii_lvds_transmitter;
ARCHITECTURE vital_transmitter_atom of stratixii_lvds_transmitter is
signal clk0_ipd : std_logic;
signal serialdatain_ipd : std_logic;
signal postdpaserialdatain_ipd : std_logic;
signal input_data : std_logic_vector(channel_width - 1 downto 0);
signal txload0 : std_logic;
signal shift_out : std_logic;
signal clk0_dly0 : std_logic;
signal clk0_dly1 : std_logic;
signal clk0_dly2 : std_logic;
signal datain_dly : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly1 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly2 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly3 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly4 : std_logic_vector(channel_width - 1 downto 0);
signal vcc : std_logic := '1';
signal tmp_dataout : std_logic;
COMPONENT stratixii_lvds_tx_parallel_register
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END COMPONENT;
COMPONENT stratixii_lvds_tx_out_block
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END COMPONENT;
COMPONENT stratixii_lvds_tx_reg
GENERIC (TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01
);
PORT ( q : out STD_LOGIC := '0';
d : in STD_LOGIC := '1';
clrn : in STD_LOGIC := '1';
prn : in STD_LOGIC := '1';
clk : in STD_LOGIC := '0';
ena : in STD_LOGIC := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (serialdatain_ipd, serialdatain, tipd_serialdatain);
VitalWireDelay (postdpaserialdatain_ipd, postdpaserialdatain, tipd_postdpaserialdatain);
end block;
txload0_reg: stratixii_lvds_tx_reg
PORT MAP (d => enable0,
clrn => vcc,
prn => vcc,
ena => vcc,
clk => clk0_dly2,
q => txload0
);
input_reg: stratixii_lvds_tx_parallel_register
GENERIC MAP ( channel_width => channel_width)
PORT MAP ( clk => txload0,
enable => vcc,
datain => datain_dly,
dataout => input_data,
devclrn => devclrn,
devpor => devpor
);
output_module: stratixii_lvds_tx_out_block
GENERIC MAP ( bypass_serializer => bypass_serializer,
use_falling_clock_edge => use_falling_clock_edge,
invert_clock => invert_clock)
PORT MAP ( clk => clk0_dly2,
datain => shift_out,
dataout => tmp_dataout,
devclrn => devclrn,
devpor => devpor
);
clk_delay: process (clk0_ipd, datain)
begin
clk0_dly0 <= clk0_ipd;
datain_dly1 <= datain;
end process;
clk_delay1: process (clk0_dly0, datain_dly1)
begin
clk0_dly1 <= clk0_dly0;
datain_dly2 <= datain_dly1;
end process;
clk_delay2: process (clk0_dly1, datain_dly2)
begin
clk0_dly2 <= clk0_dly1;
datain_dly3 <= datain_dly2;
end process;
data_delay: process (datain_dly3)
begin
datain_dly4 <= datain_dly3;
end process;
data_delay1: process (datain_dly4)
begin
datain_dly <= datain_dly4;
end process;
VITAL: process (clk0_ipd, devclrn, devpor)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable i : integer := 0;
variable shift_data : std_logic_vector(channel_width-1 downto 0);
begin
if (now = 0 ns) then
shift_data := (OTHERS => '0');
end if;
if ((devpor = '0') or (devclrn = '0')) then
shift_data := (OTHERS => '0');
else
if (bypass_serializer = "false") then
if (clk0_ipd'event and clk0_ipd = '1') then
if (txload0 = '1') then
shift_data := input_data;
end if;
shift_out <= shift_data(channel_width - 1);
for i in channel_width-1 downto 1 loop
shift_data(i) := shift_data(i - 1);
end loop;
end if;
end if;
end if;
end process;
process (serialdatain_ipd, postdpaserialdatain_ipd, tmp_dataout)
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (serialdatain_ipd'event and use_serial_data_input = "true") then
dataout_tmp := serialdatain_ipd;
elsif (postdpaserialdatain_ipd'event and use_post_dpa_serial_data_input = "true") then
dataout_tmp := postdpaserialdatain_ipd;
else
dataout_tmp := tmp_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
if (use_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (serialdatain_ipd'last_event, tpd_serialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
elsif (use_post_dpa_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (postdpaserialdatain_ipd'last_event, tpd_postdpaserialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
else
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (tmp_dataout'last_event, DefPropDelay01, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_transmitter_atom;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END stratixii_lvds_reg;
ARCHITECTURE vital_stratixii_lvds_reg of stratixii_lvds_reg is
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, d_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_stratixii_lvds_reg;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_fifo_sync_ram
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_rx_fifo_sync_ram is
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END stratixii_lvds_rx_fifo_sync_ram;
ARCHITECTURE vital_arm_lvds_rx_fifo_sync_ram OF stratixii_lvds_rx_fifo_sync_ram IS
-- INTERNAL SIGNALS
signal dataout_tmp : std_logic;
signal ram_d : std_logic_vector(0 TO 5);
signal ram_q : std_logic_vector(0 TO 5);
signal data_reg : std_logic_vector(0 TO 5);
begin
dataout <= dataout_tmp;
process (clk, writereset)
variable initial : boolean := true;
begin
if (initial) then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
initial := false;
end if;
if (writereset = '1') then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
elsif (clk'event and clk = '1') then
for i in 0 to 5 loop
ram_q(i) <= ram_d(i);
end loop;
end if;
end process;
process (we, data_reg, ram_q)
begin
if (we = '1') then
ram_d <= data_reg;
else
ram_d <= ram_q;
end if;
end process;
data_reg(0) <= datain when (waddr = "000") else ram_q(0) ;
data_reg(1) <= datain when (waddr = "001") else ram_q(1) ;
data_reg(2) <= datain when (waddr = "010") else ram_q(2) ;
data_reg(3) <= datain when (waddr = "011") else ram_q(3) ;
data_reg(4) <= datain when (waddr = "100") else ram_q(4) ;
data_reg(5) <= datain when (waddr = "101") else ram_q(5) ;
process (ram_q, we, waddr, raddr)
variable initial : boolean := true;
begin
if (initial) then
dataout_tmp <= '0';
initial := false;
end if;
case raddr is
when "000" =>
dataout_tmp <= ram_q(0);
when "001" =>
dataout_tmp <= ram_q(1);
when "010" =>
dataout_tmp <= ram_q(2);
when "011" =>
dataout_tmp <= ram_q(3);
when "100" =>
dataout_tmp <= ram_q(4);
when "101" =>
dataout_tmp <= ram_q(5);
when others =>
dataout_tmp <= '0';
end case;
end process;
END vital_arm_lvds_rx_fifo_sync_ram;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_fifo
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_lvds_rx_fifo_sync_ram;
ENTITY stratixii_lvds_rx_fifo is
GENERIC ( channel_width : integer := 10;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_wclk : VitalDelayType01 := DefpropDelay01;
tipd_rclk : VitalDelayType01 := DefpropDelay01;
tipd_dparst : VitalDelayType01 := DefpropDelay01;
tipd_fiforst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_rclk_dataout_posedge: VitalDelayType01 := DefPropDelay01;
tpd_dparst_dataout_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( wclk : IN std_logic:= '0';
rclk : IN std_logic:= '0';
dparst : IN std_logic := '0';
fiforst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END stratixii_lvds_rx_fifo;
ARCHITECTURE vital_arm_lvds_rx_fifo of stratixii_lvds_rx_fifo is
-- INTERNAL SIGNALS
signal datain_in : std_logic;
signal rclk_in : std_logic;
signal dparst_in : std_logic;
signal fiforst_in : std_logic;
signal wclk_in : std_logic;
signal ram_datain : std_logic;
signal ram_dataout : std_logic;
signal wrPtr : std_logic_vector(2 DOWNTO 0);
signal rdPtr : std_logic_vector(2 DOWNTO 0);
signal rdAddr : std_logic_vector(2 DOWNTO 0);
signal ram_we : std_logic;
signal write_side_sync_reset : std_logic;
signal read_side_sync_reset : std_logic;
COMPONENT stratixii_lvds_rx_fifo_sync_ram
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (wclk_in, wclk, tipd_wclk);
VitalWireDelay (rclk_in, rclk, tipd_rclk);
VitalWireDelay (dparst_in, dparst, tipd_dparst);
VitalWireDelay (fiforst_in, fiforst, tipd_fiforst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
rdAddr <= rdPtr ;
s_fifo_ram : stratixii_lvds_rx_fifo_sync_ram
PORT MAP ( clk => wclk_in,
datain => ram_datain,
writereset => write_side_sync_reset,
waddr => wrPtr,
raddr => rdAddr,
we => ram_we,
dataout => ram_dataout
);
process (wclk_in, dparst_in)
variable initial : boolean := true;
begin
if (initial) then
wrPtr <= "000";
write_side_sync_reset <= '0';
ram_we <= '0';
ram_datain <= '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '1';
ram_datain <= '0';
wrPtr <= "000";
ram_we <= '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '0';
end if;
if (wclk_in'event and wclk_in = '1' and write_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
ram_datain <= datain_in;
ram_we <= '1';
case wrPtr is
when "000" => wrPtr <= "001";
when "001" => wrPtr <= "010";
when "010" => wrPtr <= "011";
when "011" => wrPtr <= "100";
when "100" => wrPtr <= "101";
when "101" => wrPtr <= "000";
when others => wrPtr <= "000";
end case;
end if;
end process;
process (rclk_in, dparst_in)
variable initial : boolean := true;
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (initial) then
rdPtr <= "011";
read_side_sync_reset <= '0';
dataout_tmp := '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '1';
rdPtr <= "011";
dataout_tmp := '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '0';
end if;
if (rclk_in'event and rclk_in = '1' and read_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
case rdPtr is
when "000" => rdPtr <= "001";
when "001" => rdPtr <= "010";
when "010" => rdPtr <= "011";
when "011" => rdPtr <= "100";
when "100" => rdPtr <= "101";
when "101" => rdPtr <= "000";
when others => rdPtr <= "000";
end case;
dataout_tmp := ram_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => dataout,
OutsignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (rclk_in'last_event, tpd_rclk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
END vital_arm_lvds_rx_fifo;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_bitslip
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_lvds_reg;
ENTITY stratixii_lvds_rx_bitslip is
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END stratixii_lvds_rx_bitslip;
ARCHITECTURE vital_arm_lvds_rx_bitslip OF stratixii_lvds_rx_bitslip IS
-- INTERNAL SIGNALS
signal clk0_in : std_logic;
signal bslipcntl_in : std_logic;
signal bsliprst_in : std_logic;
signal datain_in : std_logic;
signal slip_count : integer := 0;
signal dataout_tmp : std_logic;
signal bitslip_arr : std_logic_vector(11 DOWNTO 0) := "000000000000";
signal bslipcntl_reg : std_logic;
signal vcc : std_logic := '1';
signal slip_data : std_logic := '0';
signal start_corrupt_bits : std_logic := '0';
signal num_corrupt_bits : integer := 0;
COMPONENT stratixii_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_in, clk0, tipd_clk0);
VitalWireDelay (bslipcntl_in, bslipcntl, tipd_bslipcntl);
VitalWireDelay (bsliprst_in, bsliprst, tipd_bsliprst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
bslipcntlreg : stratixii_lvds_reg
PORT MAP ( d => bslipcntl_in,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => bslipcntl_reg
);
-- 4-bit slip counter and 12-bit shift register
process (bslipcntl_reg, bsliprst_in, clk0_in)
variable initial : boolean := true;
variable bslipmax_tmp : std_logic := '0';
variable bslipmax_VitalGlitchData : VitalGlitchDataType;
begin
if (bsliprst_in = '1') then
slip_count <= 0;
bslipmax_tmp := '0';
-- bitslip_arr <= (OTHERS => '0');
if (bsliprst_in'event and bsliprst_in = '1' and bsliprst_in'last_value = '0') then
ASSERT false report "Bit Slip Circuit was reset. Serial Data stream will have 0 latency" severity note;
end if;
else
if (bslipcntl_reg'event and bslipcntl_reg = '1' and bslipcntl_reg'last_value = '0') then
if (x_on_bitslip = "on") then
start_corrupt_bits <= '1';
end if;
num_corrupt_bits <= 0;
if (slip_count = bitslip_rollover) then
ASSERT false report "Rollover occurred on Bit Slip circuit. Serial data stream will have 0 latency." severity note;
slip_count <= 0;
bslipmax_tmp := '0';
else
slip_count <= slip_count + 1;
if ((slip_count + 1) = bitslip_rollover) then
ASSERT false report "The Bit Slip circuit has reached the maximum Bit Slip limit. Rollover will occur on the next slip." severity note;
bslipmax_tmp := '1';
end if;
end if;
elsif (bslipcntl_reg'event and bslipcntl_reg = '0' and bslipcntl_reg'last_value = '1') then
start_corrupt_bits <= '0';
num_corrupt_bits <= 0;
end if;
end if;
if (clk0_in'event and clk0_in = '1' and clk0_in'last_value = '0') then
bitslip_arr(0) <= datain_in;
for i in 0 to (bitslip_rollover - 1) loop
bitslip_arr(i + 1) <= bitslip_arr(i);
end loop;
if (start_corrupt_bits = '1') then
num_corrupt_bits <= num_corrupt_bits + 1;
end if;
if (num_corrupt_bits+1 = 3) then
start_corrupt_bits <= '0';
end if;
end if;
-- end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => bslipmax,
OutsignalName => "BSLIPMAX",
OutTemp => bslipmax_tmp,
Paths => (1 => (clk0_in'last_event, tpd_clk0_bslipmax_posedge, TRUE),
2 => (bsliprst_in'last_event, tpd_bsliprst_bslipmax_posedge, TRUE)),
GlitchData => bslipmax_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
-- Bit Slip shift register
-- process (clk0_in, bsliprst_in)
-- begin
-- if (bsliprst_in = '1') then
-- elsif (clk0_in'event and clk0_in = '1' and clk0'last_value = '0') then
-- bitslip_arr(0) <= datain_in;
-- for i in 0 to (bitslip_rollover - 1) loop
-- bitslip_arr(i + 1) <= bitslip_arr(i);
-- end loop;
--
-- if (start_corrupt_bits = '1') then
-- num_corrupt_bits <= num_corrupt_bits + 1;
-- end if;
-- if (num_corrupt_bits+1 = 3) then
-- start_corrupt_bits <= '0';
-- end if;
-- end if;
-- end process;
slip_data <= bitslip_arr(slip_count);
dataoutreg : stratixii_lvds_reg
PORT MAP ( d => slip_data,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => dataout_tmp
);
dataout <= dataout_tmp when start_corrupt_bits = '0' else
'X' when start_corrupt_bits = '1' and num_corrupt_bits < 3 else
dataout_tmp;
END vital_arm_lvds_rx_bitslip;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_deser
--
-- Description : Timing simulation model for the STRATIXII LVDS RECEIVER
-- DESERIALIZER. This module receives serial data and outputs
-- parallel data word of width = channel width
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_rx_deser IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_lvds_rx_deser;
ARCHITECTURE vital_arm_lvds_rx_deser OF stratixii_lvds_rx_deser IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (devclrn = '0' or devpor = '0') then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0') then
for i in channel_width - 1 DOWNTO 1 loop
dataout_tmp(i) := dataout_tmp(i - 1);
end loop;
dataout_tmp(0) := datain_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_deser;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_parallel_reg
--
-- Description : Timing simulation model for the STRATIXII LVDS RECEIVER
-- PARALLEL REGISTER. The data width equals max. channel width,
-- which is 10.
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_rx_parallel_reg IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_lvds_rx_parallel_reg;
ARCHITECTURE vital_arm_lvds_rx_parallel_reg OF stratixii_lvds_rx_parallel_reg IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
signal enable_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_parallel_reg;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : STRATIXII_LVDS_RECEIVER
--
-- Description : Timing simulation model for the STRATIXII LVDS RECEIVER
-- atom. This module instantiates the following sub-modules :
-- 1) stratixii_lvds_rx_fifo
-- 2) stratixii_lvds_rx_bitslip
-- 3) DFFEs for the LOADEN signals
-- 4) stratixii_lvds_rx_parallel_reg
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_lvds_rx_bitslip;
USE work.stratixii_lvds_rx_fifo;
USE work.stratixii_lvds_rx_deser;
USE work.stratixii_lvds_rx_parallel_reg;
USE work.stratixii_lvds_reg;
ENTITY stratixii_lvds_receiver IS
GENERIC ( channel_width : integer := 10;
data_align_rollover : integer := 2;
enable_dpa : string := "off";
lose_lock_on_one_change : string := "off";
reset_fifo_at_first_lock : string := "on";
align_to_rising_edge_only : string := "on";
use_serial_feedback_input : string := "off";
dpa_debug : string := "off";
x_on_bitslip : string := "on";
lpm_type : string := "stratixii_lvds_receiver";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_dpareset : VitalDelayType01 := DefpropDelay01;
tipd_dpahold : VitalDelayType01 := DefpropDelay01;
tipd_dpaswitch : VitalDelayType01 := DefpropDelay01;
tipd_fiforeset : VitalDelayType01 := DefpropDelay01;
tipd_bitslip : VitalDelayType01 := DefpropDelay01;
tipd_bitslipreset : VitalDelayType01 := DefpropDelay01;
tipd_serialfbk : VitalDelayType01 := DefpropDelay01;
tpd_clk0_dpalock_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic;
datain : IN std_logic := '0';
enable0 : IN std_logic := '0';
dpareset : IN std_logic := '0';
dpahold : IN std_logic := '0';
dpaswitch : IN std_logic := '0';
fiforeset : IN std_logic := '0';
bitslip : IN std_logic := '0';
bitslipreset : IN std_logic := '0';
serialfbk : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
dpalock : OUT std_logic;
bitslipmax : OUT std_logic;
serialdataout : OUT std_logic;
postdpaserialdataout : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_lvds_receiver;
ARCHITECTURE vital_arm_lvds_receiver OF stratixii_lvds_receiver IS
COMPONENT stratixii_lvds_rx_bitslip
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixii_lvds_rx_fifo
GENERIC ( channel_width : integer := 10
);
PORT ( wclk : IN std_logic := '0';
rclk : IN std_logic := '0';
fiforst : IN std_logic := '0';
dparst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixii_lvds_rx_deser
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
datain : IN std_logic;
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT stratixii_lvds_rx_parallel_reg
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT stratixii_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
-- INTERNAL SIGNALS
signal bitslip_ipd : std_logic;
signal bitslipreset_ipd : std_logic;
signal clk0_ipd : std_logic;
signal datain_ipd : std_logic;
signal dpahold_ipd : std_logic;
signal dpareset_ipd : std_logic;
signal dpaswitch_ipd : std_logic;
signal enable0_ipd : std_logic;
signal fiforeset_ipd : std_logic;
signal serialfbk_ipd : std_logic;
signal fifo_wclk : std_logic;
signal fifo_rclk : std_logic;
signal fifo_datain : std_logic;
signal fifo_dataout : std_logic;
signal fifo_reset : std_logic;
signal slip_datain : std_logic;
signal slip_dataout : std_logic;
signal bitslip_reset : std_logic;
-- wire deser_dataout;
signal dpareg0_out : std_logic;
signal dpareg1_out : std_logic;
signal dpa_clk : std_logic;
signal dpa_rst : std_logic;
signal datain_reg : std_logic;
signal deser_dataout : std_logic_vector(channel_width - 1 DOWNTO 0);
signal reset_fifo : std_logic;
signal first_dpa_lock : std_logic;
signal loadreg_datain : std_logic_vector(channel_width - 1 DOWNTO 0);
signal reset_int : std_logic;
signal gnd : std_logic := '0';
signal vcc : std_logic := '1';
signal in_reg_data : std_logic;
signal clk0_dly : std_logic;
signal datain_tmp : std_logic;
-- INTERNAL PARAMETERS
CONSTANT DPA_CYCLES_TO_LOCK : integer := 2;
signal xhdl_12 : std_logic;
signal rxload : std_logic;
begin
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (enable0_ipd, enable0, tipd_enable0);
VitalWireDelay (dpareset_ipd, dpareset, tipd_dpareset);
VitalWireDelay (dpahold_ipd, dpahold, tipd_dpahold);
VitalWireDelay (dpaswitch_ipd, dpaswitch, tipd_dpaswitch);
VitalWireDelay (fiforeset_ipd, fiforeset, tipd_fiforeset);
VitalWireDelay (bitslip_ipd, bitslip, tipd_bitslip);
VitalWireDelay (bitslipreset_ipd, bitslipreset, tipd_bitslipreset);
VitalWireDelay (serialfbk_ipd, serialfbk, tipd_serialfbk);
end block;
fifo_rclk <= clk0_ipd WHEN (enable_dpa = "on") ELSE gnd ;
fifo_wclk <= dpa_clk ;
fifo_datain <= dpareg1_out WHEN (enable_dpa = "on") ELSE gnd ;
reset_int <= (NOT devpor) OR (NOT devclrn) ;
fifo_reset <= (NOT devpor) OR (NOT devclrn) OR fiforeset_ipd OR dpareset_ipd OR reset_fifo ;
bitslip_reset <= (NOT devpor) OR (NOT devclrn) OR bitslipreset_ipd ;
in_reg_data <= serialfbk_ipd WHEN (use_serial_feedback_input = "on") ELSE datain_ipd;
clk0_dly <= clk0_ipd;
xhdl_12 <= devclrn OR devpor;
-- SUB-MODULE INSTANTIATION
-- input register in non-DPA mode for sampling incoming data
in_reg : stratixii_lvds_reg
PORT MAP ( d => in_reg_data,
clk => clk0_dly,
ena => vcc,
clrn => xhdl_12,
prn => vcc,
q => datain_reg
);
dpa_clk <= clk0_ipd when (enable_dpa = "on") else '0' ;
dpa_rst <= dpareset_ipd when (enable_dpa = "on") else '0' ;
process (dpa_clk, dpa_rst)
variable dpa_lock_count : integer := 0;
variable dparst_msg : boolean := false;
variable dpa_is_locked : std_logic := '0';
variable dpalock_VitalGlitchData : VitalGlitchDataType;
variable initial : boolean := true;
begin
if (initial) then
if (reset_fifo_at_first_lock = "on") then
reset_fifo <= '1';
else
reset_fifo <= '0';
end if;
initial := false;
end if;
if (dpa_rst = '1') then
dpa_is_locked := '0';
dpa_lock_count := 0;
if (not dparst_msg) then
ASSERT false report "DPA was reset" severity note;
dparst_msg := true;
end if;
elsif (dpa_clk'event and dpa_clk = '1') then
dparst_msg := false;
if (dpa_is_locked = '0') then
dpa_lock_count := dpa_lock_count + 1;
if (dpa_lock_count > DPA_CYCLES_TO_LOCK) then
dpa_is_locked := '1';
ASSERT false report "DPA locked" severity note;
reset_fifo <= '0';
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => dpalock,
OutSignalName => "DPALOCK",
OutTemp => dpa_is_locked,
Paths => (1 => (clk0_ipd'last_event, tpd_clk0_dpalock_posedge, enable_dpa = "on")),
GlitchData => dpalock_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
-- ?????????? insert delay to mimic DPLL dataout ?????????
-- DPA registers
dpareg0 : stratixii_lvds_reg
PORT MAP ( d => in_reg_data,
clk => dpa_clk,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg0_out
);
dpareg1 : stratixii_lvds_reg
PORT MAP ( d => dpareg0_out,
clk => dpa_clk,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg1_out
);
s_fifo : stratixii_lvds_rx_fifo
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( wclk => fifo_wclk,
rclk => fifo_rclk,
fiforst => fifo_reset,
dparst => dpa_rst,
datain => fifo_datain,
dataout => fifo_dataout
);
slip_datain <= fifo_dataout when (enable_dpa = "on" and dpaswitch_ipd = '1') else datain_reg ;
s_bslip : stratixii_lvds_rx_bitslip
GENERIC MAP ( bitslip_rollover => data_align_rollover,
channel_width => channel_width,
x_on_bitslip => x_on_bitslip
)
PORT MAP ( clk0 => clk0_dly,
bslipcntl => bitslip_ipd,
bsliprst => bitslip_reset,
datain => slip_datain,
bslipmax => bitslipmax,
dataout => slip_dataout
);
--********* DESERIALISER *********//
-- only 1 enable signal used for StratixII
rxload_reg : stratixii_lvds_reg
PORT MAP ( d => enable0_ipd,
clk => clk0_dly,
ena => vcc,
clrn => vcc,
prn => vcc,
q => rxload
);
s_deser : stratixii_lvds_rx_deser
GENERIC MAP (channel_width => channel_width
)
PORT MAP (clk => clk0_dly,
datain => slip_dataout,
devclrn => devclrn,
devpor => devpor,
dataout => deser_dataout
);
output_reg : stratixii_lvds_rx_parallel_reg
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( clk => clk0_dly,
enable => rxload,
datain => deser_dataout,
devpor => devpor,
devclrn => devclrn,
dataout => dataout
);
postdpaserialdataout <= dpareg1_out ;
serialdataout <= datain_ipd;
END vital_arm_lvds_receiver;
-------------------------------------------------------------------------------
--
-- Entity Name : StratixII_dll
--
-- Outputs : delayctrlout - current delay chain settings for DQS pin
-- offsetctrlout - current delay offset setting
-- dqsupdate - update enable signal for delay setting latces
-- upndnout - raw output of the phase comparator
--
-- Inputs : clk - reference clock matching in frequency to DQS clock
-- aload - asychronous load signal for delay setting counter
-- when asserted, counter is loaded with initial value
-- offset - offset added/subtracted from delayctrlout
-- upndnin - up/down input port for delay setting counter in
-- use_updndnin mode (user control mode)
-- upndninclkena - clock enable for the delaying setting counter
-- addnsub - dynamically control +/- on offsetctrlout
--
-- Formulae : delay (input_period) = sim_loop_intrinsic_delay +
-- sim_loop_delay_increment * dllcounter;
--
-- Latency : 3 (clk8 cycles) = pc + dc + dr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
USE work.stratixii_pllpack.all;
ENTITY stratixii_dll is
GENERIC (
input_frequency : string := "10000 ps";
delay_chain_length : integer := 16;
delay_buffer_mode : string := "low";
delayctrlout_mode : string := "normal";
static_delay_ctrl : integer := 0;
offsetctrlout_mode : string := "static";
static_offset : string := "0";
jitter_reduction : string := "false";
use_upndnin : string := "false";
use_upndninclkena : string := "false";
sim_valid_lock : integer := 1;
sim_loop_intrinsic_delay : integer := 1000;
sim_loop_delay_increment : integer := 100;
sim_valid_lockcount : integer := 90; -- 10000 = 1000 + 100*dllcounter
lpm_type : string := "stratixii_dll";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_offset : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_upndnin : VitalDelayType01 := DefpropDelay01;
tipd_upndninclkena : VitalDelayType01 := DefpropDelay01;
tipd_addnsub : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_offset_delayctrlout : VitalDelayType01 := DefPropDelay01;
tpd_clk_upndnout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_delayctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
offset : IN std_logic_vector(5 DOWNTO 0) := "000000";
upndnin : IN std_logic := '1';
upndninclkena : IN std_logic := '1';
addnsub : IN std_logic := '1';
delayctrlout : OUT std_logic_vector(5 DOWNTO 0);
offsetctrlout : OUT std_logic_vector(5 DOWNTO 0);
dqsupdate : OUT std_logic;
upndnout : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_dll;
ARCHITECTURE vital_armdll of stratixii_dll is
-- tuncate input integer to get 6 LSB bits
function dll_unsigned2bin (in_int : integer) return std_logic_vector is
variable tmp_int, i : integer;
variable tmp_bit : integer;
variable result : std_logic_vector(5 downto 0) := "000000";
begin
tmp_int := in_int;
for i in 0 to 5 loop
tmp_bit := tmp_int MOD 2;
if (tmp_bit = 1) then
result(i) := '1';
else
result(i) := '0';
end if;
tmp_int := tmp_int/2;
end loop;
return result;
end dll_unsigned2bin;
signal clk_in : std_logic := '0';
signal aload_in : std_logic := '0';
signal offset_in : std_logic_vector(5 DOWNTO 0) := "000000";
signal upndn_in : std_logic := '0';
signal upndninclkena_in : std_logic := '1';
signal addnsub_in : std_logic := '0';
signal delayctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal offsetctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal dqsupdate_out : std_logic := '1';
signal upndn_out : std_logic := '0';
signal para_delay_buffer_mode : std_logic_vector (1 DOWNTO 0) := "01";
signal para_delayctrlout_mode : std_logic_vector (1 DOWNTO 0) := "00";
signal para_offsetctrlout_mode : std_logic_vector (1 DOWNTO 0) := "00";
signal para_static_offset : integer := 0;
signal para_static_delay_ctrl : integer := 0;
signal para_jitter_reduction : std_logic := '0';
signal para_use_upndnin : std_logic := '0';
signal para_use_upndninclkena : std_logic := '1';
-- INTERNAL NETS AND VARIABLES
-- for functionality - by modules
-- delay and offset control out resolver
signal dr_delayctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_delayctrl_int : integer := 0;
signal dr_offsetctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsetctrl_int : integer := 0;
signal dr_offset_in : integer := 0;
signal dr_dllcount_in : integer := 0;
signal dr_addnsub_in : std_logic := '1';
signal dr_clk8_in : std_logic := '0';
signal dr_aload_in : std_logic := '0';
signal dr_reg_offset : integer := 0;
signal dr_reg_dllcount : integer := 0;
signal dr_delayctrl_out_tmp : integer := 0;
-- delay chain setting counter
signal dc_dllcount_out : integer := 0;
signal dc_dqsupdate_out : std_logic := '0';
signal dc_upndn_in : std_logic := '1';
signal dc_aload_in : std_logic := '0';
signal dc_upndnclkena_in : std_logic := '1';
signal dc_clk8_in : std_logic := '0';
signal dc_clk1_in : std_logic := '0';
signal dc_dlltolock_in : std_logic := '0';
signal dc_reg_dllcount : integer := 0;
signal dc_reg_dlltolock_pulse : std_logic := '0';
-- jitter reduction counter
signal jc_upndn_out : std_logic := '0';
signal jc_upndnclkena_out : std_logic := '1';
signal jc_clk8_in : std_logic := '0';
signal jc_upndn_in : std_logic := '1';
signal jc_aload_in : std_logic := '0';
signal jc_count : integer := 8;
signal jc_reg_upndn : std_logic := '0';
signal jc_reg_upndnclkena : std_logic := '0';
-- phase comparator
signal pc_upndn_out : std_logic := '1';
signal pc_dllcount_in : integer := 0;
signal pc_clk1_in : std_logic := '0';
signal pc_clk8_in : std_logic := '0';
signal pc_aload_in : std_logic := '0';
signal pc_reg_upndn : std_logic := '1';
signal pc_delay : integer := 0;
-- clock generator
signal cg_clk_in : std_logic := '0';
signal cg_aload_in : std_logic := '0';
signal cg_clk1_out : std_logic := '0';
signal cg_clk8a_out : std_logic := '0';
signal cg_clk8b_out : std_logic := '0';
-- por: 000
signal cg_reg_1 : std_logic := '0';
signal cg_rega_2 : std_logic := '0';
signal cg_rega_3 : std_logic := '0';
-- por: 010
signal cg_regb_2 : std_logic := '1';
signal cg_regb_3 : std_logic := '0';
-- for violation checks
signal dll_to_lock : std_logic := '0';
signal input_period : integer := 10000;
signal clk_in_last_value : std_logic := 'X';
begin
-- paramters
input_period <= dqs_str2int(input_frequency);
para_static_offset <= dqs_str2int(static_offset);
para_static_delay_ctrl <= static_delay_ctrl;
para_use_upndnin <= '1' WHEN use_upndnin = "true" ELSE '0';
para_jitter_reduction <= '1' WHEN jitter_reduction = "true" ELSE '0';
para_use_upndninclkena <= '1' WHEN use_upndninclkena = "true" ELSE '0';
para_delay_buffer_mode <= "00" WHEN delay_buffer_mode = "auto" ELSE "01" WHEN delay_buffer_mode = "low" ELSE "10";
para_delayctrlout_mode <= "01" WHEN delayctrlout_mode = "offset_only" ELSE "10" WHEN delayctrlout_mode="normal_offset" ELSE "11" WHEN delayctrlout_mode="static" ELSE "00";
para_offsetctrlout_mode <= "11" WHEN offsetctrlout_mode = "dynamic_addnsub" ELSE "10" WHEN offsetctrlout_mode = "dynamic_sub" ELSE "01" WHEN offsetctrlout_mode = "dynamic_add" ELSE "00";
-- violation check block
process (clk_in)
variable got_first_rising_edge : std_logic := '0';
variable got_first_falling_edge : std_logic := '0';
variable per_violation : std_logic := '0';
variable duty_violation : std_logic := '0';
variable sent_per_violation : std_logic := '0';
variable sent_duty_violation : std_logic := '0';
variable clk_in_last_rising_edge : time := 0 ps;
variable clk_in_last_falling_edge : time := 0 ps;
variable input_period_ps : time := 10000 ps;
variable duty_cycle : time := 5000 ps;
variable clk_in_period : time := 10000 ps;
variable clk_in_duty_cycle : time := 5000 ps;
variable clk_per_tolerance : time := 2 ps;
variable half_cycles_to_lock : integer := 1;
variable init : boolean := true;
begin
if (init) then
input_period_ps := dqs_str2int(input_frequency) * 1 ps;
if (input_period_ps = 0 ps) then
assert false report "Need to specify ps scale in simulation command" severity error;
end if;
duty_cycle := input_period_ps/2;
clk_per_tolerance := 2 ps;
half_cycles_to_lock := 0;
init := false;
end if;
if (clk_in'event and clk_in = '1') then -- rising edge
if (got_first_rising_edge = '0') then
got_first_rising_edge := '1';
else -- subsequent rising
-- check for clock period and duty cycle violation
clk_in_period := now - clk_in_last_rising_edge;
clk_in_duty_cycle := now - clk_in_last_falling_edge;
if ((clk_in_period < (input_period_ps - clk_per_tolerance)) or (clk_in_period > (input_period_ps + clk_per_tolerance))) then
per_violation := '1';
if (sent_per_violation /= '1') then
sent_per_violation := '1';
assert false report "Input clock frequency violation." severity warning;
end if;
elsif ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
if (per_violation = '1') then
sent_per_violation := '0';
assert false report "Input clock frequency now matches specified clock frequency." severity warning;
end if;
per_violation := '0';
duty_violation := '0';
end if;
end if;
if (per_violation = '0' and duty_violation = '0' and dll_to_lock = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
if (half_cycles_to_lock >= sim_valid_lock) then
dll_to_lock <= '1';
assert false report "DLL to lock to incoming clock" severity note;
end if;
end if;
clk_in_last_rising_edge := now;
elsif (clk_in'event and clk_in = '0') then -- falling edge
got_first_falling_edge := '1';
if (got_first_rising_edge = '1') then
-- duty cycle check
clk_in_duty_cycle := now - clk_in_last_rising_edge;
if ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
duty_violation := '0';
end if;
if (dll_to_lock = '0' and duty_violation = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
end if;
end if;
clk_in_last_falling_edge := now;
elsif (got_first_falling_edge = '1' or got_first_rising_edge = '1') then
-- switches from 1, 0 to X
half_cycles_to_lock := 0;
got_first_rising_edge := '0';
got_first_falling_edge := '0';
if (dll_to_lock = '1') then
dll_to_lock <= '0';
assert false report "Illegal value detected on input clock. DLL will lose lock." severity error;
else
assert false report "Illegal value detected on input clock." severity error;
end if;
end if;
clk_in_last_value <= clk_in;
end process ; -- violation check
-- outputs
delayctrl_out <= dr_delayctrl_out;
offsetctrl_out <= dr_offsetctrl_out;
dqsupdate_out <= cg_clk8a_out;
upndn_out <= pc_upndn_out;
-- Delay and offset ctrl out resolver -------------------------------------
-------- convert calculations into integer
-- inputs
dr_clk8_in <= not cg_clk8b_out;
dr_offset_in <= (64 - alt_conv_integer(offset_in)) WHEN ((offset_in /= "000000") AND ((offsetctrlout_mode = "dynamic_addnsub" AND addnsub_in = '0') or (offsetctrlout_mode = "dynamic_sub"))) ELSE
alt_conv_integer(offset_in);
dr_dllcount_in <= dc_dllcount_out;
dr_addnsub_in <= addnsub_in;
dr_aload_in <= aload_in;
-- outputs
dr_delayctrl_out <= dll_unsigned2bin(dr_delayctrl_out_tmp);
dr_offsetctrl_out <= dll_unsigned2bin(dr_reg_offset);
dr_delayctrl_out_tmp <= dr_offset_in WHEN (delayctrlout_mode = "offset_only") ELSE
dr_reg_offset WHEN (delayctrlout_mode = "normal_offset") ELSE
dr_reg_dllcount;
dr_delayctrl_int <= para_static_delay_ctrl WHEN (delayctrlout_mode = "static") ELSE
dr_dllcount_in;
dr_offsetctrl_int <= para_static_offset WHEN (offsetctrlout_mode = "static") ELSE
dr_offset_in;
-- model
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_dllcount <= 0;
elsif (dr_clk8_in = '1' and dr_clk8_in'event and dr_aload_in /= '1') then
dr_reg_dllcount <= dr_delayctrl_int;
end if;
end process;
-- generating dr_reg_offset
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_offset <= 0;
elsif (dr_aload_in /= '1' and dr_clk8_in = '1' and dr_clk8_in'event) then
if (offsetctrlout_mode = "dynamic_addnsub") then
if (dr_addnsub_in = '1') then
if (dr_delayctrl_int < 63 - dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int + dr_offset_in;
else
dr_reg_offset <= 63;
end if;
elsif (dr_addnsub_in = '0') then
if (dr_delayctrl_int > dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int - dr_offset_in;
else
dr_reg_offset <= 0;
end if;
end if;
elsif (offsetctrlout_mode = "dynamic_sub") then
if (dr_delayctrl_int > dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int - dr_offset_in;
else
dr_reg_offset <= 0;
end if;
elsif (offsetctrlout_mode = "dynamic_add") then
if (dr_delayctrl_int < 63 - dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int + dr_offset_in;
else
dr_reg_offset <= 63;
end if;
elsif (offsetctrlout_mode = "static") then
if (para_static_offset >= 0) then
if ((para_static_offset < 64) AND (para_static_offset < 64 - dr_delayctrl_int)) then
dr_reg_offset <= dr_delayctrl_int + para_static_offset;
else
dr_reg_offset <= 64;
end if;
else
if ((para_static_offset > -63) AND (dr_delayctrl_int > (-1)*para_static_offset)) then
dr_reg_offset <= dr_delayctrl_int + para_static_offset;
else
dr_reg_offset <= 0;
end if;
end if;
else
dr_reg_offset <= 14; -- error
end if; -- modes
end if; -- rising clock
end process ; -- generating dr_reg_offset
-- Delay Setting Control Counter ------------------------------------------
--inputs
dc_dlltolock_in <= dll_to_lock;
dc_aload_in <= aload_in;
dc_clk1_in <= cg_clk1_out;
dc_clk8_in <= not cg_clk8b_out;
dc_upndnclkena_in <= jc_upndnclkena_out WHEN (para_jitter_reduction = '1') ELSE
upndninclkena WHEN (para_use_upndninclkena = '1') ELSE
'1';
dc_upndn_in <= upndnin WHEN (para_use_upndnin = '1') ELSE
jc_upndn_out WHEN (para_jitter_reduction = '1') ELSE
pc_upndn_out;
-- outputs
dc_dllcount_out <= dc_reg_dllcount;
-- dll counter logic
process(dc_clk8_in, dc_aload_in, dc_dlltolock_in)
variable dc_var_dllcount : integer := 64;
variable init : boolean := true;
begin
if (init) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
init := false;
end if;
if (dc_aload_in = '1' and dc_aload_in'event) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
elsif (dc_aload_in /= '1' and dc_dlltolock_in = '1' and dc_reg_dlltolock_pulse /= '1' and
dc_upndnclkena_in = '1' and para_use_upndnin = '0') then
dc_var_dllcount := sim_valid_lockcount;
dc_reg_dlltolock_pulse <= '1';
elsif (dc_aload_in /= '1' and
dc_upndnclkena_in = '1' and dc_clk8_in'event and dc_clk8_in = '1') then -- posedge clk
if (dc_upndn_in = '1') then
if ((para_delay_buffer_mode = "01" and dc_var_dllcount < 63) or
(para_delay_buffer_mode /= "01" and dc_var_dllcount < 31)) then
dc_var_dllcount := dc_var_dllcount + 1;
end if;
elsif (dc_upndn_in = '0') then
if (dc_var_dllcount > 0) then
dc_var_dllcount := dc_var_dllcount - 1;
end if;
end if;
end if; -- rising clock
-- schedule signal dc_reg_dllcount
dc_reg_dllcount <= dc_var_dllcount;
end process;
-- Jitter reduction counter -----------------------------------------------
-- inputs
jc_clk8_in <= not cg_clk8b_out;
jc_upndn_in <= pc_upndn_out;
jc_aload_in <= aload_in;
-- outputs
jc_upndn_out <= jc_reg_upndn;
jc_upndnclkena_out <= jc_reg_upndnclkena;
-- Model
process (jc_clk8_in, jc_aload_in)
begin
if (jc_aload_in = '1' and jc_aload_in'event) then
jc_count <= 8;
elsif (jc_aload_in /= '1' and jc_clk8_in'event and jc_clk8_in = '1') then
if (jc_count = 12) then
jc_reg_upndn <= '1';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
elsif (jc_count = 4) then
jc_reg_upndn <= '0';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
else -- increment/decrement counter
jc_reg_upndnclkena <= '0';
if (jc_upndn_in = '1') then
jc_count <= jc_count + 1;
elsif (jc_upndn_in = '0') then
jc_count <= jc_count - 1;
end if;
end if;
end if;
end process;
-- Phase comparator -------------------------------------------------------
-- inputs
pc_clk1_in <= cg_clk1_out;
pc_clk8_in <= cg_clk8b_out; -- positive
pc_dllcount_in <= dc_dllcount_out; -- for phase loop calculation
pc_aload_in <= aload_in;
-- outputs
pc_upndn_out <= pc_reg_upndn;
-- parameter used
-- sim_loop_intrinsic_delay, sim_loop_delay_increment
-- Model
process (pc_clk8_in, pc_aload_in)
variable pc_var_delay : integer := 0;
begin
if (pc_aload_in = '1' and pc_aload_in'event) then
pc_var_delay := 0;
elsif (pc_aload_in /= '1' and pc_clk8_in'event and pc_clk8_in = '1' ) then
pc_var_delay := sim_loop_intrinsic_delay + sim_loop_delay_increment * pc_dllcount_in;
if (pc_var_delay > input_period) then
pc_reg_upndn <= '0';
else
pc_reg_upndn <= '1';
end if;
pc_delay <= pc_var_delay;
end if;
end process;
-- Clock Generator -------------------------------------------------------
-- inputs
cg_clk_in <= clk_in;
cg_aload_in <= aload_in;
-- outputs
cg_clk8a_out <= cg_rega_3;
cg_clk8b_out <= cg_regb_3;
cg_clk1_out <= '0' WHEN cg_aload_in = '1' ELSE cg_clk_in;
-- Model
process(cg_clk1_out, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_reg_1 <= '0';
elsif (cg_aload_in /= '1' and cg_clk1_out = '1' and cg_clk1_out'event) then
cg_reg_1 <= not cg_reg_1;
end if;
end process;
process(cg_reg_1, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_2 <= '0';
cg_regb_2 <= '1';
elsif (cg_aload_in /= '1' and cg_reg_1 = '1' and cg_reg_1'event) then
cg_rega_2 <= not cg_rega_2;
cg_regb_2 <= not cg_regb_2;
end if;
end process;
process (cg_rega_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_3 <= '0';
elsif (cg_aload_in /= '1' and cg_rega_2 = '1' and cg_rega_2'event) then
cg_rega_3 <= not cg_rega_3;
end if;
end process;
process (cg_regb_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_regb_3 <= '0';
elsif (cg_aload_in /= '1' and cg_regb_2 = '1' and cg_regb_2'event) then
cg_regb_3 <= not cg_regb_3;
end if;
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (aload_in, aload, tipd_aload);
VitalWireDelay (upndn_in, upndnin, tipd_upndnin);
VitalWireDelay (addnsub_in, addnsub, tipd_addnsub);
VitalWireDelay (offset_in(0), offset(0), tipd_offset(0));
VitalWireDelay (offset_in(1), offset(1), tipd_offset(1));
VitalWireDelay (offset_in(2), offset(2), tipd_offset(2));
VitalWireDelay (offset_in(3), offset(3), tipd_offset(3));
VitalWireDelay (offset_in(4), offset(4), tipd_offset(4));
VitalWireDelay (offset_in(5), offset(5), tipd_offset(5));
VitalWireDelay (upndninclkena_in, upndninclkena, tipd_upndninclkena);
end block;
------------------------
-- Timing Check Section
------------------------
VITALtiming : process (clk_in, offset_in, upndn_in, upndninclkena_in, addnsub_in,
delayctrl_out, offsetctrl_out, dqsupdate_out, upndn_out)
variable Tviol_offset_clk : std_ulogic := '0';
variable Tviol_upndnin_clk : std_ulogic := '0';
variable Tviol_addnsub_clk : std_ulogic := '0';
variable Tviol_upndninclkena_clk : std_ulogic := '0';
variable TimingData_offset_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_upndnin_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_addnsub_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_upndninclkena_clk : VitalTimingDataType := VitalTimingDataInit;
variable delayctrlout_VitalGlitchDataArray : VitalGlitchDataArrayType(5 downto 0);
variable upndnout_VitalGlitchData : VitalGlitchDataType;
begin
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_offset_clk,
TimingData => TimingData_offset_clk,
TestSignal => offset_in,
TestSignalName => "OFFSET",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_offset_clk_noedge_posedge(0),
SetupLow => tsetup_offset_clk_noedge_posedge(0),
HoldHigh => thold_offset_clk_noedge_posedge(0),
HoldLow => thold_offset_clk_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/SRRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_upndnin_clk,
TimingData => TimingData_upndnin_clk,
TestSignal => upndn_in,
TestSignalName => "UPNDNIN",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndnin_clk_noedge_posedge,
SetupLow => tsetup_upndnin_clk_noedge_posedge,
HoldHigh => thold_upndnin_clk_noedge_posedge,
HoldLow => thold_upndnin_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_upndninclkena_clk,
TimingData => TimingData_upndninclkena_clk,
TestSignal => upndninclkena_in,
TestSignalName => "UPNDNINCLKENA",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndninclkena_clk_noedge_posedge,
SetupLow => tsetup_upndninclkena_clk_noedge_posedge,
HoldHigh => thold_upndninclkena_clk_noedge_posedge,
HoldLow => thold_upndninclkena_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_addnsub_clk,
TimingData => TimingData_addnsub_clk,
TestSignal => addnsub_in,
TestSignalName => "ADDNSUB",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_addnsub_clk_noedge_posedge,
SetupLow => tsetup_addnsub_clk_noedge_posedge,
HoldHigh => thold_addnsub_clk_noedge_posedge,
HoldLow => thold_addnsub_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
----------------------
-- Path Delay Section
----------------------
offsetctrlout <= offsetctrl_out;
dqsupdate <= dqsupdate_out;
VitalPathDelay01 (
OutSignal => upndnout,
OutSignalName => "UPNDNOUT",
OutTemp => upndn_out,
Paths => (0 => (clk_in'last_event, tpd_clk_upndnout_posedge, TRUE)),
GlitchData => upndnout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(0),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(0),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(1),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(1),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(2),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(2),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(3),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(3),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(4),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(4),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(5),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(5),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process; -- vital timing
end vital_armdll;
--
--
-- STRATIXII_RUBLOCK Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
library grlib;
use grlib.stdlib.all;
entity stratixii_rublock is
generic
(
operation_mode : string := "remote";
sim_init_config : string := "factory";
sim_init_watchdog_value : integer := 0;
sim_init_page_select : integer := 0;
sim_init_status : integer := 0;
lpm_type : string := "stratixii_rublock"
);
port
(
clk : in std_logic;
shiftnld : in std_logic;
captnupdt : in std_logic;
regin : in std_logic;
rsttimer : in std_logic;
rconfig : in std_logic;
regout : out std_logic;
pgmout : out std_logic_vector(2 downto 0)
);
end stratixii_rublock;
architecture architecture_rublock of stratixii_rublock is
signal update_reg : std_logic_vector(20 downto 0);
signal status_reg : std_logic_vector(4 downto 0) := conv_std_logic_vector(sim_init_status, 5);
signal shift_reg : std_logic_vector(25 downto 0) := (others => '0');
signal pgmout_update : std_logic_vector(2 downto 0) := (others => '0');
begin
-- regout is output of shift-reg bit 0
-- note that in Stratix, there is an inverter to regout.
-- but in Stratix II, there is no inverter.
regout <= shift_reg(0);
-- pgmout is set when reconfig is asserted
pgmout <= pgmout_update;
process (clk)
begin
-- initialize registers/outputs
if ( now = 0 ns ) then
-- wd_timeout field
update_reg(20 downto 9) <= conv_std_logic_vector(sim_init_watchdog_value, 12);
-- wd enable field
if (sim_init_watchdog_value > 0) then
update_reg(8) <= '1';
else
update_reg(8) <= '0';
end if;
-- PGM[] field
update_reg(7 downto 1) <= conv_std_logic_vector(sim_init_page_select, 7);
-- AnF bit
if (sim_init_config = "factory") then
update_reg(0) <= '0';
else
update_reg(0) <= '1';
end if;
--to-do: print field values
--report "Remote Update Block: Initial configuration:";
--report " -> Field CRC, POF ID, SW ID Error Caused Reconfiguration is set to" & status_reg(0);
--report " -> Field nSTATUS Caused Reconfiguration is set to %s", status_reg[1] ? "True" : "False";
--report " -> Field Core nCONFIG Caused Reconfiguration is set to %s", status_reg[2] ? "True" : "False";
--report " -> Field Pin nCONFIG Caused Reconfiguration is set to %s", status_reg[3] ? "True" : "False";
--report " -> Field Watchdog Timeout Caused Reconfiguration is set to %s", status_reg[4] ? "True" : "False";
--report " -> Field Current Configuration is set to %s", update_reg[0] ? "Application" : "Factory";
--report " -> Field PGM[] Page Select is set to %d", update_reg[7:1]);
--report " -> Field User Watchdog is set to %s", update_reg[8] ? "Enabled" : "Disabled";
--report " -> Field User Watchdog Timeout Value is set to %d", update_reg[20:9];
else
-- dont handle clk events during initialization since this will
-- destroy the register values that we just initialized
if (clk = '1') then
if (shiftnld = '1') then
-- register shifting
for i in 0 to 24 loop
shift_reg(i) <= shift_reg(i+1);
end loop;
shift_reg(25) <= regin;
elsif (shiftnld = '0') then
-- register loading
if (captnupdt = '1') then
-- capture data into shift register
shift_reg <= update_reg & status_reg;
elsif (captnupdt = '0') then
-- update data from shift into Update Register
if (sim_init_config = "factory" and
(operation_mode = "remote" or operation_mode = "active_serial_remote")) then
-- every bit in Update Reg gets updated
update_reg(20 downto 0) <= shift_reg(25 downto 5);
--to-do: print field values
--VHDL93 only: report "Remote Update Block: Update Register updated at time " & time'image(now);
--report " -> Field PGM[] Page Select is set to %d", shift_reg[12:6];
--report " -> Field User Watchdog is set to %s", (shift_reg[13] == 1) ? "Enableds" : (shift_reg[13] == 0) ? "Disabled" : "x";
--report " -> Field User Watchdog Timeout Value is set to %d", shift_reg[25:14];
else
-- trying to do update in Application mode
--VHDL93 only: report "Remote Update Block: Attempted update of Update Register at time " & time'image(now) & " when Configuration is set to Application" severity WARNING;
end if;
else
-- invalid captnupdt
-- destroys update and shift regs
shift_reg <= (others => 'X');
if (sim_init_config = "factory") then
update_reg(20 downto 1) <= (others => 'X');
end if;
end if;
else
-- invalid shiftnld: destroys update and shift regs
shift_reg <= (others => 'X');
if (sim_init_config = "factory") then
update_reg(20 downto 1) <= (others => 'X');
end if;
end if;
elsif (clk /= '0') then
-- invalid clk: destroys registers
shift_reg <= (others => 'X');
if (sim_init_config = "factory") then
update_reg(20 downto 1) <= (others => 'X');
end if;
end if;
end if;
end process;
process (rconfig)
begin
-- initialize registers/outputs
if ( now = 0 ns ) then
-- pgmout update
if (operation_mode = "local") then
pgmout_update <= "001";
elsif (operation_mode = "remote") then
pgmout_update <= conv_std_logic_vector(sim_init_page_select, 3);
-- PGM[] field
else
pgmout_update <= (others => 'X');
end if;
end if;
if (rconfig = '1') then
-- start reconfiguration
--to-do: print field values
--VHDL93 only: report "Remote Update Block: Reconfiguration initiated at time " & time'image(now);
--report " -> Field Current Configuration is set to %s", update_reg[0] ? "Application" : "Factory";
--report " -> Field PGM[] Page Select is set to %d", update_reg[7:1];
--report " -> Field User Watchdog is set to %s", (update_reg[8] == 1) ? "Enabled" : (update_reg[8] == 0) ? "Disabled" : "x";
--report " -> Field User Watchdog Timeout Value is set to %d", update_reg[20:9];
if (operation_mode = "remote") then
-- set pgm[] to page as set in Update Register
pgmout_update <= update_reg(3 downto 1);
elsif (operation_mode = "local") then
-- set pgm[] to page as 001
pgmout_update <= "001";
else
-- invalid rconfig: destroys pgmout (only if not initializing)
pgmout_update <= (others => 'X');
end if;
elsif (rconfig /= '0') then
-- invalid rconfig: destroys pgmout (only if not initializing)
if (now /= 0 ns) then
pgmout_update <= (others => 'X');
end if;
end if;
end process;
end architecture_rublock;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixii_termination
--
-- Outputs : incrup and incrdn - output of voltage comparator
-- terminationcontrol - to I/O, cannot wired to PLD
-- terminationcontrolprobe - internal testing outputs only
--
-- Descriptions : the Atom represent On Chip Termination calibration block.
-- The block has no digital outputs that can be observed in PLD.
-- Therefore we do not have simulation model other than entity
-- declaration.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_termination is
GENERIC (
runtime_control : string := "false";
use_core_control : string := "false";
pullup_control_to_core : string := "true";
use_high_voltage_compare : string := "true";
use_both_compares : string := "false";
pullup_adder : integer := 0;
pulldown_adder : integer := 0;
half_rate_clock : string := "false";
power_down : string := "true";
left_shift : string := "false";
test_mode : string := "false";
lpm_type : string := "stratixii_termination";
tipd_rup : VitalDelayType01 := DefpropDelay01;
tipd_rdn : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_terminationclear : VitalDelayType01 := DefpropDelay01;
tipd_terminationenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationpullup : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01);
tipd_terminationpulldown : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01);
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_terminationclock_terminationcontrol_posedge : VitalDelayArrayType01(13 downto 0) := (OTHERS => DefPropDelay01);
tpd_terminationclock_terminationcontrolprobe_posedge : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01)
);
PORT (
rup : IN std_logic := '0';
rdn : IN std_logic := '0';
terminationclock : IN std_logic := '0';
terminationclear : IN std_logic := '0';
terminationenable : IN std_logic := '1';
terminationpullup : IN std_logic_vector(6 DOWNTO 0) := "0000000";
terminationpulldown : IN std_logic_vector(6 DOWNTO 0) := "0000000";
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
incrup : OUT std_logic;
incrdn : OUT std_logic;
terminationcontrol : OUT std_logic_vector(13 DOWNTO 0);
terminationcontrolprobe : OUT std_logic_vector(6 DOWNTO 0)
);
END stratixii_termination;
ARCHITECTURE vital_armtermination of stratixii_termination is
begin
--------------------
-- INPUT PATH DELAYS
--------------------
------------------------
-- Timing Check Section
------------------------
----------------------
-- Path Delay Section
----------------------
end vital_armtermination;
---------------------------------------------------------------------
--
-- Entity Name : stratixii_routing_wire
--
-- Description : StratixII Routing Wire VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_routing_wire is
generic (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01
);
PORT (
datain : in std_logic;
dataout : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_routing_wire : entity is TRUE;
end stratixii_routing_wire;
ARCHITECTURE behave of stratixii_routing_wire is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal datain_ipd : std_logic;
signal datainglitch_inert : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process(datain_ipd, datainglitch_inert)
variable datain_inert_VitalGlitchData : VitalGlitchDataType;
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => datainglitch_inert,
OutSignalName => "datainglitch_inert",
OutTemp => datain_ipd,
Paths => (1 => (datain_ipd'last_event, tpd_datainglitch_dataout, TRUE)),
GlitchData => datain_inert_VitalGlitchData,
Mode => VitalInertial,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => datainglitch_inert,
Paths => (1 => (datain_ipd'last_event, tpd_datain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
|
-- Copyright (C) 1991-2006 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- Quartus II 6.0 Build 178 04/27/2006
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
package stratixii_atom_pack is
function str_to_bin (lut_mask : string ) return std_logic_vector;
function product(list : std_logic_vector) return std_logic ;
function alt_conv_integer(arg : in std_logic_vector) return integer;
-- default generic values
CONSTANT DefWireDelay : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01 : VitalDelayType01 := (1 ns, 1 ns);
CONSTANT DefPropDelay01Z : VitalDelayType01Z := (OTHERS => 1 ns);
CONSTANT DefSetupHoldCnst : TIME := 0 ns;
CONSTANT DefPulseWdthCnst : TIME := 0 ns;
-- default control options
-- CONSTANT DefGlitchMode : VitalGlitchKindType := OnEvent;
-- change default delay type to Transport : for spr 68748
CONSTANT DefGlitchMode : VitalGlitchKindType := VitalTransport;
CONSTANT DefGlitchMsgOn : BOOLEAN := FALSE;
CONSTANT DefGlitchXOn : BOOLEAN := FALSE;
CONSTANT DefMsgOnChecks : BOOLEAN := TRUE;
CONSTANT DefXOnChecks : BOOLEAN := TRUE;
-- output strength mapping
-- UX01ZWHL-
CONSTANT PullUp : VitalOutputMapType := "UX01HX01X";
CONSTANT NoPullUpZ : VitalOutputMapType := "UX01ZX01X";
CONSTANT PullDown : VitalOutputMapType := "UX01LX01X";
-- primitive result strength mapping
CONSTANT wiredOR : VitalResultMapType := ( 'U', 'X', 'L', '1' );
CONSTANT wiredAND : VitalResultMapType := ( 'U', 'X', '0', 'H' );
CONSTANT L : VitalTableSymbolType := '0';
CONSTANT H : VitalTableSymbolType := '1';
CONSTANT x : VitalTableSymbolType := '-';
CONSTANT S : VitalTableSymbolType := 'S';
CONSTANT R : VitalTableSymbolType := '/';
CONSTANT U : VitalTableSymbolType := 'X';
CONSTANT V : VitalTableSymbolType := 'B'; -- valid clock signal (non-rising)
-- Declare array types for CAM_SLICE
TYPE stratixii_mem_data IS ARRAY (0 to 31) of STD_LOGIC_VECTOR (31 downto 0);
function int2str( value : integer ) return string;
function map_x_to_0 (value : std_logic) return std_logic;
function SelectDelay (CONSTANT Paths: IN VitalPathArray01Type) return TIME;
end stratixii_atom_pack;
library IEEE;
use IEEE.std_logic_1164.all;
package body stratixii_atom_pack is
type masklength is array (4 downto 1) of std_logic_vector(3 downto 0);
function str_to_bin (lut_mask : string) return std_logic_vector is
variable slice : masklength := (OTHERS => "0000");
variable mask : std_logic_vector(15 downto 0);
begin
for i in 1 to lut_mask'length loop
case lut_mask(i) is
when '0' => slice(i) := "0000";
when '1' => slice(i) := "0001";
when '2' => slice(i) := "0010";
when '3' => slice(i) := "0011";
when '4' => slice(i) := "0100";
when '5' => slice(i) := "0101";
when '6' => slice(i) := "0110";
when '7' => slice(i) := "0111";
when '8' => slice(i) := "1000";
when '9' => slice(i) := "1001";
when 'a' => slice(i) := "1010";
when 'A' => slice(i) := "1010";
when 'b' => slice(i) := "1011";
when 'B' => slice(i) := "1011";
when 'c' => slice(i) := "1100";
when 'C' => slice(i) := "1100";
when 'd' => slice(i) := "1101";
when 'D' => slice(i) := "1101";
when 'e' => slice(i) := "1110";
when 'E' => slice(i) := "1110";
when others => slice(i) := "1111";
end case;
end loop;
mask := (slice(1) & slice(2) & slice(3) & slice(4));
return (mask);
end str_to_bin;
function product (list: std_logic_vector) return std_logic is
begin
for i in 0 to 31 loop
if list(i) = '0' then
return ('0');
end if;
end loop;
return ('1');
end product;
function alt_conv_integer(arg : in std_logic_vector) return integer is
variable result : integer;
begin
result := 0;
for i in arg'range loop
if arg(i) = '1' then
result := result + 2**i;
end if;
end loop;
return result;
end alt_conv_integer;
function int2str( value : integer ) return string is
variable ivalue,index : integer;
variable digit : integer;
variable line_no: string(8 downto 1) := " ";
begin
ivalue := value;
index := 1;
if (ivalue = 0) then
line_no := " 0";
end if;
while (ivalue > 0) loop
digit := ivalue MOD 10;
ivalue := ivalue/10;
case digit is
when 0 =>
line_no(index) := '0';
when 1 =>
line_no(index) := '1';
when 2 =>
line_no(index) := '2';
when 3 =>
line_no(index) := '3';
when 4 =>
line_no(index) := '4';
when 5 =>
line_no(index) := '5';
when 6 =>
line_no(index) := '6';
when 7 =>
line_no(index) := '7';
when 8 =>
line_no(index) := '8';
when 9 =>
line_no(index) := '9';
when others =>
ASSERT FALSE
REPORT "Illegal number!"
SEVERITY ERROR;
end case;
index := index + 1;
end loop;
return line_no;
end;
function map_x_to_0 (value : std_logic) return std_logic is
begin
if (Is_X (value) = TRUE) then
return '0';
else
return value;
end if;
end;
function SelectDelay (CONSTANT Paths : IN VitalPathArray01Type) return TIME IS
variable Temp : TIME;
variable TransitionTime : TIME := TIME'HIGH;
variable PathDelay : TIME := TIME'HIGH;
begin
for i IN Paths'RANGE loop
next when not Paths(i).PathCondition;
next when Paths(i).InputChangeTime > TransitionTime;
Temp := Paths(i).PathDelay(tr01);
if Paths(i).InputChangeTime < TransitionTime then
PathDelay := Temp;
else
if Temp < PathDelay then
PathDelay := Temp;
end if;
end if;
TransitionTime := Paths(i).InputChangeTime;
end loop;
return PathDelay;
end;
end stratixii_atom_pack;
Library ieee;
use ieee.std_logic_1164.all;
Package stratixii_pllpack is
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer);
function gcd (X: integer; Y: integer) return integer;
function count_digit (X: integer) return integer;
function scale_num (X: integer; Y: integer) return integer;
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer) return integer;
function output_counter_value (clk_divide: integer; clk_mult : integer ;
M: integer; N: integer ) return integer;
function counter_mode (duty_cycle: integer; output_counter_value: integer) return string;
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer;
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer;
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function counter_time_delay ( clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer;
function get_phase_degree (phase_shift: integer; clk_period: integer) return integer;
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer;
function counter_ph (tap_phase: integer; m : integer; n: integer) return integer;
function ph_adjust (tap_phase: integer; ph_base : integer) return integer;
function translate_string (mode : string) return string;
function str2int (s : string) return integer;
function dqs_str2int (s : string) return integer;
end stratixii_pllpack;
package body stratixii_pllpack is
-- finds the closest integer fraction of a given pair of numerator and denominator.
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer) is
constant MAX_ITER : integer := 20;
type INT_ARRAY is array ((MAX_ITER-1) downto 0) of integer;
variable quotient_array : INT_ARRAY;
variable int_loop_iter : integer;
variable int_quot : integer;
variable m_value : integer;
variable d_value : integer;
variable old_m_value : integer;
variable swap : integer;
variable loop_iter : integer;
variable num : integer;
variable den : integer;
variable i_max_iter : integer;
begin
loop_iter := 0;
num := numerator;
den := denominator;
i_max_iter := max_iter;
while (loop_iter < i_max_iter) loop
int_quot := num / den;
quotient_array(loop_iter) := int_quot;
num := num - (den*int_quot);
loop_iter := loop_iter+1;
if ((num = 0) or (max_denom /= -1) or (loop_iter = i_max_iter)) then
-- calculate the numerator and denominator if there is a restriction on the
-- max denom value or if the loop is ending
m_value := 0;
d_value := 1;
-- get the rounded value at this stage for the remaining fraction
if (den /= 0) then
m_value := (2*num/den);
end if;
-- calculate the fraction numerator and denominator at this stage
for int_loop_iter in (loop_iter-1) downto 0 loop
if (m_value = 0) then
m_value := quotient_array(int_loop_iter);
d_value := 1;
else
old_m_value := m_value;
m_value := (quotient_array(int_loop_iter)*m_value) + d_value;
d_value := old_m_value;
end if;
end loop;
-- if the denominator is less than the maximum denom_value or if there is no restriction save it
if ((d_value <= max_denom) or (max_denom = -1)) then
if ((m_value = 0) or (d_value = 0)) then
fraction_num := numerator;
fraction_div := denominator;
else
fraction_num := m_value;
fraction_div := d_value;
end if;
end if;
-- end the loop if the denomitor has overflown or the numerator is zero (no remainder during this round)
if (((d_value > max_denom) and (max_denom /= -1)) or (num = 0)) then
i_max_iter := loop_iter;
end if;
end if;
-- swap the numerator and denominator for the next round
swap := den;
den := num;
num := swap;
end loop;
end find_simple_integer_fraction;
-- find the greatest common denominator of X and Y
function gcd (X: integer; Y: integer) return integer is
variable L, S, R, G : integer := 1;
begin
if (X < Y) then -- find which is smaller.
S := X;
L := Y;
else
S := Y;
L := X;
end if;
R := S;
while ( R > 1) loop
S := L;
L := R;
R := S rem L; -- divide bigger number by smaller.
-- remainder becomes smaller number.
end loop;
if (R = 0) then -- if evenly divisible then L is gcd else it is 1.
G := L;
else
G := R;
end if;
return G;
end gcd;
-- count the number of digits in the given integer
function count_digit (X: integer)
return integer is
variable count, result: integer := 0;
begin
result := X;
while (result /= 0) loop
result := (result / 10);
count := count + 1;
end loop;
return count;
end count_digit;
-- reduce the given huge number to Y significant digits
function scale_num (X: integer; Y: integer)
return integer is
variable count : integer := 0;
variable lc, fac_ten, result: integer := 1;
begin
count := count_digit(X);
for lc in 1 to (count-Y) loop
fac_ten := fac_ten * 10;
end loop;
result := (X / fac_ten);
return result;
end scale_num;
-- find the least common multiple of A1 to A10
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer)
return integer is
variable M1, M2, M3, M4, M5 , M6, M7, M8, M9, R: integer := 1;
begin
M1 := (A1 * A2)/gcd(A1, A2);
M2 := (M1 * A3)/gcd(M1, A3);
M3 := (M2 * A4)/gcd(M2, A4);
M4 := (M3 * A5)/gcd(M3, A5);
M5 := (M4 * A6)/gcd(M4, A6);
M6 := (M5 * A7)/gcd(M5, A7);
M7 := (M6 * A8)/gcd(M6, A8);
M8 := (M7 * A9)/gcd(M7, A9);
M9 := (M8 * A10)/gcd(M8, A10);
if (M9 < 3) then
R := 10;
elsif ((M9 <= 10) and (M9 >= 3)) then
R := 4 * M9;
elsif (M9 > 1000) then
R := scale_num(M9,3);
else
R := M9 ;
end if;
return R;
end lcm;
-- find the factor of division of the output clock frequency compared to the VCO
function output_counter_value (clk_divide: integer; clk_mult: integer ;
M: integer; N: integer ) return integer is
variable R: integer := 1;
begin
R := (clk_divide * M)/(clk_mult * N);
return R;
end output_counter_value;
-- find the mode of each PLL counter - bypass, even or odd
function counter_mode (duty_cycle: integer; output_counter_value: integer)
return string is
variable R: string (1 to 6) := " ";
variable counter_value: integer := 1;
begin
counter_value := (2*duty_cycle*output_counter_value)/100;
if output_counter_value = 1 then
R := "bypass";
elsif (counter_value REM 2) = 0 then
R := " even";
else
R := " odd";
end if;
return R;
end counter_mode;
-- find the number of VCO clock cycles to hold the output clock high
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer is
variable R: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value *2)/100 ;
if (half_cycle_high REM 2 = 0) then
R := half_cycle_high/2 ;
else
R := (half_cycle_high/2) + 1;
end if;
return R;
end;
-- find the number of VCO clock cycles to hold the output clock low
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer is
variable R, R1: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value*2)/100 ;
if (half_cycle_high REM 2 = 0) then
R1 := half_cycle_high/2 ;
else
R1 := (half_cycle_high/2) + 1;
end if;
R := output_counter_value - R1;
return R;
end;
-- find the smallest time delay amongst t1 to t10
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 > 0) then return m9; else return 0; end if;
end;
-- find the numerically largest negative number, and return its absolute value
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 < 0) then return (0 - m9); else return 0; end if;
end;
-- adjust the phase (tap_phase) with the largest negative number (ph_base)
function ph_adjust (tap_phase: integer; ph_base : integer) return integer is
begin
return (tap_phase + ph_base);
end;
-- find the time delay for each PLL counter
function counter_time_delay (clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer is
variable R: integer := 0;
begin
R := clk_time_delay + m_time_delay - n_time_delay;
return R;
end;
-- calculate the given phase shift (in ps) in terms of degrees
function get_phase_degree (phase_shift: integer; clk_period: integer)
return integer is
variable result: integer := 0;
begin
result := ( phase_shift * 360 ) / clk_period;
-- to round up the calculation result
if (result > 0) then
result := result + 1;
elsif (result < 0) then
result := result - 1;
else
result := 0;
end if;
return result;
end;
-- find the number of VCO clock cycles to wait initially before the first rising
-- edge of the output clock
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer is
variable R: integer;
variable R1: real;
begin
R1 := (real(abs(tap_phase)) * real(m))/(360.0 * real(n)) + 0.5;
-- Note NCSim VHDL had problem in rounding up for 0.5 - 0.99.
-- This checking will ensure that the rounding up is done.
if (R1 >= 0.5) and (R1 <= 1.0) then
R1 := 1.0;
end if;
R := integer(R1);
return R;
end;
-- find which VCO phase tap (0 to 7) to align the rising edge of the output clock to
function counter_ph (tap_phase: integer; m: integer; n: integer) return integer is
variable R: integer := 0;
begin
-- 0.5 is added for proper rounding of the tap_phase.
R := (integer(real(tap_phase * m / n)+ 0.5) REM 360)/45;
return R;
end;
-- convert given string to length 6 by padding with spaces
function translate_string (mode : string) return string is
variable new_mode : string (1 to 6) := " ";
begin
if (mode = "bypass") then
new_mode := "bypass";
elsif (mode = "even") then
new_mode := " even";
elsif (mode = "odd") then
new_mode := " odd";
end if;
return new_mode;
end;
function str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "i n string parameter! "
SEVERITY ERROR;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
newdigit := newdigit * 10 + digit;
end loop;
return (sign*newdigit);
end;
function dqs_str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
variable err : boolean := false;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & " in string parameter! "
SEVERITY ERROR;
err := true;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
-- set error flag
err := true;
end case;
if (err) then
err := false;
else
newdigit := newdigit * 10 + digit;
end if;
end loop;
return (sign*newdigit);
end;
end stratixii_pllpack;
--
--
-- DFFE Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_dffe is
generic(
TimingChecksOn: Boolean := True;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
port(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC;
CLRN : in STD_LOGIC;
PRN : in STD_LOGIC;
CLK : in STD_LOGIC;
ENA : in STD_LOGIC);
attribute VITAL_LEVEL0 of stratixii_dffe : entity is TRUE;
end stratixii_dffe;
-- architecture body --
architecture behave of stratixii_dffe is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal D_ipd : STD_ULOGIC := 'U';
signal CLRN_ipd : STD_ULOGIC := 'U';
signal PRN_ipd : STD_ULOGIC := 'U';
signal CLK_ipd : STD_ULOGIC := 'U';
signal ENA_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (D_ipd, D, tipd_D);
VitalWireDelay (CLRN_ipd, CLRN, tipd_CLRN);
VitalWireDelay (PRN_ipd, PRN, tipd_PRN);
VitalWireDelay (CLK_ipd, CLK, tipd_CLK);
VitalWireDelay (ENA_ipd, ENA, tipd_ENA);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (D_ipd, CLRN_ipd, PRN_ipd, CLK_ipd, ENA_ipd)
-- timing check results
VARIABLE Tviol_D_CLK : STD_ULOGIC := '0';
VARIABLE Tviol_ENA_CLK : STD_ULOGIC := '0';
VARIABLE TimingData_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_ENA_CLK : VitalTimingDataType := VitalTimingDataInit;
-- functionality results
VARIABLE Violation : STD_ULOGIC := '0';
VARIABLE PrevData_Q : STD_LOGIC_VECTOR(0 to 7);
VARIABLE D_delayed : STD_ULOGIC := 'U';
VARIABLE CLK_delayed : STD_ULOGIC := 'U';
VARIABLE ENA_delayed : STD_ULOGIC := 'U';
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => '0');
-- output glitch detection variables
VARIABLE Q_VitalGlitchData : VitalGlitchDataType;
CONSTANT dffe_Q_tab : VitalStateTableType := (
( L, L, x, x, x, x, x, x, x, L ),
( L, H, L, H, H, x, x, H, x, H ),
( L, H, L, H, x, L, x, H, x, H ),
( L, H, L, x, H, H, x, H, x, H ),
( L, H, H, x, x, x, H, x, x, S ),
( L, H, x, x, x, x, L, x, x, H ),
( L, H, x, x, x, x, H, L, x, S ),
( L, x, L, L, L, x, H, H, x, L ),
( L, x, L, L, x, L, H, H, x, L ),
( L, x, L, x, L, H, H, H, x, L ),
( L, x, x, x, x, x, x, x, x, S ));
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_D_CLK,
TimingData => TimingData_D_CLK,
TestSignal => D_ipd,
TestSignalName => "D",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_noedge_posedge,
SetupLow => tsetup_D_CLK_noedge_posedge,
HoldHigh => thold_D_CLK_noedge_posedge,
HoldLow => thold_D_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) OR ( (NOT ENA_ipd) )) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ENA_CLK,
TimingData => TimingData_ENA_CLK,
TestSignal => ENA_ipd,
TestSignalName => "ENA",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ENA_CLK_noedge_posedge,
SetupLow => tsetup_ENA_CLK_noedge_posedge,
HoldHigh => thold_ENA_CLK_noedge_posedge,
HoldLow => thold_ENA_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
-------------------------
-- Functionality Section
-------------------------
Violation := Tviol_D_CLK or Tviol_ENA_CLK;
VitalStateTable(
StateTable => dffe_Q_tab,
DataIn => (
Violation, CLRN_ipd, CLK_delayed, Results(1), D_delayed, ENA_delayed, PRN_ipd, CLK_ipd),
Result => Results,
NumStates => 1,
PreviousDataIn => PrevData_Q);
D_delayed := D_ipd;
CLK_delayed := CLK_ipd;
ENA_delayed := ENA_ipd;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Results(1),
Paths => ( 0 => (PRN_ipd'last_event, tpd_PRN_Q_negedge, TRUE),
1 => (CLRN_ipd'last_event, tpd_CLRN_Q_negedge, TRUE),
2 => (CLK_ipd'last_event, tpd_CLK_Q_posedge, TRUE)),
GlitchData => Q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--
--
-- stratixii_mux21 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixii_atom_pack.all;
entity stratixii_mux21 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic);
attribute VITAL_LEVEL0 of stratixii_mux21 : entity is TRUE;
end stratixii_mux21;
architecture AltVITAL of stratixii_mux21 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal A_ipd, B_ipd, S_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (A_ipd, A, tipd_A);
VitalWireDelay (B_ipd, B, tipd_B);
VitalWireDelay (S_ipd, S, tipd_S);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (A_ipd, B_ipd, S_ipd)
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if (S_ipd = '1') then
tmp_MO := B_ipd;
else
tmp_MO := A_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (A_ipd'last_event, tpd_A_MO, TRUE),
1 => (B_ipd'last_event, tpd_B_MO, TRUE),
2 => (S_ipd'last_event, tpd_S_MO, TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- stratixii_mux41 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixii_atom_pack.all;
entity stratixii_mux41 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN0_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN1_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN2_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN3_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_IN0 : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01;
tipd_IN3 : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
IN0 : in std_logic := '0';
IN1 : in std_logic := '0';
IN2 : in std_logic := '0';
IN3 : in std_logic := '0';
S : in std_logic_vector(1 downto 0) := (OTHERS => '0');
MO : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_mux41 : entity is TRUE;
end stratixii_mux41;
architecture AltVITAL of stratixii_mux41 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd : std_logic;
signal S_ipd : std_logic_vector(1 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN0_ipd, IN0, tipd_IN0);
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
VitalWireDelay (IN2_ipd, IN2, tipd_IN2);
VitalWireDelay (IN3_ipd, IN3, tipd_IN3);
VitalWireDelay (S_ipd(0), S(0), tipd_S(0));
VitalWireDelay (S_ipd(1), S(1), tipd_S(1));
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd, S_ipd(0), S_ipd(1))
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if ((S_ipd(1) = '1') AND (S_ipd(0) = '1')) then
tmp_MO := IN3_ipd;
elsif ((S_ipd(1) = '1') AND (S_ipd(0) = '0')) then
tmp_MO := IN2_ipd;
elsif ((S_ipd(1) = '0') AND (S_ipd(0) = '1')) then
tmp_MO := IN1_ipd;
else
tmp_MO := IN0_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (IN0_ipd'last_event, tpd_IN0_MO, TRUE),
1 => (IN1_ipd'last_event, tpd_IN1_MO, TRUE),
2 => (IN2_ipd'last_event, tpd_IN2_MO, TRUE),
3 => (IN3_ipd'last_event, tpd_IN3_MO, TRUE),
4 => (S_ipd(0)'last_event, tpd_S_MO(0), TRUE),
5 => (S_ipd(1)'last_event, tpd_S_MO(1), TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- stratixii_and1 Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixii_atom_pack.all;
-- entity declaration --
entity stratixii_and1 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC);
attribute VITAL_LEVEL0 of stratixii_and1 : entity is TRUE;
end stratixii_and1;
-- architecture body --
architecture AltVITAL of stratixii_and1 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
SIGNAL IN1_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN1_ipd)
-- functionality results
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => 'X');
ALIAS Y_zd : STD_ULOGIC is Results(1);
-- output glitch detection variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
begin
-------------------------
-- Functionality Section
-------------------------
Y_zd := TO_X01(IN1_ipd);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (0 => (IN1_ipd'last_event, tpd_IN1_Y, TRUE)),
GlitchData => Y_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
----------------------------------------------------------------------------
-- Module Name : stratixii_ram_register
-- Description : Register module for RAM inputs/outputs
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_ram_register IS
GENERIC (
width : INTEGER := 1;
preset : STD_LOGIC := '0';
tipd_d : VitalDelayArrayType01(143 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_stall : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpw_ena_posedge : VitalDelayType := DefPulseWdthCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END stratixii_ram_register;
ARCHITECTURE reg_arch OF stratixii_ram_register IS
SIGNAL d_ipd : STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
SIGNAL clk_ipd : STD_LOGIC;
SIGNAL ena_ipd : STD_LOGIC;
SIGNAL aclr_ipd : STD_LOGIC;
SIGNAL stall_ipd : STD_LOGIC;
BEGIN
WireDelay : BLOCK
BEGIN
loopbits : FOR i in d'RANGE GENERATE
VitalWireDelay (d_ipd(i), d(i), tipd_d(i));
END GENERATE;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (stall_ipd, stall, tipd_stall);
END BLOCK;
PROCESS (d_ipd,ena_ipd,stall_ipd,clk_ipd,aclr_ipd,devclrn,devpor)
VARIABLE Tviol_clk_ena : STD_ULOGIC := '0';
VARIABLE Tviol_clk_aclr : STD_ULOGIC := '0';
VARIABLE Tviol_data_clk : STD_ULOGIC := '0';
VARIABLE TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_stall : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_aclr : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_ena : STD_ULOGIC := '0';
VARIABLE PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
VARIABLE q_VitalGlitchDataArray : VitalGlitchDataArrayType(143 downto 0);
VARIABLE CQDelay : TIME := 0 ns;
VARIABLE q_reg : STD_LOGIC_VECTOR(width - 1 DOWNTO 0) := (OTHERS => preset);
BEGIN
IF (aclr_ipd = '1' OR devclrn = '0' OR devpor = '0') THEN
q_reg := (OTHERS => preset);
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1' AND stall_ipd = '0') THEN
q_reg := d_ipd;
END IF;
-- Timing checks
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_stall,
TestSignal => stall_ipd,
TestSignalName => "stall",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_stall_clk_noedge_posedge,
SetupLow => tsetup_stall_clk_noedge_posedge,
HoldHigh => thold_stall_clk_noedge_posedge,
HoldLow => thold_stall_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_aclr,
TimingData => TimingData_clk_aclr,
TestSignal => aclr_ipd,
TestSignalName => "aclr",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_aclr_clk_noedge_posedge,
SetupLow => tsetup_aclr_clk_noedge_posedge,
HoldHigh => thold_aclr_clk_noedge_posedge,
HoldLow => thold_aclr_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_data_clk,
TimingData => TimingData_data_clk,
TestSignal => d_ipd,
TestSignalName => "data",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalPeriodPulseCheck (
Violation => Tviol_ena,
PeriodData => PeriodData_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
PulseWidthHigh => tpw_ena_posedge,
HeaderMsg => "/RAM Register VitalPeriodPulseCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
-- Path Delay Selection
CQDelay := SelectDelay (
Paths => (
(0 => (clk_ipd'LAST_EVENT,tpd_clk_q_posedge,TRUE),
1 => (aclr_ipd'LAST_EVENT,tpd_aclr_q_posedge,TRUE))
)
);
q <= TRANSPORT q_reg AFTER CQDelay;
END PROCESS;
aclrout <= aclr_ipd;
END reg_arch;
----------------------------------------------------------------------------
-- Module Name : stratixii_ram_pulse_generator
-- Description : Generate pulse to initiate memory read/write operations
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_ram_pulse_generator IS
GENERIC (
tipd_clk : VitalDelayType01 := (0.5 ns,0.5 ns);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tpd_clk_pulse_posedge : VitalDelayType01 := DefPropDelay01
);
PORT (
clk,ena : IN STD_LOGIC;
pulse,cycle : OUT STD_LOGIC
);
ATTRIBUTE VITAL_Level0 OF stratixii_ram_pulse_generator:ENTITY IS TRUE;
END stratixii_ram_pulse_generator;
ARCHITECTURE pgen_arch OF stratixii_ram_pulse_generator IS
ATTRIBUTE VITAL_Level0 OF pgen_arch:ARCHITECTURE IS TRUE;
SIGNAL clk_ipd,ena_ipd : STD_LOGIC;
SIGNAL state : STD_LOGIC;
BEGIN
WireDelay : BLOCK
BEGIN
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
END BLOCK;
PROCESS (clk_ipd,state)
BEGIN
IF (state = '1' AND state'EVENT) THEN
state <= '0';
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1') THEN
state <= '1';
END IF;
END PROCESS;
PathDelay : PROCESS
VARIABLE pulse_VitalGlitchData : VitalGlitchDataType;
BEGIN
WAIT UNTIL state'EVENT;
VitalPathDelay01 (
OutSignal => pulse,
OutSignalName => "pulse",
OutTemp => state,
Paths => (0 => (clk_ipd'LAST_EVENT,tpd_clk_pulse_posedge,TRUE)),
GlitchData => pulse_VitalGlitchData,
Mode => DefGlitchMode,
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks
);
END PROCESS;
cycle <= clk_ipd;
END pgen_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_ram_register;
USE work.stratixii_ram_pulse_generator;
ENTITY stratixii_ram_block IS
GENERIC (
-- -------- GLOBAL PARAMETERS ---------
operation_mode : STRING := "single_port";
mixed_port_feed_through_mode : STRING := "dont_care";
ram_block_type : STRING := "auto";
logical_ram_name : STRING := "ram_name";
init_file : STRING := "init_file.hex";
init_file_layout : STRING := "none";
data_interleave_width_in_bits : INTEGER := 1;
data_interleave_offset_in_bits : INTEGER := 1;
port_a_logical_ram_depth : INTEGER := 0;
port_a_logical_ram_width : INTEGER := 0;
port_a_first_address : INTEGER := 0;
port_a_last_address : INTEGER := 0;
port_a_first_bit_number : INTEGER := 0;
port_a_data_in_clear : STRING := "none";
port_a_address_clear : STRING := "none";
port_a_write_enable_clear : STRING := "none";
port_a_data_out_clear : STRING := "none";
port_a_byte_enable_clear : STRING := "none";
port_a_data_in_clock : STRING := "clock0";
port_a_address_clock : STRING := "clock0";
port_a_write_enable_clock : STRING := "clock0";
port_a_byte_enable_clock : STRING := "clock0";
port_a_data_out_clock : STRING := "none";
port_a_data_width : INTEGER := 1;
port_a_address_width : INTEGER := 1;
port_a_byte_enable_mask_width : INTEGER := 1;
port_b_logical_ram_depth : INTEGER := 0;
port_b_logical_ram_width : INTEGER := 0;
port_b_first_address : INTEGER := 0;
port_b_last_address : INTEGER := 0;
port_b_first_bit_number : INTEGER := 0;
port_b_data_in_clear : STRING := "none";
port_b_address_clear : STRING := "none";
port_b_read_enable_write_enable_clear: STRING := "none";
port_b_byte_enable_clear : STRING := "none";
port_b_data_out_clear : STRING := "none";
port_b_data_in_clock : STRING := "clock0";
port_b_address_clock : STRING := "clock0";
port_b_read_enable_write_enable_clock: STRING := "clock0";
port_b_byte_enable_clock : STRING := "none";
port_b_data_out_clock : STRING := "none";
port_b_data_width : INTEGER := 1;
port_b_address_width : INTEGER := 1;
port_b_byte_enable_mask_width : INTEGER := 1;
power_up_uninitialized : STRING := "false";
port_b_disable_ce_on_output_registers : STRING := "off";
port_b_disable_ce_on_input_registers : STRING := "off";
port_b_byte_size : INTEGER := 0;
port_a_disable_ce_on_output_registers : STRING := "off";
port_a_disable_ce_on_input_registers : STRING := "off";
port_a_byte_size : INTEGER := 0;
lpm_type : string := "stratixii_ram_block";
lpm_hint : string := "true";
connectivity_checking : string := "off";
mem_init0 : BIT_VECTOR := X"0";
mem_init1 : BIT_VECTOR := X"0"
);
-- -------- PORT DECLARATIONS ---------
PORT (
portadatain : IN STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portawe : IN STD_LOGIC := '0';
portbdatain : IN STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portbaddr : IN STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portbrewe : IN STD_LOGIC := '0';
clk0 : IN STD_LOGIC := '0';
clk1 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
ena1 : IN STD_LOGIC := '1';
clr0 : IN STD_LOGIC := '0';
clr1 : IN STD_LOGIC := '0';
portabyteenamasks : IN STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbbyteenamasks : IN STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
devclrn : IN STD_LOGIC := '1';
devpor : IN STD_LOGIC := '1';
portaaddrstall : IN STD_LOGIC := '0';
portbaddrstall : IN STD_LOGIC := '0';
portadataout : OUT STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
portbdataout : OUT STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0)
);
END stratixii_ram_block;
ARCHITECTURE block_arch OF stratixii_ram_block IS
COMPONENT stratixii_ram_pulse_generator
PORT (
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
pulse : OUT STD_LOGIC;
cycle : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT stratixii_ram_register
GENERIC (
preset : STD_LOGIC := '0';
width : integer := 1
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END COMPONENT;
FUNCTION cond (condition : BOOLEAN;CONSTANT a,b : INTEGER) RETURN INTEGER IS
VARIABLE c: INTEGER;
BEGIN
IF (condition) THEN c := a; ELSE c := b; END IF;
RETURN c;
END;
SUBTYPE port_type IS BOOLEAN;
CONSTANT primary : port_type := TRUE;
CONSTANT secondary : port_type := FALSE;
CONSTANT primary_port_is_a : BOOLEAN := (port_b_data_width <= port_a_data_width);
CONSTANT primary_port_is_b : BOOLEAN := NOT primary_port_is_a;
CONSTANT mode_is_rom : BOOLEAN := (operation_mode = "rom");
CONSTANT mode_is_sp : BOOLEAN := (operation_mode = "single_port");
CONSTANT mode_is_dp : BOOLEAN := (operation_mode = "dual_port");
CONSTANT mode_is_bdp : BOOLEAN := (operation_mode = "bidir_dual_port");
CONSTANT wired_mode : BOOLEAN := (port_a_address_width = port_b_address_width) AND (port_a_address_width = 1)
AND (port_a_data_width /= port_b_data_width);
CONSTANT num_cols : INTEGER := cond(mode_is_rom OR mode_is_sp,1,
cond(wired_mode,2,2 ** (ABS(port_b_address_width - port_a_address_width))));
CONSTANT data_width : INTEGER := cond(primary_port_is_a,port_a_data_width,port_b_data_width);
CONSTANT data_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_data_width,port_b_data_width);
CONSTANT address_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_a,port_a_address_width,port_b_address_width);
CONSTANT address_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_address_width,port_b_address_width);
CONSTANT byte_size_a : INTEGER := port_a_data_width / port_a_byte_enable_mask_width;
CONSTANT byte_size_b : INTEGER := port_b_data_width / port_b_byte_enable_mask_width;
CONSTANT out_a_is_reg : BOOLEAN := (port_a_data_out_clock /= "none" AND port_a_data_out_clock /= "UNUSED");
CONSTANT out_b_is_reg : BOOLEAN := (port_b_data_out_clock /= "none" AND port_b_data_out_clock /= "UNUSED");
CONSTANT bytes_a_disabled : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT bytes_b_disabled : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT ram_type : BOOLEAN := (ram_block_type = "M-RAM" OR ram_block_type = "m-ram" OR ram_block_type = "MegaRAM" OR
(ram_block_type = "auto" AND mixed_port_feed_through_mode = "dont_care" AND port_b_read_enable_write_enable_clock = "clock0"));
TYPE bool_to_std_logic_map IS ARRAY(TRUE DOWNTO FALSE) OF STD_LOGIC;
CONSTANT bool_to_std_logic : bool_to_std_logic_map := ('1','0');
-- -------- internal signals ---------
-- clock / clock enable
SIGNAL clk_a_in,clk_b_in : STD_LOGIC;
SIGNAL clk_a_byteena,clk_b_byteena : STD_LOGIC;
SIGNAL clk_a_out,clk_b_out : STD_LOGIC;
SIGNAL clkena_a_out,clkena_b_out : STD_LOGIC;
SIGNAL write_cycle_a,write_cycle_b : STD_LOGIC;
-- asynch clear
TYPE clear_mode_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
TYPE clear_vec_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL datain_a_clr,datain_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr,dataout_b_clr : STD_LOGIC;
SIGNAL addr_a_clr,addr_b_clr : STD_LOGIC;
SIGNAL byteena_a_clr,byteena_b_clr : STD_LOGIC;
SIGNAL we_a_clr,rewe_b_clr : STD_LOGIC;
SIGNAL datain_a_clr_in,datain_b_clr_in : STD_LOGIC;
SIGNAL addr_a_clr_in,addr_b_clr_in : STD_LOGIC;
SIGNAL byteena_a_clr_in,byteena_b_clr_in : STD_LOGIC;
SIGNAL we_a_clr_in,rewe_b_clr_in : STD_LOGIC;
SIGNAL mem_invalidate,mem_invalidate_loc,read_latch_invalidate : clear_mode_type;
SIGNAL clear_asserted_during_write : clear_vec_type;
SUBTYPE one_bit_bus_type IS STD_LOGIC_VECTOR(0 DOWNTO 0);
-- port A registers
SIGNAL we_a_reg : STD_LOGIC;
SIGNAL we_a_reg_in,we_a_reg_out : one_bit_bus_type;
SIGNAL addr_a_reg : STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0);
SIGNAL datain_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL byteena_a_reg : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width- 1 DOWNTO 0);
-- port B registers
SIGNAL rewe_b_reg : STD_LOGIC;
SIGNAL rewe_b_reg_in,rewe_b_reg_out : one_bit_bus_type;
SIGNAL addr_b_reg : STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0);
SIGNAL datain_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL byteena_b_reg : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width- 1 DOWNTO 0);
-- pulses
TYPE pulse_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL write_pulse,read_pulse,read_pulse_feedthru : pulse_vec;
SIGNAL wpgen_a_clk,wpgen_a_clkena,wpgen_b_clk,wpgen_b_clkena : STD_LOGIC;
SIGNAL rpgen_a_clkena,rpgen_b_clkena : STD_LOGIC;
SIGNAL ftpgen_a_clkena,ftpgen_b_clkena : STD_LOGIC;
-- registered address
SIGNAL addr_prime_reg,addr_sec_reg : INTEGER;
-- input/output
SIGNAL datain_prime_reg,dataout_prime : STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
SIGNAL datain_sec_reg,dataout_sec : STD_LOGIC_VECTOR(data_unit_width - 1 DOWNTO 0);
-- overlapping location write
SIGNAL dual_write : BOOLEAN;
-- memory core
SUBTYPE mem_word_type IS STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
SUBTYPE mem_col_type IS STD_LOGIC_VECTOR (data_unit_width - 1 DOWNTO 0);
TYPE mem_row_type IS ARRAY (num_cols - 1 DOWNTO 0) OF mem_col_type;
TYPE mem_type IS ARRAY ((2 ** address_unit_width) - 1 DOWNTO 0) OF mem_row_type;
SIGNAL mem : mem_type;
SIGNAL init_mem : BOOLEAN := FALSE;
CONSTANT mem_x : mem_type := (OTHERS => (OTHERS => (OTHERS => 'X')));
CONSTANT row_x : mem_row_type := (OTHERS => (OTHERS => 'X'));
CONSTANT col_x : mem_col_type := (OTHERS => 'X');
SIGNAL mem_data : mem_row_type;
SIGNAL mem_unit_data : mem_col_type;
-- latches
TYPE read_latch_rec IS RECORD
prime : mem_row_type;
sec : mem_col_type;
END RECORD;
SIGNAL read_latch : read_latch_rec;
-- (row,column) coordinates
SIGNAL row_sec,col_sec : INTEGER;
-- byte enable
TYPE mask_type IS (normal,inverse);
TYPE mask_prime_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_word_type;
TYPE mask_sec_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_col_type;
TYPE mask_rec IS RECORD
prime : mask_prime_type;
sec : mask_sec_type;
END RECORD;
SIGNAL mask_vector : mask_rec;
SIGNAL mask_vector_common : mem_col_type;
FUNCTION get_mask(
b_ena : IN STD_LOGIC_VECTOR;
mode : port_type;
CONSTANT b_ena_width ,byte_size: INTEGER
) RETURN mask_rec IS
VARIABLE l : INTEGER;
VARIABLE mask : mask_rec := (
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X')),
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X'))
);
BEGIN
FOR l in 0 TO b_ena_width - 1 LOOP
IF (b_ena(l) = '0') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.prime(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.sec(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
END IF;
ELSIF (b_ena(l) = 'X' OR b_ena(l) = 'U') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
END IF;
END IF;
END LOOP;
RETURN mask;
END get_mask;
-- port active for read/write
SIGNAL active_a_in_vec,active_b_in_vec,active_a_out,active_b_out : one_bit_bus_type;
SIGNAL active_a_in,active_b_in : STD_LOGIC;
SIGNAL active_a,active_write_a : BOOLEAN;
SIGNAL active_b,active_write_b : BOOLEAN;
SIGNAL wire_vcc : STD_LOGIC := '1';
SIGNAL wire_gnd : STD_LOGIC := '0';
BEGIN
-- memory initialization
init_mem <= TRUE;
-- -------- core logic ---------------
clk_a_in <= clk0;
clk_a_byteena <= '0' WHEN (port_a_byte_enable_clock = "none" OR port_a_byte_enable_clock = "UNUSED") ELSE clk_a_in;
clk_a_out <= '0' WHEN (port_a_data_out_clock = "none" OR port_a_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_a_data_out_clock = "clock0") ELSE clk1;
clk_b_in <= clk0 WHEN (port_b_read_enable_write_enable_clock = "clock0") ELSE clk1;
clk_b_byteena <= '0' WHEN (port_b_byte_enable_clock = "none" OR port_b_byte_enable_clock = "UNUSED") ELSE
clk0 WHEN (port_b_byte_enable_clock = "clock0") ELSE clk1;
clk_b_out <= '0' WHEN (port_b_data_out_clock = "none" OR port_b_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_b_data_out_clock = "clock0") ELSE clk1;
addr_a_clr_in <= '0' WHEN (port_a_address_clear = "none" OR port_a_address_clear = "UNUSED") ELSE clr0;
addr_b_clr_in <= '0' WHEN (port_b_address_clear = "none" OR port_b_address_clear = "UNUSED") ELSE
clr0 WHEN (port_b_address_clear = "clear0") ELSE clr1;
datain_a_clr_in <= '0' WHEN (port_a_data_in_clear = "none" OR port_a_data_in_clear = "UNUSED") ELSE clr0;
datain_b_clr_in <= '0' WHEN (port_b_data_in_clear = "none" OR port_b_data_in_clear = "UNUSED") ELSE
clr0 WHEN (port_b_data_in_clear = "clear0") ELSE clr1;
dataout_a_clr <= '0' WHEN (port_a_data_out_clear = "none" OR port_a_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_a_data_out_clear = "clear0") ELSE clr1;
dataout_b_clr <= '0' WHEN (port_b_data_out_clear = "none" OR port_b_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_b_data_out_clear = "clear0") ELSE clr1;
byteena_a_clr_in <= '0' WHEN (port_a_byte_enable_clear = "none" OR port_a_byte_enable_clear = "UNUSED") ELSE clr0;
byteena_b_clr_in <= '0' WHEN (port_b_byte_enable_clear = "none" OR port_b_byte_enable_clear = "UNUSED") ELSE
clr0 WHEN (port_b_byte_enable_clear = "clear0") ELSE clr1;
we_a_clr_in <= '0' WHEN (port_a_write_enable_clear = "none" OR port_a_write_enable_clear = "UNUSED") ELSE clr0;
rewe_b_clr_in <= '0' WHEN (port_b_read_enable_write_enable_clear = "none" OR port_b_read_enable_write_enable_clear = "UNUSED") ELSE
clr0 WHEN (port_b_read_enable_write_enable_clear = "clear0") ELSE clr1;
active_a_in <= '1' WHEN (port_a_disable_ce_on_input_registers = "on") ELSE ena0;
active_b_in <= '1' WHEN (port_b_disable_ce_on_input_registers = "on") ELSE
ena0 WHEN (port_b_read_enable_write_enable_clock = "clock0") ELSE ena1;
-- A port active
active_a_in_vec(0) <= active_a_in;
active_port_a : stratixii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_a_in_vec,
clk => clk_a_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_a_out
);
active_a <= (active_a_out(0) = '1');
active_write_a <= active_a AND (byteena_a_reg /= bytes_a_disabled);
-- B port active
active_b_in_vec(0) <= active_b_in;
active_port_b : stratixii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_b_in_vec,
clk => clk_b_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
stall => wire_gnd,
ena => wire_vcc,
q => active_b_out
);
active_b <= (active_b_out(0) = '1');
active_write_b <= active_b AND (byteena_b_reg /= bytes_b_disabled);
-- ------ A input registers
-- write enable
we_a_reg_in(0) <= '0' WHEN mode_is_rom ELSE portawe;
we_a_register : stratixii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => we_a_reg_in,
clk => clk_a_in,
aclr => we_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => we_a_reg_out,
aclrout => we_a_clr
);
we_a_reg <= we_a_reg_out(0);
-- address
addr_a_register : stratixii_ram_register
GENERIC MAP ( width => port_a_address_width )
PORT MAP (
d => portaaddr,
clk => clk_a_in,
aclr => addr_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portaaddrstall,
ena => active_a_in,
q => addr_a_reg,
aclrout => addr_a_clr
);
-- data
datain_a_register : stratixii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => portadatain,
clk => clk_a_in,
aclr => datain_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => datain_a_reg,
aclrout => datain_a_clr
);
-- byte enable
byteena_a_register : stratixii_ram_register
GENERIC MAP (
width => port_a_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portabyteenamasks,
clk => clk_a_byteena,
aclr => byteena_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => byteena_a_reg,
aclrout => byteena_a_clr
);
-- ------ B input registers
-- read/write enable
rewe_b_reg_in(0) <= portbrewe;
rewe_b_register : stratixii_ram_register
GENERIC MAP (
width => 1,
preset => bool_to_std_logic(mode_is_dp)
)
PORT MAP (
d => rewe_b_reg_in,
clk => clk_b_in,
aclr => rewe_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => rewe_b_reg_out,
aclrout => rewe_b_clr
);
rewe_b_reg <= rewe_b_reg_out(0);
-- address
addr_b_register : stratixii_ram_register
GENERIC MAP ( width => port_b_address_width )
PORT MAP (
d => portbaddr,
clk => clk_b_in,
aclr => addr_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portbaddrstall,
ena => active_b_in,
q => addr_b_reg,
aclrout => addr_b_clr
);
-- data
datain_b_register : stratixii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => portbdatain,
clk => clk_b_in,
aclr => datain_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => datain_b_reg,
aclrout => datain_b_clr
);
-- byte enable
byteena_b_register : stratixii_ram_register
GENERIC MAP (
width => port_b_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portbbyteenamasks,
clk => clk_b_byteena,
aclr => byteena_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => byteena_b_reg,
aclrout => byteena_b_clr
);
datain_prime_reg <= datain_a_reg WHEN primary_port_is_a ELSE datain_b_reg;
addr_prime_reg <= alt_conv_integer(addr_a_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_b_reg);
datain_sec_reg <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
datain_b_reg WHEN primary_port_is_a ELSE datain_a_reg;
addr_sec_reg <= alt_conv_integer(addr_b_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_a_reg);
-- Write pulse generation
wpgen_a_clk <= clk_a_in WHEN ram_type ELSE (NOT clk_a_in);
wpgen_a_clkena <= '1' WHEN (active_write_a AND (we_a_reg = '1')) ELSE '0';
wpgen_a : stratixii_ram_pulse_generator
PORT MAP (
clk => wpgen_a_clk,
ena => wpgen_a_clkena,
pulse => write_pulse(primary_port_is_a),
cycle => write_cycle_a
);
wpgen_b_clk <= clk_b_in WHEN ram_type ELSE (NOT clk_b_in);
wpgen_b_clkena <= '1' WHEN (active_write_b AND mode_is_bdp AND (rewe_b_reg = '1')) ELSE '0';
wpgen_b : stratixii_ram_pulse_generator
PORT MAP (
clk => wpgen_b_clk,
ena => wpgen_b_clkena,
pulse => write_pulse(primary_port_is_b),
cycle => write_cycle_b
);
-- Read pulse generation
rpgen_a_clkena <= '1' WHEN (active_a AND (we_a_reg = '0')) ELSE '0';
rpgen_a : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rpgen_a_clkena,
pulse => read_pulse(primary_port_is_a)
);
rpgen_b_clkena <= '1' WHEN (active_b AND mode_is_dp AND (rewe_b_reg = '1')) OR
(active_b AND mode_is_bdp AND (rewe_b_reg = '0'))
ELSE '0';
rpgen_b : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rpgen_b_clkena,
pulse => read_pulse(primary_port_is_b)
);
-- Create internal masks for byte enable processing
mask_create : PROCESS (byteena_a_reg,byteena_b_reg)
VARIABLE mask : mask_rec;
BEGIN
IF (byteena_a_reg'EVENT) THEN
mask := get_mask(byteena_a_reg,primary_port_is_a,port_a_byte_enable_mask_width,byte_size_a);
IF (primary_port_is_a) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
IF (byteena_b_reg'EVENT) THEN
mask := get_mask(byteena_b_reg,primary_port_is_b,port_b_byte_enable_mask_width,byte_size_b);
IF (primary_port_is_b) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
END PROCESS mask_create;
-- (row,col) coordinates
row_sec <= addr_sec_reg / num_cols;
col_sec <= addr_sec_reg mod num_cols;
mem_rw : PROCESS (init_mem,
write_pulse,read_pulse,read_pulse_feedthru,
mem_invalidate,mem_invalidate_loc,read_latch_invalidate)
-- mem init
TYPE rw_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
VARIABLE addr_range_init,row,col,index : INTEGER;
VARIABLE mem_init_std : STD_LOGIC_VECTOR((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
VARIABLE mem_val : mem_type;
-- read/write
VARIABLE mem_data_p : mem_row_type;
VARIABLE row_prime,col_prime : INTEGER;
VARIABLE access_same_location : BOOLEAN;
VARIABLE read_during_write : rw_type;
BEGIN
read_during_write := (FALSE,FALSE);
-- Memory initialization
IF (init_mem'EVENT) THEN
-- Initialize output latches to 0
IF (primary_port_is_a) THEN
dataout_prime <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_sec <= (OTHERS => '0'); END IF;
ELSE
dataout_sec <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_prime <= (OTHERS => '0'); END IF;
END IF;
IF (power_up_uninitialized = "false" AND (NOT ram_type)) THEN
mem_val := (OTHERS => (OTHERS => (OTHERS => '0')));
END IF;
IF (primary_port_is_a) THEN
addr_range_init := port_a_last_address - port_a_first_address + 1;
ELSE
addr_range_init := port_b_last_address - port_b_first_address + 1;
END IF;
IF (init_file_layout = "port_a" OR init_file_layout = "port_b") THEN
mem_init_std := to_stdlogicvector(mem_init1 & mem_init0)((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
FOR row IN 0 TO addr_range_init - 1 LOOP
FOR col IN 0 to num_cols - 1 LOOP
index := row * data_width;
mem_val(row)(col) := mem_init_std(index + (col+1)*data_unit_width -1 DOWNTO
index + col*data_unit_width);
END LOOP;
END LOOP;
END IF;
mem <= mem_val;
END IF;
access_same_location := (mode_is_dp OR mode_is_bdp) AND (addr_prime_reg = row_sec);
-- Write stage 1 : X to buffer
-- Write stage 2 : actual data to memory
IF (write_pulse(primary)'EVENT) THEN
IF (write_pulse(primary) = '1') THEN
mem_data_p := mem(addr_prime_reg);
FOR i IN 0 TO num_cols - 1 LOOP
mem_data_p(i) := mem_data_p(i) XOR
mask_vector.prime(inverse)((i + 1)*data_unit_width - 1 DOWNTO i*data_unit_width);
END LOOP;
read_during_write(secondary) := (access_same_location AND read_pulse(secondary)'EVENT AND read_pulse(secondary) = '1');
IF (read_during_write(secondary)) THEN
read_latch.sec <= mem_data_p(col_sec);
ELSE
mem_data <= mem_data_p;
END IF;
ELSIF (clear_asserted_during_write(primary) /= '1') THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= datain_prime_reg(i);
ELSIF (mask_vector.prime(inverse)(i) = 'X') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
IF (write_pulse(secondary)'EVENT) THEN
IF (write_pulse(secondary) = '1') THEN
read_during_write(primary) := (access_same_location AND read_pulse(primary)'EVENT AND read_pulse(primary) = '1');
IF (read_during_write(primary)) THEN
read_latch.prime <= mem(addr_prime_reg);
read_latch.prime(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
ELSE
mem_unit_data <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
END IF;
IF (access_same_location AND write_pulse(primary)'EVENT AND write_pulse(primary) = '1') THEN
mask_vector_common <=
mask_vector.prime(inverse)(((col_sec + 1)* data_unit_width - 1) DOWNTO col_sec*data_unit_width) AND
mask_vector.sec(inverse);
dual_write <= TRUE;
END IF;
ELSIF (clear_asserted_during_write(secondary) /= '1') THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
mem(row_sec)(col_sec)(i) <= datain_sec_reg(i);
ELSIF (mask_vector.sec(inverse)(i) = 'X') THEN
mem(row_sec)(col_sec)(i) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
-- Simultaneous write
IF (dual_write AND write_pulse = "00") THEN
mem(row_sec)(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector_common;
dual_write <= FALSE;
END IF;
-- Read stage 1 : read data
-- Read stage 2 : send data to output
IF ((NOT read_during_write(primary)) AND read_pulse(primary)'EVENT) THEN
IF (read_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
IF (access_same_location AND write_pulse(secondary) = '1') THEN
read_latch.prime(col_sec) <= mem_unit_data;
END IF;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
IF ((NOT read_during_write(secondary)) AND read_pulse(secondary)'EVENT) THEN
IF (read_pulse(secondary) = '1') THEN
IF (access_same_location AND write_pulse(primary) = '1') THEN
read_latch.sec <= mem_data(col_sec);
ELSE
read_latch.sec <= mem(row_sec)(col_sec);
END IF;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
-- Same port feed thru
IF (read_pulse_feedthru(primary)'EVENT AND read_pulse_feedthru(primary) = '0') THEN
dataout_prime <= datain_prime_reg XOR mask_vector.prime(normal);
END IF;
IF (read_pulse_feedthru(secondary)'EVENT AND read_pulse_feedthru(secondary) = '0') THEN
dataout_sec <= datain_sec_reg XOR mask_vector.sec(normal);
END IF;
-- Async clear
IF (mem_invalidate'EVENT) THEN
IF (mem_invalidate(primary) = TRUE OR mem_invalidate(secondary) = TRUE) THEN
mem <= mem_x;
END IF;
END IF;
IF (mem_invalidate_loc'EVENT) THEN
IF (mem_invalidate_loc(primary)) THEN mem(addr_prime_reg) <= row_x; END IF;
IF (mem_invalidate_loc(secondary)) THEN mem(row_sec)(col_sec) <= col_x; END IF;
END IF;
IF (read_latch_invalidate'EVENT) THEN
IF (read_latch_invalidate(primary)) THEN read_latch.prime <= row_x; END IF;
IF (read_latch_invalidate(secondary)) THEN read_latch.sec <= col_x; END IF;
END IF;
END PROCESS mem_rw;
-- Same port feed through
ftpgen_a_clkena <= '1' WHEN (active_a AND (NOT mode_is_dp) AND (we_a_reg = '1')) ELSE '0';
ftpgen_a : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => ftpgen_a_clkena,
pulse => read_pulse_feedthru(primary_port_is_a)
);
ftpgen_b_clkena <= '1' WHEN (active_b AND mode_is_bdp AND (rewe_b_reg = '1')) ELSE '0';
ftpgen_b : stratixii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => ftpgen_b_clkena,
pulse => read_pulse_feedthru(primary_port_is_b)
);
-- Asynch clear events
clear_a : PROCESS(addr_a_clr,we_a_clr,datain_a_clr)
BEGIN
IF (addr_a_clr'EVENT AND addr_a_clr = '1') THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF (active_a AND we_a_reg = '1') THEN
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_a_clr'EVENT AND we_a_clr = '1') OR (datain_a_clr'EVENT AND datain_a_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_a;
clear_b : PROCESS(addr_b_clr,rewe_b_clr,datain_b_clr)
BEGIN
IF (addr_b_clr'EVENT AND addr_b_clr = '1') THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (rewe_b_reg = '1')) THEN
mem_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF (active_b AND ((mode_is_dp AND rewe_b_reg = '1') OR (mode_is_bdp AND rewe_b_reg = '0'))) THEN
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((rewe_b_clr'EVENT AND rewe_b_clr = '1') OR (datain_b_clr'EVENT AND datain_b_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (rewe_b_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_b;
-- ------ Output registers
clkena_a_out <= '1' WHEN (port_a_disable_ce_on_output_registers = "on") ELSE
ena0 WHEN (port_a_data_out_clock = "clock0") ELSE ena1;
clkena_b_out <= '1' WHEN (port_b_disable_ce_on_output_registers = "on") ELSE
ena0 WHEN (port_b_data_out_clock = "clock0") ELSE ena1;
dataout_a <= dataout_prime WHEN primary_port_is_a ELSE dataout_sec;
dataout_b <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
dataout_prime WHEN primary_port_is_b ELSE dataout_sec;
dataout_a_register : stratixii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => dataout_a,
clk => clk_a_out,
aclr => dataout_a_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_a_out,
q => dataout_a_reg
);
dataout_b_register : stratixii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => dataout_b,
clk => clk_b_out,
aclr => dataout_b_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_b_out,
q => dataout_b_reg
);
portadataout <= dataout_a_reg WHEN out_a_is_reg ELSE dataout_a;
portbdataout <= dataout_b_reg WHEN out_b_is_reg ELSE dataout_b;
END block_arch;
-------------------------------------------------------------------
--
-- Entity Name : stratixii_jtag
--
-- Description : StratixII JTAG VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
entity stratixii_jtag is
generic (
lpm_type : string := "stratixii_jtag"
);
port (tms : in std_logic;
tck : in std_logic;
tdi : in std_logic;
ntrst : in std_logic;
tdoutap : in std_logic;
tdouser : in std_logic;
tdo: out std_logic;
tmsutap: out std_logic;
tckutap: out std_logic;
tdiutap: out std_logic;
shiftuser: out std_logic;
clkdruser: out std_logic;
updateuser: out std_logic;
runidleuser: out std_logic;
usr1user: out std_logic);
end stratixii_jtag;
architecture architecture_jtag of stratixii_jtag is
begin
--process(tms, tck, tdi, ntrst, tdoutap, tdouser)
--begin
--
--end process;
end architecture_jtag;
-------------------------------------------------------------------
--
-- Entity Name : stratixii_crcblock
--
-- Description : StratixII CRCBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
entity stratixii_crcblock is
generic (
oscillator_divider : integer := 1;
lpm_type : string := "stratixii_crcblock"
);
port (clk : in std_logic;
shiftnld : in std_logic;
ldsrc : in std_logic;
crcerror : out std_logic;
regout : out std_logic);
end stratixii_crcblock;
architecture architecture_crcblock of stratixii_crcblock is
begin
end architecture_crcblock;
-------------------------------------------------------------------
--
-- Entity Name : stratixii_asmiblock
--
-- Description : StratixIIII ASMIBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
entity stratixii_asmiblock is
generic (
lpm_type : string := "stratixii_asmiblock"
);
port (dclkin : in std_logic;
scein : in std_logic;
sdoin : in std_logic;
oe : in std_logic;
data0out: out std_logic);
end stratixii_asmiblock;
architecture architecture_asmiblock of stratixii_asmiblock is
begin
--process(dclkin, scein, sdoin, oe)
--begin
--
--end process;
end architecture_asmiblock; -- end of stratixii_asmiblock
---------------------------------------------------------------------
--
-- Entity Name : stratixii_lcell_ff
--
-- Description : StratixII LCELL_FF VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_and1;
entity stratixii_lcell_ff is
generic (
x_on_violation : string := "on";
lpm_type : string := "stratixii_lcell_ff";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_adatasdata_regout: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_adatasdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
datain : in std_logic := '0';
clk : in std_logic := '0';
aclr : in std_logic := '0';
aload : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
ena : in std_logic := '1';
adatasdata : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
regout : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_lcell_ff : entity is TRUE;
end stratixii_lcell_ff;
architecture vital_lcell_ff of stratixii_lcell_ff is
attribute VITAL_LEVEL0 of vital_lcell_ff : architecture is TRUE;
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
signal datain_dly : std_logic;
signal adatasdata_ipd : std_logic;
signal adatasdata_dly : std_logic;
signal adatasdata_dly1 : std_logic;
signal sclr_ipd : std_logic;
signal sload_ipd : std_logic;
signal aclr_ipd : std_logic;
signal aload_ipd : std_logic;
signal ena_ipd : std_logic;
component stratixii_and1
generic (XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01
);
port (Y : out STD_LOGIC;
IN1 : in STD_LOGIC
);
end component;
begin
dataindelaybuffer: stratixii_and1
port map(IN1 => datain_ipd,
Y => datain_dly);
adatasdatadelaybuffer: stratixii_and1
port map(IN1 => adatasdata_ipd,
Y => adatasdata_dly);
adatasdatadelaybuffer1: stratixii_and1
port map(IN1 => adatasdata_dly,
Y => adatasdata_dly1);
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (adatasdata_ipd, adatasdata, tipd_adatasdata);
VitalWireDelay (sclr_ipd, sclr, tipd_sclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (aload_ipd, aload, tipd_aload);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process (clk_ipd, datain_dly, adatasdata_dly1,
sclr_ipd, sload_ipd, aclr_ipd, aload_ipd,
ena_ipd, devclrn, devpor)
variable Tviol_datain_clk : std_ulogic := '0';
variable Tviol_adatasdata_clk : std_ulogic := '0';
variable Tviol_sclr_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_adatasdata_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sclr_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable regout_VitalGlitchData : VitalGlitchDataType;
variable iregout : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(sload_ipd) OR
(sclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_adatasdata_clk,
TimingData => TimingData_adatasdata_clk,
TestSignal => adatasdata_ipd,
TestSignalName => "ADATASDATA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_adatasdata_clk_noedge_posedge,
SetupLow => tsetup_adatasdata_clk_noedge_posedge,
HoldHigh => thold_adatasdata_clk_noedge_posedge,
HoldLow => thold_adatasdata_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT sload_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sclr_clk,
TimingData => TimingData_sclr_clk,
TestSignal => sclr_ipd,
TestSignalName => "SCLR",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sclr_clk_noedge_posedge,
SetupLow => tsetup_sclr_clk_noedge_posedge,
HoldHigh => thold_sclr_clk_noedge_posedge,
HoldLow => thold_sclr_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((aclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_datain_clk or Tviol_adatasdata_clk or
Tviol_sclr_clk or Tviol_sload_clk or Tviol_ena_clk;
if ((devpor = '0') or (devclrn = '0') or (aclr_ipd = '1')) then
iregout := '0';
elsif (aload_ipd = '1') then
iregout := adatasdata_dly1;
elsif (violation = 'X' and x_on_violation = "on") then
iregout := 'X';
elsif clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' then
if (ena_ipd = '1') then
if (sclr_ipd = '1') then
iregout := '0';
elsif (sload_ipd = '1') then
iregout := adatasdata_dly1;
else
iregout := datain_dly;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => regout,
OutSignalName => "REGOUT",
OutTemp => iregout,
Paths => (0 => (aclr_ipd'last_event, tpd_aclr_regout_posedge, TRUE),
1 => (aload_ipd'last_event, tpd_aload_regout_posedge, TRUE),
2 => (adatasdata_ipd'last_event, tpd_adatasdata_regout, TRUE),
3 => (clk_ipd'last_event, tpd_clk_regout_posedge, TRUE)),
GlitchData => regout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_ff;
---------------------------------------------------------------------
--
-- Entity Name : stratixii_lcell_comb
--
-- Description : StratixII LCELL_COMB VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_lcell_comb is
generic (
lut_mask : std_logic_vector(63 downto 0) := (OTHERS => '1');
shared_arith : string := "off";
extended_lut : string := "off";
lpm_type : string := "stratixii_lcell_comb";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_dataa_combout : VitalDelayType01 := DefPropDelay01;
tpd_datab_combout : VitalDelayType01 := DefPropDelay01;
tpd_datac_combout : VitalDelayType01 := DefPropDelay01;
tpd_datad_combout : VitalDelayType01 := DefPropDelay01;
tpd_datae_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_combout : VitalDelayType01 := DefPropDelay01;
tpd_datag_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datab_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datac_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datad_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_sumout : VitalDelayType01 := DefPropDelay01;
tpd_cin_sumout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_cout : VitalDelayType01 := DefPropDelay01;
tpd_datab_cout : VitalDelayType01 := DefPropDelay01;
tpd_datac_cout : VitalDelayType01 := DefPropDelay01;
tpd_datad_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_cout : VitalDelayType01 := DefPropDelay01;
tpd_cin_cout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datab_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datac_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datad_shareout : VitalDelayType01 := DefPropDelay01;
tipd_dataa : VitalDelayType01 := DefPropDelay01;
tipd_datab : VitalDelayType01 := DefPropDelay01;
tipd_datac : VitalDelayType01 := DefPropDelay01;
tipd_datad : VitalDelayType01 := DefPropDelay01;
tipd_datae : VitalDelayType01 := DefPropDelay01;
tipd_dataf : VitalDelayType01 := DefPropDelay01;
tipd_datag : VitalDelayType01 := DefPropDelay01;
tipd_cin : VitalDelayType01 := DefPropDelay01;
tipd_sharein : VitalDelayType01 := DefPropDelay01
);
port (
dataa : in std_logic := '0';
datab : in std_logic := '0';
datac : in std_logic := '0';
datad : in std_logic := '0';
datae : in std_logic := '0';
dataf : in std_logic := '0';
datag : in std_logic := '0';
cin : in std_logic := '0';
sharein : in std_logic := '0';
combout : out std_logic;
sumout : out std_logic;
cout : out std_logic;
shareout : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_lcell_comb : entity is TRUE;
end stratixii_lcell_comb;
architecture vital_lcell_comb of stratixii_lcell_comb is
attribute VITAL_LEVEL0 of vital_lcell_comb : architecture is TRUE;
signal dataa_ipd : std_logic;
signal datab_ipd : std_logic;
signal datac_ipd : std_logic;
signal datad_ipd : std_logic;
signal datae_ipd : std_logic;
signal dataf_ipd : std_logic;
signal datag_ipd : std_logic;
signal cin_ipd : std_logic;
signal sharein_ipd : std_logic;
signal f2_input3 : std_logic;
-- sub masks
signal f0_mask : std_logic_vector(15 downto 0);
signal f1_mask : std_logic_vector(15 downto 0);
signal f2_mask : std_logic_vector(15 downto 0);
signal f3_mask : std_logic_vector(15 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (dataa_ipd, dataa, tipd_dataa);
VitalWireDelay (datab_ipd, datab, tipd_datab);
VitalWireDelay (datac_ipd, datac, tipd_datac);
VitalWireDelay (datad_ipd, datad, tipd_datad);
VitalWireDelay (datae_ipd, datae, tipd_datae);
VitalWireDelay (dataf_ipd, dataf, tipd_dataf);
VitalWireDelay (datag_ipd, datag, tipd_datag);
VitalWireDelay (cin_ipd, cin, tipd_cin);
VitalWireDelay (sharein_ipd, sharein, tipd_sharein);
end block;
f0_mask <= lut_mask(15 downto 0);
f1_mask <= lut_mask(31 downto 16);
f2_mask <= lut_mask(47 downto 32);
f3_mask <= lut_mask(63 downto 48);
f2_input3 <= datag_ipd WHEN (extended_lut = "on") ELSE datac_ipd;
VITALtiming : process(dataa_ipd, datab_ipd, datac_ipd, datad_ipd,
datae_ipd, dataf_ipd, f2_input3, cin_ipd,
sharein_ipd)
variable combout_VitalGlitchData : VitalGlitchDataType;
variable sumout_VitalGlitchData : VitalGlitchDataType;
variable cout_VitalGlitchData : VitalGlitchDataType;
variable shareout_VitalGlitchData : VitalGlitchDataType;
-- sub lut outputs
variable f0_out : std_logic;
variable f1_out : std_logic;
variable f2_out : std_logic;
variable f3_out : std_logic;
-- muxed output
variable g0_out : std_logic;
variable g1_out : std_logic;
-- internal variables
variable f2_f : std_logic;
variable adder_input2 : std_logic;
-- output variables
variable combout_tmp : std_logic;
variable sumout_tmp : std_logic;
variable cout_tmp : std_logic;
-- temp variable for NCVHDL
variable lut_mask_var : std_logic_vector(63 downto 0) := (OTHERS => '1');
begin
lut_mask_var := lut_mask;
------------------------
-- Timing Check Section
------------------------
f0_out := VitalMUX(data => f0_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f1_out := VitalMUX(data => f1_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
f2_out := VitalMUX(data => f2_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f3_out := VitalMUX(data => f3_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
-- combout
if (extended_lut = "on") then
if (datae_ipd = '0') then
g0_out := f0_out;
g1_out := f2_out;
elsif (datae_ipd = '1') then
g0_out := f1_out;
g1_out := f3_out;
else
g0_out := 'X';
g1_out := 'X';
end if;
if (dataf_ipd = '0') then
combout_tmp := g0_out;
elsif ((dataf_ipd = '1') or (g0_out = g1_out))then
combout_tmp := g1_out;
else
combout_tmp := 'X';
end if;
else
combout_tmp := VitalMUX(data => lut_mask_var,
dselect => (dataf_ipd,
datae_ipd,
datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
end if;
-- sumout and cout
f2_f := VitalMUX(data => f2_mask,
dselect => (dataf_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
if (shared_arith = "on") then
adder_input2 := sharein_ipd;
else
adder_input2 := NOT f2_f;
end if;
sumout_tmp := cin_ipd XOR f0_out XOR adder_input2;
cout_tmp := (cin_ipd AND f0_out) OR (cin_ipd AND adder_input2) OR
(f0_out AND adder_input2);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => combout,
OutSignalName => "COMBOUT",
OutTemp => combout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_combout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_combout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_combout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_combout, TRUE),
4 => (datae_ipd'last_event, tpd_datae_combout, TRUE),
5 => (dataf_ipd'last_event, tpd_dataf_combout, TRUE),
6 => (datag_ipd'last_event, tpd_datag_combout, TRUE)),
GlitchData => combout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => sumout,
OutSignalName => "SUMOUT",
OutTemp => sumout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_sumout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_sumout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_sumout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_sumout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_sumout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_sumout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_sumout, TRUE)),
GlitchData => sumout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => cout,
OutSignalName => "COUT",
OutTemp => cout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_cout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_cout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_cout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_cout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_cout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_cout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_cout, TRUE)),
GlitchData => cout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => shareout,
OutSignalName => "SHAREOUT",
OutTemp => f2_out,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_shareout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_shareout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_shareout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_shareout, TRUE)),
GlitchData => shareout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_comb;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : ena_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for the gated clock generation
-- Powers upto 1.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_ena_reg is
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_ena_reg : entity is TRUE;
end stratixii_ena_reg;
ARCHITECTURE behave of stratixii_ena_reg is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal d_ipd : std_logic;
signal clk_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (clk_ipd, clk, tipd_clk);
end block;
VITALtiming : process (clk_ipd, prn, clrn)
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable q_reg : std_logic := '1';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "D",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((clrn) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/ENA_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk_ipd'event and clk_ipd = '1' and (ena = '1')) then
q_reg := d_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- VHDL Simulation Model for StratixII CLKCTRL Atom
--
--/////////////////////////////////////////////////////////////////////////////
--
--
-- STRATIXII_CLKCTRL Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_ena_reg;
entity stratixii_clkctrl is
generic (
clock_type : STRING := "Auto";
lpm_type : STRING := "stratixii_clkctrl";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01
);
port (
inclk : in std_logic_vector(3 downto 0) := "0000";
clkselect : in std_logic_vector(1 downto 0) := "00";
ena : in std_logic := '1';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
outclk : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_clkctrl : entity is TRUE;
end stratixii_clkctrl;
architecture vital_clkctrl of stratixii_clkctrl is
attribute VITAL_LEVEL0 of vital_clkctrl : architecture is TRUE;
component stratixii_ena_reg
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end component;
signal inclk_ipd : std_logic_vector(3 downto 0);
signal clkselect_ipd : std_logic_vector(1 downto 0);
signal ena_ipd : std_logic;
signal clkmux_out : std_logic;
signal clkmux_out_inv : std_logic;
signal cereg_clr : std_logic;
signal cereg_out : std_logic;
signal vcc : std_logic := '1';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (inclk_ipd(0), inclk(0), tipd_inclk(0));
VitalWireDelay (inclk_ipd(1), inclk(1), tipd_inclk(1));
VitalWireDelay (inclk_ipd(2), inclk(2), tipd_inclk(2));
VitalWireDelay (inclk_ipd(3), inclk(3), tipd_inclk(3));
VitalWireDelay (clkselect_ipd(0), clkselect(0), tipd_clkselect(0));
VitalWireDelay (clkselect_ipd(1), clkselect(1), tipd_clkselect(1));
end block;
process(inclk_ipd, clkselect_ipd)
variable tmp : std_logic;
begin
if (clkselect_ipd = "11") then
tmp := inclk_ipd(3);
elsif (clkselect_ipd = "10") then
tmp := inclk_ipd(2);
elsif (clkselect_ipd = "01") then
tmp := inclk_ipd(1);
else
tmp := inclk_ipd(0);
end if;
clkmux_out <= tmp;
clkmux_out_inv <= NOT tmp;
end process;
extena0_reg : stratixii_ena_reg
port map (
clk => clkmux_out_inv,
ena => vcc,
d => ena_ipd,
clrn => vcc,
prn => devpor,
q => cereg_out
);
outclk <= cereg_out AND clkmux_out;
end vital_clkctrl;
--
--
-- STRATIXII_ASYNCH_IO Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_asynch_io is
generic(
operation_mode : STRING := "input";
open_drain_output : STRING := "false";
bus_hold : STRING := "false";
dqs_input_frequency : STRING := "10000 ps";
dqs_out_mode : STRING := "none";
dqs_delay_buffer_mode : STRING := "low";
dqs_phase_shift : INTEGER := 0;
dqs_offsetctrl_enable : STRING := "false";
dqs_ctrl_latches_enable : STRING := "false";
dqs_edge_detect_enable : STRING := "false";
gated_dqs : STRING := "false";
sim_dqs_intrinsic_delay : INTEGER := 0;
sim_dqs_delay_increment : INTEGER := 0;
sim_dqs_offset_increment : INTEGER := 0;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
tpd_datain_padio : VitalDelayType01 := DefPropDelay01;
tpd_oe_padio_posedge : VitalDelayType01 := DefPropDelay01;
tpd_oe_padio_negedge : VitalDelayType01 := DefPropDelay01;
tpd_padio_combout : VitalDelayType01 := DefPropDelay01;
tpd_regin_regout : VitalDelayType01 := DefPropDelay01;
tpd_ddioregin_ddioregout : VitalDelayType01 := DefPropDelay01;
tpd_padio_dqsbusout : VitalDelayType01 := DefPropDelay01;
tpd_regin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_padio : VitalDelayType01 := DefPropDelay01;
tipd_dqsupdateen : VitalDelayType01 := DefPropDelay01;
tipd_offsetctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01));
port(
datain : in STD_LOGIC := '0';
oe : in STD_LOGIC := '1';
regin : in std_logic;
ddioregin : in std_logic;
padio : inout STD_LOGIC;
delayctrlin : in std_logic_vector(5 downto 0);
offsetctrlin : in std_logic_vector(5 downto 0);
dqsupdateen : in std_logic;
dqsbusout : out std_logic;
combout : out STD_LOGIC;
regout : out STD_LOGIC;
ddioregout : out STD_LOGIC);
attribute VITAL_LEVEL0 of stratixii_asynch_io : entity is TRUE;
end stratixii_asynch_io;
architecture behave of stratixii_asynch_io is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal datain_ipd, oe_ipd, padio_ipd: std_logic;
signal delayctrlin_in : std_logic_vector(5 downto 0);
signal offsetctrlin_in : std_logic_vector(5 downto 0);
signal dqsupdateen_in : std_logic;
signal dqs_delay_int : integer := 0;
signal tmp_dqsbusout : std_logic;
signal dqs_ctrl_latches_ena : std_logic := '1';
signal combout_tmp_sig : std_logic := '0';
signal dqsbusout_tmp_sig : std_logic := '0';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (oe_ipd, oe, tipd_oe);
VitalWireDelay (padio_ipd, padio, tipd_padio);
VitalWireDelay (delayctrlin_in(5), delayctrlin(5), tipd_delayctrlin(5));
VitalWireDelay (delayctrlin_in(4), delayctrlin(4), tipd_delayctrlin(4));
VitalWireDelay (delayctrlin_in(3), delayctrlin(3), tipd_delayctrlin(3));
VitalWireDelay (delayctrlin_in(2), delayctrlin(2), tipd_delayctrlin(2));
VitalWireDelay (delayctrlin_in(1), delayctrlin(1), tipd_delayctrlin(1));
VitalWireDelay (delayctrlin_in(0), delayctrlin(0), tipd_delayctrlin(0));
VitalWireDelay (dqsupdateen_in, dqsupdateen, tipd_dqsupdateen);
VitalWireDelay (offsetctrlin_in(5), offsetctrlin(5), tipd_offsetctrlin(5));
VitalWireDelay (offsetctrlin_in(4), offsetctrlin(4), tipd_offsetctrlin(4));
VitalWireDelay (offsetctrlin_in(3), offsetctrlin(3), tipd_offsetctrlin(3));
VitalWireDelay (offsetctrlin_in(2), offsetctrlin(2), tipd_offsetctrlin(2));
VitalWireDelay (offsetctrlin_in(1), offsetctrlin(1), tipd_offsetctrlin(1));
VitalWireDelay (offsetctrlin_in(0), offsetctrlin(0), tipd_offsetctrlin(0));
end block;
dqs_ctrl_latches_ena <= '1' when dqs_ctrl_latches_enable = "false" ELSE
dqsupdateen_in when dqs_edge_detect_enable = "false" ELSE
(not (combout_tmp_sig xor tmp_dqsbusout) and dqsupdateen_in);
process(delayctrlin_in, offsetctrlin_in, dqs_ctrl_latches_ena)
variable tmp_delayctrl : integer := 0;
variable tmp_offsetctrl : integer := 0;
begin
tmp_delayctrl := alt_conv_integer(delayctrlin_in);
if (dqs_offsetctrl_enable = "true") then
tmp_offsetctrl := alt_conv_integer(offsetctrlin_in);
else
tmp_offsetctrl := 0;
end if;
if (dqs_ctrl_latches_ena = '1') THEN
dqs_delay_int <= sim_dqs_intrinsic_delay + sim_dqs_delay_increment*tmp_delayctrl + sim_dqs_offset_increment*tmp_offsetctrl;
end if;
if ((dqs_delay_buffer_mode = "high") AND (delayctrlin_in(5) = '1')) THEN
assert false report "DELAYCTRLIN of DQS I/O exceeds 5-bit range in high-frequency mode" severity warning;
dqs_delay_int <= 0;
end if;
end process;
VITAL: process(padio_ipd, datain_ipd, oe_ipd, regin, ddioregin, tmp_dqsbusout)
variable combout_VitalGlitchData : VitalGlitchDataType;
variable dqsbusout_VitalGlitchData : VitalGlitchDataType;
variable padio_VitalGlitchData : VitalGlitchDataType;
variable regout_VitalGlitchData : VitalGlitchDataType;
variable ddioregout_VitalGlitchData : VitalGlitchDataType;
variable tmp_combout, tmp_padio : std_logic;
variable prev_value : std_logic := 'H';
variable dqsbusout_tmp : std_logic;
variable combout_delay : VitalDelayType01 := (0 ps, 0 ps);
variable init : boolean := true;
begin
if (init) then
combout_delay := tpd_padio_combout;
init := false;
end if;
if (bus_hold = "true" ) then
if ( operation_mode = "input") then
if ( padio_ipd = 'Z') then
tmp_combout := to_x01z(prev_value);
else
if ( padio_ipd = '1') then
prev_value := 'H';
elsif ( padio_ipd = '0') then
prev_value := 'L';
else
prev_value := 'W';
end if;
tmp_combout := to_x01z(padio_ipd);
end if;
tmp_padio := 'Z';
elsif ( operation_mode = "output" or operation_mode = "bidir") then
if ( oe_ipd = '1') then
if ( open_drain_output = "true" ) then
if (datain_ipd = '0') then
tmp_padio := '0';
prev_value := 'L';
elsif (datain_ipd = 'X') then
tmp_padio := 'X';
prev_value := 'W';
else -- 'Z'
-- need to update prev_value
if (padio_ipd = '1') then
prev_value := 'H';
elsif (padio_ipd = '0') then
prev_value := 'L';
elsif (padio_ipd = 'X') then
prev_value := 'W';
end if;
tmp_padio := prev_value;
end if;
else
tmp_padio := datain_ipd;
if ( datain_ipd = '1') then
prev_value := 'H';
elsif (datain_ipd = '0' ) then
prev_value := 'L';
elsif ( datain_ipd = 'X') then
prev_value := 'W';
else
prev_value := datain_ipd;
end if;
end if; -- end open_drain_output
elsif ( oe_ipd = '0' ) then
-- need to update prev_value
if (padio_ipd = '1') then
prev_value := 'H';
elsif (padio_ipd = '0') then
prev_value := 'L';
elsif (padio_ipd = 'X') then
prev_value := 'W';
end if;
tmp_padio := prev_value;
else
tmp_padio := 'X';
prev_value := 'W';
end if; -- end oe_in
if ( operation_mode = "bidir") then
tmp_combout := to_x01z(padio_ipd);
else
tmp_combout := 'Z';
end if;
end if;
if ( now <= 1 ps AND prev_value = 'W' ) then --hack for autotest to pass
prev_value := 'L';
end if;
else -- bus_hold is false
if ( operation_mode = "input") then
tmp_combout := padio_ipd;
tmp_padio := 'Z';
elsif (operation_mode = "output" or operation_mode = "bidir" ) then
if ( operation_mode = "bidir") then
tmp_combout := padio_ipd;
else
tmp_combout := 'Z';
end if;
if ( oe_ipd = '1') then
if ( open_drain_output = "true" ) then
if (datain_ipd = '0') then
tmp_padio := '0';
elsif (datain_ipd = 'X') then
tmp_padio := 'X';
else
tmp_padio := 'Z';
end if;
else
tmp_padio := datain_ipd;
end if;
elsif ( oe_ipd = '0' ) then
tmp_padio := 'Z';
else
tmp_padio := 'X';
end if;
end if;
end if; -- end bus_hold
tmp_dqsbusout <= transport tmp_combout after (dqs_delay_int * 1 ps);
if (gated_dqs = "true") then
dqsbusout_tmp := tmp_dqsbusout AND regin;
else
dqsbusout_tmp := tmp_dqsbusout;
end if;
-- for dqs delay ctrl latches enable
dqsbusout_tmp_sig <= dqsbusout_tmp;
combout_tmp_sig <= tmp_combout;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => combout,
OutSignalName => "combout",
OutTemp => tmp_combout,
Paths => (1 => (padio_ipd'last_event, combout_delay, TRUE)),
GlitchData => combout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => dqsbusout,
OutSignalName => "dqsbusout",
OutTemp => dqsbusout_tmp,
Paths => (1 => (tmp_dqsbusout'last_event, tpd_padio_dqsbusout, TRUE),
2 => (regin'last_event, tpd_regin_dqsbusout, gated_dqs = "true")),
GlitchData => dqsbusout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => padio,
OutSignalName => "padio",
OutTemp => tmp_padio,
Paths => (1 => (datain_ipd'last_event, tpd_datain_padio, TRUE),
2 => (oe_ipd'last_event, tpd_oe_padio_posedge, oe_ipd = '1'),
3 => (oe_ipd'last_event, tpd_oe_padio_negedge, oe_ipd = '0')),
GlitchData => padio_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => regout,
OutSignalName => "regout",
OutTemp => regin,
Paths => (1 => (regin'last_event, tpd_regin_regout, TRUE)),
GlitchData => regout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => ddioregout,
OutSignalName => "ddioregout",
OutTemp => ddioregin,
Paths => (1 => (ddioregin'last_event, tpd_ddioregin_ddioregout, TRUE)),
GlitchData => ddioregout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--
-- STRATIXII_IO_REGISTER
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
entity stratixii_io_register is
generic (
async_reset : string := "none";
sync_reset : string := "none";
power_up : string := "low";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_areset_regout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01);
port (clk :in std_logic := '0';
datain : in std_logic := '0';
ena : in std_logic := '1';
sreset : in std_logic := '0';
areset : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
regout : out std_logic);
attribute VITAL_LEVEL0 of stratixii_io_register : entity is TRUE;
end stratixii_io_register;
architecture vital_io_reg of stratixii_io_register is
attribute VITAL_LEVEL0 of vital_io_reg : architecture is TRUE;
signal datain_ipd, ena_ipd, sreset_ipd : std_logic;
signal clk_ipd, areset_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
VitalWireDelay (areset_ipd, areset, tipd_areset);
end block;
VITALtiming : process(clk_ipd, datain_ipd, ena_ipd, sreset_ipd, areset_ipd, devclrn, devpor)
variable Tviol_datain_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable Tviol_sreset_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sreset_clk : VitalTimingDataType := VitalTimingDataInit;
variable regout_VitalGlitchData : VitalGlitchDataType;
variable iregout : std_logic;
variable idata : std_logic := '0';
variable tmp_regout : std_logic;
variable tmp_reset : std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
if (now = 0 ns) then
if (power_up = "low") then
iregout := '0';
elsif (power_up = "high") then
iregout := '1';
end if;
end if;
if ( async_reset /= "none") then
tmp_reset := areset_ipd; -- this is used to enable timing check.
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
CheckEnabled => TO_X01((tmp_reset) OR (NOT devpor) OR (NOT devclrn) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((tmp_reset) OR (NOT devpor) OR (NOT devclrn) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sreset_clk,
TimingData => TimingData_sreset_clk,
TestSignal => sreset_ipd,
TestSignalName => "SRESET",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sreset_clk_noedge_posedge,
SetupLow => tsetup_sreset_clk_noedge_posedge,
HoldHigh => thold_sreset_clk_noedge_posedge,
HoldLow => thold_sreset_clk_noedge_posedge,
CheckEnabled => TO_X01((tmp_reset) OR (NOT devpor) OR (NOT devclrn) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_datain_clk or Tviol_ena_clk or Tviol_sreset_clk;
if (devpor = '0') then
if (power_up = "low") then
iregout := '0';
elsif (power_up = "high") then
iregout := '1';
end if;
elsif (devclrn = '0') then
iregout := '0';
elsif (async_reset = "clear" and areset_ipd = '1') then
iregout := '0';
elsif ( async_reset = "preset" and areset_ipd = '1') then
iregout := '1';
elsif (violation = 'X') then
iregout := 'X';
elsif (ena_ipd = '1' and clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0') then
if (sync_reset = "clear" and sreset_ipd = '1' ) then
iregout := '0';
elsif (sync_reset = "preset" and sreset_ipd = '1' ) then
iregout := '1';
else
iregout := to_x01z(datain_ipd);
end if;
end if;
tmp_regout := iregout;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => regout,
OutSignalName => "REGOUT",
OutTemp => tmp_regout,
Paths => (0 => (areset_ipd'last_event, tpd_areset_regout_posedge, async_reset /= "none"),
1 => (clk_ipd'last_event, tpd_clk_regout_posedge, TRUE)),
GlitchData => regout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_io_reg;
--
-- STRATIXII_IO
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_asynch_io;
use work.stratixii_io_register;
use work.stratixii_mux21;
use work.stratixii_and1;
entity stratixii_io is
generic (
operation_mode : string := "input";
ddio_mode : string := "none";
open_drain_output : string := "false";
bus_hold : string := "false";
output_register_mode : string := "none";
output_async_reset : string := "none";
output_power_up : string := "low";
output_sync_reset : string := "none";
tie_off_output_clock_enable : string := "false";
oe_register_mode : string := "none";
oe_async_reset : string := "none";
oe_power_up : string := "low";
oe_sync_reset : string := "none";
tie_off_oe_clock_enable : string := "false";
input_register_mode : string := "none";
input_async_reset : string := "none";
input_power_up : string := "low";
input_sync_reset : string := "none";
extend_oe_disable : string := "false";
dqs_input_frequency : string := "10000 ps";
dqs_out_mode : string := "none";
dqs_delay_buffer_mode : string := "low";
dqs_phase_shift : integer := 0;
inclk_input : string := "normal";
ddioinclk_input : string := "negated_inclk";
dqs_offsetctrl_enable : string := "false";
dqs_ctrl_latches_enable : string := "false";
dqs_edge_detect_enable : string := "false";
gated_dqs : string := "false";
sim_dqs_intrinsic_delay : integer := 0;
sim_dqs_delay_increment : integer := 0;
sim_dqs_offset_increment : integer := 0;
lpm_type : string := "stratixii_io"
);
port (
datain : in std_logic := '0';
ddiodatain : in std_logic := '0';
oe : in std_logic := '1';
outclk : in std_logic := '0';
outclkena : in std_logic := '1';
inclk : in std_logic := '0';
inclkena : in std_logic := '1';
areset : in std_logic := '0';
sreset : in std_logic := '0';
ddioinclk : in std_logic := '0';
delayctrlin : in std_logic_vector(5 downto 0) := "000000";
offsetctrlin : in std_logic_vector(5 downto 0) := "000000";
dqsupdateen : in std_logic := '0';
linkin : in std_logic := '0';
terminationcontrol : in std_logic_vector(13 downto 0) := "00000000000000";
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
devoe : in std_logic := '0';
padio : inout std_logic;
combout : out std_logic;
regout : out std_logic;
ddioregout : out std_logic;
dqsbusout : out std_logic;
linkout : out std_logic
);
end stratixii_io;
architecture structure of stratixii_io is
component stratixii_asynch_io
generic(
operation_mode : string := "input";
open_drain_output : string := "false";
bus_hold : string := "false";
dqs_input_frequency : string := "10000 ps";
dqs_out_mode : string := "none";
dqs_delay_buffer_mode : string := "low";
dqs_phase_shift : integer := 0;
dqs_offsetctrl_enable : string := "false";
dqs_ctrl_latches_enable : string := "false";
dqs_edge_detect_enable : string := "false";
gated_dqs : string := "false";
sim_dqs_intrinsic_delay : integer := 0;
sim_dqs_delay_increment : integer := 0;
sim_dqs_offset_increment : integer := 0);
port(
datain : in STD_LOGIC := '0';
oe : in STD_LOGIC := '1';
regin : in std_logic;
ddioregin : in std_logic;
padio : inout STD_LOGIC;
delayctrlin : in std_logic_vector(5 downto 0);
offsetctrlin : in std_logic_vector(5 downto 0);
dqsupdateen : in std_logic;
dqsbusout : out std_logic;
combout: out STD_LOGIC;
regout : out STD_LOGIC;
ddioregout : out STD_LOGIC);
end component;
component stratixii_io_register
generic(async_reset : string := "none";
sync_reset : string := "none";
power_up : string := "low";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sreset_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_areset_regout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01);
port(clk :in std_logic := '0';
datain : in std_logic := '0';
ena : in std_logic := '1';
sreset : in std_logic := '0';
areset : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
regout : out std_logic);
end component;
component stratixii_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01);
port ( A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic);
end component;
component stratixii_and1
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01);
port( Y : out STD_LOGIC;
IN1 : in STD_LOGIC);
end component;
signal oe_out : std_logic;
signal in_reg_out, in_ddio0_reg_out, in_ddio1_reg_out: std_logic;
signal oe_reg_out, oe_pulse_reg_out : std_logic;
signal out_reg_out, out_ddio_reg_out: std_logic;
signal tmp_datain : std_logic;
signal not_inclk, not_outclk : std_logic;
-- for DDIO
signal ddio_data : std_logic;
signal outclk_delayed : std_logic;
signal out_clk_ena, oe_clk_ena : std_logic;
begin
not_inclk <= (ddioinclk) WHEN (ddioinclk_input = "dqsb_bus") ELSE (not inclk);
not_outclk <= not outclk;
out_clk_ena <= '1' WHEN tie_off_output_clock_enable = "true" ELSE outclkena;
oe_clk_ena <= '1' WHEN tie_off_oe_clock_enable = "true" ELSE outclkena;
--input register
in_reg : stratixii_io_register
generic map ( ASYNC_RESET => input_async_reset,
SYNC_RESET => input_sync_reset,
POWER_UP => input_power_up)
port map ( regout => in_reg_out,
clk => inclk,
ena => inclkena,
datain => padio,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- in_ddio0_reg
in_ddio0_reg : stratixii_io_register
generic map ( ASYNC_RESET => input_async_reset,
SYNC_RESET => input_sync_reset,
POWER_UP => input_power_up)
port map (regout => in_ddio0_reg_out,
clk => not_inclk,
ena => inclkena,
datain => padio,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- in_ddio1_reg
in_ddio1_reg : stratixii_io_register
generic map ( ASYNC_RESET => input_async_reset,
SYNC_RESET => "none", -- this register does not have sync_reset
POWER_UP => input_power_up)
port map (regout => in_ddio1_reg_out,
clk => inclk,
ena => inclkena,
datain => in_ddio0_reg_out,
areset => areset,
devpor => devpor,
devclrn => devclrn);
-- out_reg
out_reg : stratixii_io_register
generic map ( ASYNC_RESET => output_async_reset,
SYNC_RESET => output_sync_reset,
POWER_UP => output_power_up)
port map (regout => out_reg_out,
clk => outclk,
ena => out_clk_ena,
datain => datain,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- out ddio reg
out_ddio_reg : stratixii_io_register
generic map ( ASYNC_RESET => output_async_reset,
SYNC_RESET => output_sync_reset,
POWER_UP => output_power_up)
port map (regout => out_ddio_reg_out,
clk => outclk,
ena => out_clk_ena,
datain => ddiodatain,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- oe reg
oe_reg : stratixii_io_register
generic map (ASYNC_RESET => oe_async_reset,
SYNC_RESET => oe_sync_reset,
POWER_UP => oe_power_up)
port map (regout => oe_reg_out,
clk => outclk,
ena => oe_clk_ena,
datain => oe,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
-- oe_pulse reg
oe_pulse_reg : stratixii_io_register
generic map (ASYNC_RESET => oe_async_reset,
SYNC_RESET => oe_sync_reset,
POWER_UP => oe_power_up)
port map (regout => oe_pulse_reg_out,
clk => not_outclk,
ena => oe_clk_ena,
datain => oe_reg_out,
areset => areset,
sreset => sreset,
devpor => devpor,
devclrn => devclrn);
oe_out <= (oe_pulse_reg_out and oe_reg_out) WHEN (extend_oe_disable = "true") ELSE oe_reg_out WHEN (oe_register_mode = "register") ELSE oe;
sel_delaybuf : stratixii_and1
port map (Y => outclk_delayed,
IN1 => outclk);
ddio_data_mux : stratixii_mux21
port map (MO => ddio_data,
A => out_ddio_reg_out,
B => out_reg_out,
S => outclk_delayed);
tmp_datain <= ddio_data WHEN (ddio_mode = "output" or ddio_mode = "bidir") ELSE
out_reg_out WHEN (output_register_mode = "register") ELSE
datain;
-- timing info in case output and/or input are not registered.
inst1 : stratixii_asynch_io
generic map ( OPERATION_MODE => operation_mode,
OPEN_DRAIN_OUTPUT => open_drain_output,
BUS_HOLD => bus_hold,
dqs_input_frequency => dqs_input_frequency,
dqs_out_mode => dqs_out_mode,
dqs_delay_buffer_mode => dqs_delay_buffer_mode,
dqs_phase_shift => dqs_phase_shift,
dqs_offsetctrl_enable => dqs_offsetctrl_enable,
dqs_ctrl_latches_enable => dqs_ctrl_latches_enable,
dqs_edge_detect_enable => dqs_edge_detect_enable,
gated_dqs => gated_dqs,
sim_dqs_intrinsic_delay => sim_dqs_intrinsic_delay,
sim_dqs_delay_increment => sim_dqs_delay_increment,
sim_dqs_offset_increment => sim_dqs_offset_increment)
port map( datain => tmp_datain,
oe => oe_out,
regin => in_reg_out,
ddioregin => in_ddio1_reg_out,
padio => padio,
delayctrlin => delayctrlin,
offsetctrlin => offsetctrlin,
dqsupdateen => dqsupdateen,
dqsbusout => dqsbusout,
combout => combout,
regout => regout,
ddioregout => ddioregout);
end structure;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_mn_cntr
--
-- Description : Timing simulation model for the M and N counter. This is a
-- common model for the input counter and the loop feedback
-- counter of the StratixII PLL.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY stratixii_mn_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END stratixii_mn_cntr;
ARCHITECTURE behave of stratixii_mn_cntr is
begin
process (clk, reset)
variable count : integer := 1;
variable first_rising_edge : boolean := true;
variable tmp_cout : std_logic;
begin
if (reset = '1') then
count := 1;
tmp_cout := '0';
first_rising_edge := true;
elsif (clk'event and clk = '1' and first_rising_edge) then
first_rising_edge := false;
tmp_cout := clk;
elsif (not first_rising_edge) then
if (count < modulus) then
count := count + 1;
else
count := 1;
tmp_cout := not tmp_cout;
end if;
end if;
cout <= transport tmp_cout after time_delay * 1 ps;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_scale_cntr
--
-- Description : Timing simulation model for the output scale-down counters.
-- This is a common model for the C0, C1, C2, C3, C4 and C5
-- output counters of the StratixII PLL.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY stratixii_scale_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0;
cout : OUT std_logic
);
END stratixii_scale_cntr;
ARCHITECTURE behave of stratixii_scale_cntr is
begin
process (clk, reset)
variable tmp_cout : std_logic := '0';
variable count : integer := 1;
variable output_shift_count : integer := 1;
variable first_rising_edge : boolean := false;
begin
if (reset = '1') then
count := 1;
output_shift_count := 1;
tmp_cout := '0';
first_rising_edge := false;
elsif (clk'event) then
if (mode = " off") then
tmp_cout := '0';
elsif (mode = "bypass") then
tmp_cout := clk;
first_rising_edge := true;
elsif (not first_rising_edge) then
if (clk = '1') then
if (output_shift_count = initial) then
tmp_cout := clk;
first_rising_edge := true;
else
output_shift_count := output_shift_count + 1;
end if;
end if;
elsif (output_shift_count < initial) then
if (clk = '1') then
output_shift_count := output_shift_count + 1;
end if;
else
count := count + 1;
if (mode = " even" and (count = (high*2) + 1)) then
tmp_cout := '0';
elsif (mode = " odd" and (count = high*2)) then
tmp_cout := '0';
elsif (count = (high + low)*2 + 1) then
tmp_cout := '1';
count := 1; -- reset count
end if;
end if;
end if;
cout <= transport tmp_cout;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_pll_reg
--
-- Description : Simulation model for a simple DFF.
-- This is required for the generation of the bit slip-signals.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
ENTITY stratixii_pll_reg is
PORT( clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end stratixii_pll_reg;
ARCHITECTURE behave of stratixii_pll_reg is
begin
process (clk, prn, clrn)
variable q_reg : std_logic := '0';
begin
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk'event and clk = '1' and (ena = '1')) then
q_reg := D;
end if;
Q <= q_reg;
end process;
end behave;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_pll
--
-- Description : Timing simulation model for the StratixII PLL.
-- In the functional mode, it is also the model for the altpll
-- megafunction.
--
-- Limitations : Does not support Spread Spectrum and Bandwidth.
--
-- Outputs : Up to 6 output clocks, each defined by its own set of
-- parameters. Locked output (active high) indicates when the
-- PLL locks. clkbad, clkloss and activeclock are used for
-- clock switchover to indicate which input clock has gone
-- bad, when the clock switchover initiates and which input
-- clock is being used as the reference, respectively.
-- scandataout is the data output of the serial scan chain.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE STD.TEXTIO.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_pllpack.all;
USE work.stratixii_mn_cntr;
USE work.stratixii_scale_cntr;
USE work.stratixii_dffe;
USE work.stratixii_pll_reg;
ENTITY stratixii_pll is
GENERIC (
operation_mode : string := "normal";
pll_type : string := "auto"; -- EGPP/FAST/AUTO
compensate_clock : string := "clk0";
feedback_source : string := "clk0";
qualify_conf_done : string := "off";
test_input_comp_delay : integer := 0;
test_feedback_comp_delay : integer := 0;
inclk0_input_frequency : integer := 10000;
inclk1_input_frequency : integer := 10000;
gate_lock_signal : string := "no";
gate_lock_counter : integer := 1;
self_reset_on_gated_loss_lock : string := "off";
valid_lock_multiplier : integer := 1;
invalid_lock_multiplier : integer := 5;
switch_over_type : string := "auto";
switch_over_on_lossclk : string := "off";
switch_over_on_gated_lock : string := "off";
switch_over_counter : integer := 1;
enable_switch_over_counter : string := "on";
bandwidth : integer := 0;
bandwidth_type : string := "auto";
down_spread : string := "0.0";
spread_frequency : integer := 0;
clk0_output_frequency : integer := 0;
clk0_multiply_by : integer := 1;
clk0_divide_by : integer := 1;
clk0_phase_shift : string := "0";
clk0_duty_cycle : integer := 50;
clk1_output_frequency : integer := 0;
clk1_multiply_by : integer := 1;
clk1_divide_by : integer := 1;
clk1_phase_shift : string := "0";
clk1_duty_cycle : integer := 50;
clk2_output_frequency : integer := 0;
clk2_multiply_by : integer := 1;
clk2_divide_by : integer := 1;
clk2_phase_shift : string := "0";
clk2_duty_cycle : integer := 50;
clk3_output_frequency : integer := 0;
clk3_multiply_by : integer := 1;
clk3_divide_by : integer := 1;
clk3_phase_shift : string := "0";
clk3_duty_cycle : integer := 50;
clk4_output_frequency : integer := 0;
clk4_multiply_by : integer := 1;
clk4_divide_by : integer := 1;
clk4_phase_shift : string := "0";
clk4_duty_cycle : integer := 50;
clk5_output_frequency : integer := 0;
clk5_multiply_by : integer := 1;
clk5_divide_by : integer := 1;
clk5_phase_shift : string := "0";
clk5_duty_cycle : integer := 50;
pfd_min : integer := 0;
pfd_max : integer := 0;
vco_min : integer := 0;
vco_max : integer := 0;
vco_center : integer := 0;
-- ADVANCED USER PARAMETERS
m_initial : integer := 1;
m : integer := 1;
n : integer := 1;
m2 : integer := 1;
n2 : integer := 1;
ss : integer := 0;
c0_high : integer := 1;
c0_low : integer := 1;
c0_initial : integer := 1;
c0_mode : string := "bypass";
c0_ph : integer := 0;
c1_high : integer := 1;
c1_low : integer := 1;
c1_initial : integer := 1;
c1_mode : string := "bypass";
c1_ph : integer := 0;
c2_high : integer := 1;
c2_low : integer := 1;
c2_initial : integer := 1;
c2_mode : string := "bypass";
c2_ph : integer := 0;
c3_high : integer := 1;
c3_low : integer := 1;
c3_initial : integer := 1;
c3_mode : string := "bypass";
c3_ph : integer := 0;
c4_high : integer := 1;
c4_low : integer := 1;
c4_initial : integer := 1;
c4_mode : string := "bypass";
c4_ph : integer := 0;
c5_high : integer := 1;
c5_low : integer := 1;
c5_initial : integer := 1;
c5_mode : string := "bypass";
c5_ph : integer := 0;
m_ph : integer := 0;
clk0_counter : string := "c0";
clk1_counter : string := "c1";
clk2_counter : string := "c2";
clk3_counter : string := "c3";
clk4_counter : string := "c4";
clk5_counter : string := "c5";
c1_use_casc_in : string := "off";
c2_use_casc_in : string := "off";
c3_use_casc_in : string := "off";
c4_use_casc_in : string := "off";
c5_use_casc_in : string := "off";
m_test_source : integer := 5;
c0_test_source : integer := 5;
c1_test_source : integer := 5;
c2_test_source : integer := 5;
c3_test_source : integer := 5;
c4_test_source : integer := 5;
c5_test_source : integer := 5;
-- LVDS mode parameters
enable0_counter : string := "c0";
enable1_counter : string := "c1";
sclkout0_phase_shift : string := "0";
sclkout1_phase_shift : string := "0";
charge_pump_current : integer := 0;
loop_filter_r : string := " 1.000000";
loop_filter_c : integer := 1;
common_rx_tx : string := "off";
rx_outclock_resource : string := "auto";
use_vco_bypass : string := "false";
use_dc_coupling : string := "false";
pll_compensation_delay : integer := 0;
simulation_type : string := "functional";
lpm_type : string := "stratixii_pll";
clk0_use_even_counter_mode : string := "off";
clk1_use_even_counter_mode : string := "off";
clk2_use_even_counter_mode : string := "off";
clk3_use_even_counter_mode : string := "off";
clk4_use_even_counter_mode : string := "off";
clk5_use_even_counter_mode : string := "off";
clk0_use_even_counter_value : string := "off";
clk1_use_even_counter_value : string := "off";
clk2_use_even_counter_value : string := "off";
clk3_use_even_counter_value : string := "off";
clk4_use_even_counter_value : string := "off";
clk5_use_even_counter_value : string := "off";
vco_multiply_by : integer := 0;
vco_divide_by : integer := 0;
vco_post_scale : integer := 1;
-- VITAL generics
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_pfdena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_fbin : VitalDelayType01 := DefPropDelay01;
tipd_scanclk : VitalDelayType01 := DefPropDelay01;
tipd_scanread : VitalDelayType01 := DefPropDelay01;
tipd_scandata : VitalDelayType01 := DefPropDelay01;
tipd_scanwrite : VitalDelayType01 := DefPropDelay01;
tipd_clkswitch : VitalDelayType01 := DefPropDelay01;
tsetup_scandata_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_scandata_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanread_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_scanread_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanwrite_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_scanwrite_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst
);
PORT
(
inclk : in std_logic_vector(1 downto 0);
fbin : in std_logic := '0';
ena : in std_logic := '1';
clkswitch : in std_logic := '0';
areset : in std_logic := '0';
pfdena : in std_logic := '1';
scanread : in std_logic := '0';
scanwrite : in std_logic := '0';
scandata : in std_logic := '0';
scanclk : in std_logic := '0';
testin : in std_logic_vector(3 downto 0) := "0000";
clk : out std_logic_vector(5 downto 0);
clkbad : out std_logic_vector(1 downto 0);
activeclock : out std_logic;
locked : out std_logic;
clkloss : out std_logic;
scandataout : out std_logic;
scandone : out std_logic;
testupout : out std_logic;
testdownout : out std_logic;
-- lvds specific ports
enable0 : out std_logic;
enable1 : out std_logic;
sclkout : out std_logic_vector(1 downto 0)
);
END stratixii_pll;
ARCHITECTURE vital_pll of stratixii_pll is
TYPE int_array is ARRAY(NATURAL RANGE <>) of integer;
TYPE str_array is ARRAY(NATURAL RANGE <>) of string(1 to 6);
TYPE str_array1 is ARRAY(NATURAL RANGE <>) of string(1 to 9);
TYPE std_logic_array is ARRAY(NATURAL RANGE <>) of std_logic;
-- internal advanced parameter signals
signal i_vco_min : integer;
signal i_vco_max : integer;
signal i_vco_center : integer;
signal i_pfd_min : integer;
signal i_pfd_max : integer;
signal c_ph_val : int_array(0 to 5) := (OTHERS => 0);
signal c_high_val : int_array(0 to 5) := (OTHERS => 1);
signal c_low_val : int_array(0 to 5) := (OTHERS => 1);
signal c_initial_val : int_array(0 to 5) := (OTHERS => 1);
signal c_mode_val : str_array(0 to 5);
-- old values
signal c_high_val_old : int_array(0 to 5) := (OTHERS => 1);
signal c_low_val_old : int_array(0 to 5) := (OTHERS => 1);
signal c_ph_val_old : int_array(0 to 5) := (OTHERS => 0);
signal c_mode_val_old : str_array(0 to 5);
-- hold registers
signal c_high_val_hold : int_array(0 to 5) := (OTHERS => 1);
signal c_low_val_hold : int_array(0 to 5) := (OTHERS => 1);
signal c_ph_val_hold : int_array(0 to 5) := (OTHERS => 0);
signal c_mode_val_hold : str_array(0 to 5);
-- temp registers
signal sig_c_ph_val_tmp : int_array(0 to 5) := (OTHERS => 0);
signal sig_c_low_val_tmp : int_array(0 to 5) := (OTHERS => 1);
signal c_ph_val_orig : int_array(0 to 5) := (OTHERS => 0);
--signal i_clk5_counter : string(1 to 2) := "c5";
--signal i_clk4_counter : string(1 to 2) := "c4";
--signal i_clk3_counter : string(1 to 2) := "c3";
--signal i_clk2_counter : string(1 to 2) := "c2";
--signal i_clk1_counter : string(1 to 2) := "c1";
--signal i_clk0_counter : string(1 to 2) := "c0";
signal i_clk5_counter : integer := 5;
signal i_clk4_counter : integer := 4;
signal i_clk3_counter : integer := 3;
signal i_clk2_counter : integer := 2;
signal i_clk1_counter : integer := 1;
signal i_clk0_counter : integer := 0;
signal i_charge_pump_current : integer;
signal i_loop_filter_r : integer;
-- end internal advanced parameter signals
-- CONSTANTS
CONSTANT GPP_SCAN_CHAIN : integer := 174;
CONSTANT FAST_SCAN_CHAIN : integer := 75;
CONSTANT cntrs : str_array(5 downto 0) := (" C5", " C4", " C3", " C2", " C1", " C0");
CONSTANT ss_cntrs : str_array(0 to 3) := (" M", " M2", " N", " N2");
CONSTANT loop_filter_c_arr : int_array(0 to 3) := (57, 16, 36, 5);
CONSTANT fpll_loop_filter_c_arr : int_array(0 to 3) := (18, 13, 8, 2);
CONSTANT charge_pump_curr_arr : int_array(0 to 15) := (6, 12, 30, 36, 52, 57, 72, 77, 92, 96, 110, 114, 127, 131, 144, 148);
CONSTANT loop_filter_r_arr : str_array1(0 to 39) := (" 1.000000", " 1.500000", " 2.000000", " 2.500000", " 3.000000", " 3.500000", " 4.000000", " 4.500000", " 5.000000", " 5.500000", " 6.000000", " 6.500000", " 7.000000", " 7.500000", " 8.000000", " 8.500000", " 9.000000", " 9.500000", "10.000000", "10.500000", "11.000000", "11.500000", "12.000000", "12.500000", "13.000000", "13.500000", "14.000000", "14.500000", "15.000000", "15.500000", "16.000000", "16.500000", "17.000000", "17.500000", "18.000000", "18.500000", "19.000000", "19.500000", "20.000000", "20.500000");
-- signals
signal vcc : std_logic := '1';
signal fbclk : std_logic;
signal refclk : std_logic;
--signal c0_clk : std_logic;
--signal c1_clk : std_logic;
--signal c2_clk : std_logic;
--signal c3_clk : std_logic;
--signal c4_clk : std_logic;
--signal c5_clk : std_logic;
signal c_clk : std_logic_array(0 to 5);
signal vco_out : std_logic_vector(7 downto 0) := (OTHERS => '0');
-- signals to assign values to counter params
signal m_val : int_array(0 to 1) := (OTHERS => 1);
signal n_val : int_array(0 to 1) := (OTHERS => 1);
signal m_ph_val : integer := 0;
signal m_initial_val : integer := m_initial;
signal m_mode_val : str_array(0 to 1) := (OTHERS => " ");
signal n_mode_val : str_array(0 to 1) := (OTHERS => " ");
signal lfc_val : integer := 0;
signal cp_curr_val : integer := 0;
signal lfr_val : string(1 to 9) := " ";
-- old values
signal m_val_old : int_array(0 to 1) := (OTHERS => 1);
signal n_val_old : int_array(0 to 1) := (OTHERS => 1);
signal m_mode_val_old : str_array(0 to 1) := (OTHERS => " ");
signal n_mode_val_old : str_array(0 to 1) := (OTHERS => " ");
signal m_ph_val_old : integer := 0;
signal lfc_old : integer := 0;
signal cp_curr_old : integer := 0;
signal lfr_old : string(1 to 9) := " ";
signal num_output_cntrs : integer := 6;
signal scan_data : std_logic_vector(173 downto 0) := (OTHERS => '0');
signal clk0_tmp : std_logic;
signal clk1_tmp : std_logic;
signal clk2_tmp : std_logic;
signal clk3_tmp : std_logic;
signal clk4_tmp : std_logic;
signal clk5_tmp : std_logic;
signal sclkout0_tmp : std_logic;
signal sclkout1_tmp : std_logic;
signal clkin : std_logic := '0';
signal gate_locked : std_logic := '0';
signal lock : std_logic := '0';
signal about_to_lock : boolean := false;
signal reconfig_err : boolean := false;
signal inclk_c0 : std_logic;
signal inclk_c1 : std_logic;
signal inclk_c2 : std_logic;
signal inclk_c3 : std_logic;
signal inclk_c4 : std_logic;
signal inclk_c5 : std_logic;
signal inclk_m : std_logic;
signal devpor : std_logic;
signal devclrn : std_logic;
signal inclk0_ipd : std_logic;
signal inclk1_ipd : std_logic;
signal ena_ipd : std_logic;
signal pfdena_ipd : std_logic;
signal areset_ipd : std_logic;
signal fbin_ipd : std_logic;
signal scanclk_ipd : std_logic;
signal scanread_ipd : std_logic;
signal scanwrite_ipd : std_logic;
signal scandata_ipd : std_logic;
signal clkswitch_ipd : std_logic;
-- registered signals
signal scanread_reg : std_logic := '0';
signal scanwrite_reg : std_logic := '0';
signal scanwrite_enabled : std_logic := '0';
signal gated_scanclk : std_logic := '1';
signal inclk_c0_dly1 : std_logic := '0';
signal inclk_c0_dly2 : std_logic := '0';
signal inclk_c0_dly3 : std_logic := '0';
signal inclk_c0_dly4 : std_logic := '0';
signal inclk_c0_dly5 : std_logic := '0';
signal inclk_c0_dly6 : std_logic := '0';
signal inclk_c1_dly1 : std_logic := '0';
signal inclk_c1_dly2 : std_logic := '0';
signal inclk_c1_dly3 : std_logic := '0';
signal inclk_c1_dly4 : std_logic := '0';
signal inclk_c1_dly5 : std_logic := '0';
signal inclk_c1_dly6 : std_logic := '0';
signal sig_offset : time := 0 ps;
signal sig_refclk_time : time := 0 ps;
signal sig_fbclk_period : time := 0 ps;
signal sig_vco_period_was_phase_adjusted : boolean := false;
signal sig_phase_adjust_was_scheduled : boolean := false;
signal sig_stop_vco : std_logic := '0';
signal sig_m_times_vco_period : time := 0 ps;
signal sig_new_m_times_vco_period : time := 0 ps;
signal sig_got_refclk_posedge : boolean := false;
signal sig_got_fbclk_posedge : boolean := false;
signal sig_got_second_refclk : boolean := false;
signal m_delay : integer := 0;
signal n_delay : integer := 0;
signal inclk1_tmp : std_logic := '0';
signal ext_fbk_cntr_high : integer := 0;
signal ext_fbk_cntr_low : integer := 0;
signal ext_fbk_cntr_ph : integer := 0;
signal ext_fbk_cntr_initial : integer := 1;
signal ext_fbk_cntr : string(1 to 2) := "c0";
signal ext_fbk_cntr_mode : string(1 to 6) := "bypass";
signal ext_fbk_cntr_index : integer := 0;
signal enable0_tmp : std_logic := '0';
signal enable1_tmp : std_logic := '0';
signal reset_low : std_logic := '0';
signal scandataout_tmp : std_logic := '0';
signal scandone_tmp : std_logic := '0';
signal sig_refclk_period : time := (inclk0_input_frequency * 1 ps) * n;
signal schedule_vco : std_logic := '0';
signal areset_ena_sig : std_logic := '0';
signal pll_in_test_mode : boolean := false;
signal inclk_c_from_vco : std_logic_array(0 to 5);
signal inclk_m_from_vco : std_logic;
signal inclk_sclkout0_from_vco : std_logic;
signal inclk_sclkout1_from_vco : std_logic;
COMPONENT stratixii_mn_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END COMPONENT;
COMPONENT stratixii_scale_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0
);
END COMPONENT;
COMPONENT stratixii_dffe
GENERIC(
TimingChecksOn: Boolean := true;
InstancePath: STRING := "*";
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
COMPONENT stratixii_pll_reg
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (inclk0_ipd, inclk(0), tipd_inclk(0));
VitalWireDelay (inclk1_ipd, inclk(1), tipd_inclk(1));
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (fbin_ipd, fbin, tipd_fbin);
VitalWireDelay (pfdena_ipd, pfdena, tipd_pfdena);
VitalWireDelay (scanclk_ipd, scanclk, tipd_scanclk);
VitalWireDelay (scanread_ipd, scanread, tipd_scanread);
VitalWireDelay (scandata_ipd, scandata, tipd_scandata);
VitalWireDelay (scanwrite_ipd, scanwrite, tipd_scanwrite);
VitalWireDelay (clkswitch_ipd, clkswitch, tipd_clkswitch);
end block;
inclk_m <= clkin when m_test_source = 0 else
clk0_tmp when operation_mode = "external_feedback" and feedback_source = "clk0" else
clk1_tmp when operation_mode = "external_feedback" and feedback_source = "clk1" else
clk2_tmp when operation_mode = "external_feedback" and feedback_source = "clk2" else
clk3_tmp when operation_mode = "external_feedback" and feedback_source = "clk3" else
clk4_tmp when operation_mode = "external_feedback" and feedback_source = "clk4" else
clk5_tmp when operation_mode = "external_feedback" and feedback_source = "clk5" else
inclk_m_from_vco;
ext_fbk_cntr_high <= c_high_val(ext_fbk_cntr_index);
ext_fbk_cntr_low <= c_low_val(ext_fbk_cntr_index);
ext_fbk_cntr_ph <= c_ph_val(ext_fbk_cntr_index);
ext_fbk_cntr_initial <= c_initial_val(ext_fbk_cntr_index);
ext_fbk_cntr_mode <= c_mode_val(ext_fbk_cntr_index);
areset_ena_sig <= areset_ipd or (not ena_ipd) or sig_stop_vco;
pll_in_test_mode <= true when m_test_source /= 5 or c0_test_source /= 5 or
c1_test_source /= 5 or c2_test_source /= 5 or
c3_test_source /= 5 or c4_test_source /= 5 or
c5_test_source /= 5 else
false;
m1 : stratixii_mn_cntr
port map ( clk => inclk_m,
reset => areset_ena_sig,
cout => fbclk,
initial_value => m_initial_val,
modulus => m_val(0),
time_delay => m_delay
);
-- add delta delay to inclk1 to ensure inclk0 and inclk1 are processed
-- in different simulation deltas.
inclk1_tmp <= inclk1_ipd;
process (inclk0_ipd, inclk1_tmp, clkswitch_ipd)
variable input_value : std_logic := '0';
variable current_clock : integer := 0;
variable clk0_count, clk1_count : integer := 0;
variable clk0_is_bad, clk1_is_bad : std_logic := '0';
variable primary_clk_is_bad : boolean := false;
variable current_clk_is_bad : boolean := false;
variable got_curr_clk_falling_edge_after_clkswitch : boolean := false;
variable switch_over_count : integer := 0;
variable active_clock : std_logic := '0';
variable external_switch : boolean := false;
begin
if (now = 0 ps) then
if (switch_over_type = "manual" and clkswitch_ipd = '1') then
current_clock := 1;
active_clock := '1';
end if;
end if;
if (clkswitch_ipd'event and clkswitch_ipd = '1' and switch_over_type = "auto") then
external_switch := true;
elsif (switch_over_type = "manual") then
if (clkswitch_ipd'event and clkswitch_ipd = '1') then
current_clock := 1;
active_clock := '1';
clkin <= transport inclk1_tmp;
elsif (clkswitch_ipd'event and clkswitch_ipd = '0') then
current_clock := 0;
active_clock := '0';
clkin <= transport inclk0_ipd;
end if;
end if;
-- save the current inclk event value
if (inclk0_ipd'event) then
input_value := inclk0_ipd;
elsif (inclk1_tmp'event) then
input_value := inclk1_tmp;
end if;
-- check if either input clk is bad
if (inclk0_ipd'event and inclk0_ipd = '1') then
clk0_count := clk0_count + 1;
clk0_is_bad := '0';
clk1_count := 0;
if (clk0_count > 2) then
-- no event on other clk for 2 cycles
clk1_is_bad := '1';
if (current_clock = 1) then
current_clk_is_bad := true;
end if;
end if;
end if;
if (inclk1_tmp'event and inclk1_tmp = '1') then
clk1_count := clk1_count + 1;
clk1_is_bad := '0';
clk0_count := 0;
if (clk1_count > 2) then
-- no event on other clk for 2 cycles
clk0_is_bad := '1';
if (current_clock = 0) then
current_clk_is_bad := true;
end if;
end if;
end if;
-- check if the bad clk is the primary clock
if (clk0_is_bad = '1') then
primary_clk_is_bad := true;
else
primary_clk_is_bad := false;
end if;
-- actual switching
if (inclk0_ipd'event and current_clock = 0) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk0_ipd = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk0_ipd;
end if;
else
clkin <= transport inclk0_ipd;
end if;
elsif (inclk1_tmp'event and current_clock = 1) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk1_tmp = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk1_tmp;
end if;
else
clkin <= transport inclk1_tmp;
end if;
else
if (input_value = '1' and switch_over_on_lossclk = "on" and enable_switch_over_counter = "on" and primary_clk_is_bad) then
switch_over_count := switch_over_count + 1;
end if;
if (input_value = '0') then
if (external_switch and (got_curr_clk_falling_edge_after_clkswitch or current_clk_is_bad)) or (switch_over_on_lossclk = "on" and primary_clk_is_bad and (enable_switch_over_counter = "off" or switch_over_count = switch_over_counter)) then
got_curr_clk_falling_edge_after_clkswitch := false;
if (current_clock = 0) then
current_clock := 1;
else
current_clock := 0;
end if;
active_clock := not active_clock;
switch_over_count := 0;
external_switch := false;
current_clk_is_bad := false;
end if;
end if;
end if;
-- schedule outputs
clkbad(0) <= clk0_is_bad;
clkbad(1) <= clk1_is_bad;
if (switch_over_on_lossclk = "on" and clkswitch_ipd /= '1') then
if (primary_clk_is_bad) then
-- assert clkloss
clkloss <= '1';
else
clkloss <= '0';
end if;
else
clkloss <= clkswitch_ipd;
end if;
activeclock <= active_clock;
end process;
process (inclk_sclkout0_from_vco)
begin
sclkout0_tmp <= inclk_sclkout0_from_vco;
end process;
process (inclk_sclkout1_from_vco)
begin
sclkout1_tmp <= inclk_sclkout1_from_vco;
end process;
n1 : stratixii_mn_cntr
port map (
clk => clkin,
reset => areset_ipd,
cout => refclk,
initial_value => n_val(0),
modulus => n_val(0));
inclk_c0 <= clkin when c0_test_source = 0 else
refclk when c0_test_source = 1 else
inclk_c_from_vco(0);
c0 : stratixii_scale_cntr
port map (
clk => inclk_c0,
reset => areset_ena_sig,
cout => c_clk(0),
initial => c_initial_val(0),
high => c_high_val(0),
low => c_low_val(0),
mode => c_mode_val(0),
ph_tap => c_ph_val(0));
inclk_c1 <= clkin when c1_test_source = 0 else
fbclk when c1_test_source = 2 else
c_clk(0) when c1_use_casc_in = "on" else
inclk_c_from_vco(1);
c1 : stratixii_scale_cntr
port map (
clk => inclk_c1,
reset => areset_ena_sig,
cout => c_clk(1),
initial => c_initial_val(1),
high => c_high_val(1),
low => c_low_val(1),
mode => c_mode_val(1),
ph_tap => c_ph_val(1));
inclk_c2 <= clkin when c2_test_source = 0 else
c_clk(1) when c2_use_casc_in = "on" else
inclk_c_from_vco(2);
c2 : stratixii_scale_cntr
port map (
clk => inclk_c2,
reset => areset_ena_sig,
cout => c_clk(2),
initial => c_initial_val(2),
high => c_high_val(2),
low => c_low_val(2),
mode => c_mode_val(2),
ph_tap => c_ph_val(2));
inclk_c3 <= clkin when c3_test_source = 0 else
c_clk(2) when c3_use_casc_in = "on" else
inclk_c_from_vco(3);
c3 : stratixii_scale_cntr
port map (
clk => inclk_c3,
reset => areset_ena_sig,
cout => c_clk(3),
initial => c_initial_val(3),
high => c_high_val(3),
low => c_low_val(3),
mode => c_mode_val(3),
ph_tap => c_ph_val(3));
inclk_c4 <= '0' when (pll_type = "fast") else
clkin when (c4_test_source = 0) else
c_clk(3) when (c4_use_casc_in = "on") else
inclk_c_from_vco(4);
c4 : stratixii_scale_cntr
port map (
clk => inclk_c4,
reset => areset_ena_sig,
cout => c_clk(4),
initial => c_initial_val(4),
high => c_high_val(4),
low => c_low_val(4),
mode => c_mode_val(4),
ph_tap => c_ph_val(4));
inclk_c5 <= '0' when (pll_type = "fast") else
clkin when c5_test_source = 0 else
c_clk(4) when c5_use_casc_in = "on" else
inclk_c_from_vco(5);
c5 : stratixii_scale_cntr
port map (
clk => inclk_c5,
reset => areset_ena_sig,
cout => c_clk(5),
initial => c_initial_val(5),
high => c_high_val(5),
low => c_low_val(5),
mode => c_mode_val(5),
ph_tap => c_ph_val(5));
inclk_c0_dly1 <= inclk_c0 when (pll_type = "fast" or pll_type = "lvds")
else '0';
inclk_c0_dly2 <= inclk_c0_dly1;
inclk_c0_dly3 <= inclk_c0_dly2;
inclk_c0_dly4 <= inclk_c0_dly3;
inclk_c0_dly5 <= inclk_c0_dly4;
inclk_c0_dly6 <= inclk_c0_dly5;
inclk_c1_dly1 <= inclk_c1 when (pll_type = "fast" or pll_type = "lvds")
else '0';
inclk_c1_dly2 <= inclk_c1_dly1;
inclk_c1_dly3 <= inclk_c1_dly2;
inclk_c1_dly4 <= inclk_c1_dly3;
inclk_c1_dly5 <= inclk_c1_dly4;
inclk_c1_dly6 <= inclk_c1_dly5;
process(inclk_c0_dly6, inclk_c1_dly6, areset_ipd, ena_ipd, sig_stop_vco)
variable c0_got_first_rising_edge : boolean := false;
variable c0_count : integer := 2;
variable c0_initial_count : integer := 1;
variable c0_tmp, c1_tmp : std_logic := '0';
variable c1_got_first_rising_edge : boolean := false;
variable c1_count : integer := 2;
variable c1_initial_count : integer := 1;
begin
if (areset_ipd = '1' or ena_ipd = '0' or sig_stop_vco = '1') then
c0_count := 2;
c1_count := 2;
c0_initial_count := 1;
c1_initial_count := 1;
c0_got_first_rising_edge := false;
c1_got_first_rising_edge := false;
else
if (not c0_got_first_rising_edge) then
if (inclk_c0_dly6'event and inclk_c0_dly6 = '1') then
if (c0_initial_count = c_initial_val(0)) then
c0_got_first_rising_edge := true;
else
c0_initial_count := c0_initial_count + 1;
end if;
end if;
elsif (inclk_c0_dly6'event) then
c0_count := c0_count + 1;
if (c0_count = (c_high_val(0) + c_low_val(0)) * 2) then
c0_count := 1;
end if;
end if;
if (inclk_c0_dly6'event and inclk_c0_dly6 = '0') then
if (c0_count = 1) then
c0_tmp := '1';
c0_got_first_rising_edge := false;
else
c0_tmp := '0';
end if;
end if;
if (not c1_got_first_rising_edge) then
if (inclk_c1_dly6'event and inclk_c1_dly6 = '1') then
if (c1_initial_count = c_initial_val(1)) then
c1_got_first_rising_edge := true;
else
c1_initial_count := c1_initial_count + 1;
end if;
end if;
elsif (inclk_c1_dly6'event) then
c1_count := c1_count + 1;
if (c1_count = (c_high_val(1) + c_low_val(1)) * 2) then
c1_count := 1;
end if;
end if;
if (inclk_c1_dly6'event and inclk_c1_dly6 = '0') then
if (c1_count = 1) then
c1_tmp := '1';
c1_got_first_rising_edge := false;
else
c1_tmp := '0';
end if;
end if;
end if;
if (enable0_counter = "c0") then
enable0_tmp <= c0_tmp;
elsif (enable0_counter = "c1") then
enable0_tmp <= c1_tmp;
else
enable0_tmp <= '0';
end if;
if (enable1_counter = "c0") then
enable1_tmp <= c0_tmp;
elsif (enable1_counter = "c1") then
enable1_tmp <= c1_tmp;
else
enable1_tmp <= '0';
end if;
end process;
glocked_cntr : process(clkin, ena_ipd, areset_ipd)
variable count : integer := 0;
variable output : std_logic := '0';
begin
if (areset_ipd = '1') then
count := 0;
output := '0';
elsif (clkin'event and clkin = '1') then
if (ena_ipd = '1') then
count := count + 1;
if (count = gate_lock_counter) then
output := '1';
end if;
end if;
end if;
gate_locked <= output;
end process;
locked <= gate_locked and lock when gate_lock_signal = "yes" else
lock;
process (scandone_tmp)
variable buf : line;
begin
if (scandone_tmp'event and scandone_tmp = '1') then
if (reconfig_err = false) then
ASSERT false REPORT "PLL Reprogramming completed with the following values (Values in parantheses indicate values before reprogramming) :" severity note;
write (buf, string'(" N modulus = "));
write (buf, n_val(0));
write (buf, string'(" ( "));
write (buf, n_val_old(0));
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M modulus = "));
write (buf, m_val(0));
write (buf, string'(" ( "));
write (buf, m_val_old(0));
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M ph_tap = "));
write (buf, m_ph_val);
write (buf, string'(" ( "));
write (buf, m_ph_val_old);
write (buf, string'(" )"));
writeline (output, buf);
if (ss > 0) then
write (buf, string'(" M2 modulus = "));
write (buf, m_val(1));
write (buf, string'(" ( "));
write (buf, m_val_old(1));
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" N2 modulus = "));
write (buf, n_val(1));
write (buf, string'(" ( "));
write (buf, n_val_old(1));
write (buf, string'(" )"));
writeline (output, buf);
end if;
for i in 0 to (num_output_cntrs-1) loop
write (buf, cntrs(i));
write (buf, string'(" : high = "));
write (buf, c_high_val(i));
write (buf, string'(" ("));
write (buf, c_high_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , low = "));
write (buf, sig_c_low_val_tmp(i));
write (buf, string'(" ("));
write (buf, c_low_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , mode = "));
write (buf, c_mode_val(i));
write (buf, string'(" ("));
write (buf, c_mode_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , phase tap = "));
write (buf, c_ph_val(i));
write (buf, string'(" ("));
write (buf, c_ph_val_old(i));
write (buf, string'(") "));
writeline(output, buf);
end loop;
write (buf, string'(" Charge Pump Current (uA) = "));
write (buf, cp_curr_val);
write (buf, string'(" ( "));
write (buf, cp_curr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (pF) = "));
write (buf, lfc_val);
write (buf, string'(" ( "));
write (buf, lfc_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (Kohm) = "));
write (buf, lfr_val);
write (buf, string'(" ( "));
write (buf, lfr_old);
write (buf, string'(" ) "));
writeline (output, buf);
else ASSERT false REPORT "Errors were encountered during PLL reprogramming. Please refer to error/warning messages above." severity warning;
end if;
end if;
end process;
process (scanwrite_enabled, c_clk(0), c_clk(1), c_clk(2), c_clk(3), c_clk(4), c_clk(5), vco_out, fbclk, scanclk_ipd, gated_scanclk)
variable init : boolean := true;
variable low, high : std_logic_vector(7 downto 0);
variable low_fast, high_fast : std_logic_vector(3 downto 0);
variable mode : string(1 to 6) := "bypass";
variable is_error : boolean := false;
variable m_tmp, n_tmp : std_logic_vector(8 downto 0);
variable n_fast : std_logic_vector(1 downto 0);
variable c_high_val_tmp : int_array(0 to 5) := (OTHERS => 1);
variable c_low_val_tmp : int_array(0 to 5) := (OTHERS => 1);
variable c_ph_val_tmp : int_array(0 to 5) := (OTHERS => 0);
variable c_mode_val_tmp : str_array(0 to 5);
variable m_ph_val_tmp : integer := 0;
variable m_val_tmp : int_array(0 to 1) := (OTHERS => 1);
variable c0_rising_edge_transfer_done : boolean := false;
variable c1_rising_edge_transfer_done : boolean := false;
variable c2_rising_edge_transfer_done : boolean := false;
variable c3_rising_edge_transfer_done : boolean := false;
variable c4_rising_edge_transfer_done : boolean := false;
variable c5_rising_edge_transfer_done : boolean := false;
-- variables for scaling of multiply_by and divide_by values
variable i_clk0_mult_by : integer := 1;
variable i_clk0_div_by : integer := 1;
variable i_clk1_mult_by : integer := 1;
variable i_clk1_div_by : integer := 1;
variable i_clk2_mult_by : integer := 1;
variable i_clk2_div_by : integer := 1;
variable i_clk3_mult_by : integer := 1;
variable i_clk3_div_by : integer := 1;
variable i_clk4_mult_by : integer := 1;
variable i_clk4_div_by : integer := 1;
variable i_clk5_mult_by : integer := 1;
variable i_clk5_div_by : integer := 1;
variable max_d_value : integer := 1;
variable new_multiplier : integer := 1;
-- internal variables for storing the phase shift number.(used in lvds mode only)
variable i_clk0_phase_shift : integer := 1;
variable i_clk1_phase_shift : integer := 1;
variable i_clk2_phase_shift : integer := 1;
-- user to advanced variables
variable max_neg_abs : integer := 0;
variable i_m_initial : integer;
variable i_m : integer := 1;
variable i_n : integer := 1;
variable i_m2 : integer;
variable i_n2 : integer;
variable i_ss : integer;
variable i_c_high : int_array(0 to 5);
variable i_c_low : int_array(0 to 5);
variable i_c_initial : int_array(0 to 5);
variable i_c_ph : int_array(0 to 5);
variable i_c_mode : str_array(0 to 5);
variable i_m_ph : integer;
variable output_count : integer;
variable new_divisor : integer;
variable clk0_cntr : string(1 to 2) := "c0";
variable clk1_cntr : string(1 to 2) := "c1";
variable clk2_cntr : string(1 to 2) := "c2";
variable clk3_cntr : string(1 to 2) := "c3";
variable clk4_cntr : string(1 to 2) := "c4";
variable clk5_cntr : string(1 to 2) := "c5";
variable fbk_cntr : string(1 to 2);
variable fbk_cntr_index : integer;
variable start_bit : integer;
variable quiet_time : time := 0 ps;
variable slowest_clk_old : time := 0 ps;
variable slowest_clk_new : time := 0 ps;
variable tmp_scan_data : std_logic_vector(173 downto 0) := (OTHERS => '0');
variable m_lo, m_hi : std_logic_vector(4 downto 0);
variable j : integer := 0;
variable scanread_active_edge : time := 0 ps;
variable got_first_scanclk : boolean := false;
variable got_first_gated_scanclk : boolean := false;
variable scanclk_last_rising_edge : time := 0 ps;
variable scanclk_period : time := 0 ps;
variable current_scan_data : std_logic_vector(173 downto 0) := (OTHERS => '0');
variable index : integer := 0;
variable Tviol_scandata_scanclk : std_ulogic := '0';
variable Tviol_scanread_scanclk : std_ulogic := '0';
variable Tviol_scanwrite_scanclk : std_ulogic := '0';
variable TimingData_scandata_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_scanread_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_scanwrite_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable scan_chain_length : integer := GPP_SCAN_CHAIN;
variable tmp_rem : integer := 0;
variable scanclk_cycles : integer := 0;
variable lfc_tmp : std_logic_vector(1 downto 0);
variable lfr_tmp : std_logic_vector(5 downto 0);
variable lfr_int : integer := 0;
function slowest_clk (
C0 : integer; C0_mode : string(1 to 6);
C1 : integer; C1_mode : string(1 to 6);
C2 : integer; C2_mode : string(1 to 6);
C3 : integer; C3_mode : string(1 to 6);
C4 : integer; C4_mode : string(1 to 6);
C5 : integer; C5_mode : string(1 to 6);
refclk : time; m_mod : integer) return time is
variable max_modulus : integer := 1;
variable q_period : time := 0 ps;
variable refclk_int : integer := 0;
begin
if (C0_mode /= "bypass" and C0_mode /= " off") then
max_modulus := C0;
end if;
if (C1 > max_modulus and C1_mode /= "bypass" and C1_mode /= " off") then
max_modulus := C1;
end if;
if (C2 > max_modulus and C2_mode /= "bypass" and C2_mode /= " off") then
max_modulus := C2;
end if;
if (C3 > max_modulus and C3_mode /= "bypass" and C3_mode /= " off") then
max_modulus := C3;
end if;
if (C4 > max_modulus and C4_mode /= "bypass" and C4_mode /= " off") then
max_modulus := C4;
end if;
if (C5 > max_modulus and C5_mode /= "bypass" and C5_mode /= " off") then
max_modulus := C5;
end if;
refclk_int := refclk / 1 ps;
if (m_mod /= 0) then
q_period := (refclk_int * max_modulus / m_mod) * 1 ps;
end if;
return (2*q_period);
end slowest_clk;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function extract_cntr_index (arg:string) return integer is
variable index : integer := 0;
begin
if (arg(2) = '0') then
index := 0;
elsif (arg(2) = '1') then
index := 1;
elsif (arg(2) = '2') then
index := 2;
elsif (arg(2) = '3') then
index := 3;
elsif (arg(2) = '4') then
index := 4;
else index := 5;
end if;
return index;
end extract_cntr_index;
begin
if (init) then
if (m = 0) then
clk5_cntr := "c5";
clk4_cntr := "c4";
clk3_cntr := "c3";
clk2_cntr := "c2";
clk1_cntr := "c1";
clk0_cntr := "c0";
else
clk5_cntr := clk5_counter;
clk4_cntr := clk4_counter;
clk3_cntr := clk3_counter;
clk2_cntr := clk2_counter;
clk1_cntr := clk1_counter;
clk0_cntr := clk0_counter;
end if;
if (operation_mode = "external_feedback") then
if (feedback_source = "clk0") then
fbk_cntr := clk0_cntr;
elsif (feedback_source = "clk1") then
fbk_cntr := clk1_cntr;
elsif (feedback_source = "clk2") then
fbk_cntr := clk2_cntr;
elsif (feedback_source = "clk3") then
fbk_cntr := clk3_cntr;
elsif (feedback_source = "clk4") then
fbk_cntr := clk4_cntr;
elsif (feedback_source = "clk5") then
fbk_cntr := clk5_cntr;
else
fbk_cntr := "c0";
end if;
if (fbk_cntr = "c0") then
fbk_cntr_index := 0;
elsif (fbk_cntr = "c1") then
fbk_cntr_index := 1;
elsif (fbk_cntr = "c2") then
fbk_cntr_index := 2;
elsif (fbk_cntr = "c3") then
fbk_cntr_index := 3;
elsif (fbk_cntr = "c4") then
fbk_cntr_index := 4;
elsif (fbk_cntr = "c5") then
fbk_cntr_index := 5;
end if;
ext_fbk_cntr <= fbk_cntr;
ext_fbk_cntr_index <= fbk_cntr_index;
end if;
i_clk0_counter <= extract_cntr_index(clk0_cntr);
i_clk1_counter <= extract_cntr_index(clk1_cntr);
i_clk2_counter <= extract_cntr_index(clk2_cntr);
i_clk3_counter <= extract_cntr_index(clk3_cntr);
i_clk4_counter <= extract_cntr_index(clk4_cntr);
i_clk5_counter <= extract_cntr_index(clk5_cntr);
if (m = 0) then -- convert user parameters to advanced
-- set the limit of the divide_by value that can be returned by
-- the following function.
max_d_value := 500;
-- scale down the multiply_by and divide_by values provided by the design
-- before attempting to use them in the calculations below
find_simple_integer_fraction(clk0_multiply_by, clk0_divide_by,
max_d_value, i_clk0_mult_by, i_clk0_div_by);
find_simple_integer_fraction(clk1_multiply_by, clk1_divide_by,
max_d_value, i_clk1_mult_by, i_clk1_div_by);
find_simple_integer_fraction(clk2_multiply_by, clk2_divide_by,
max_d_value, i_clk2_mult_by, i_clk2_div_by);
find_simple_integer_fraction(clk3_multiply_by, clk3_divide_by,
max_d_value, i_clk3_mult_by, i_clk3_div_by);
find_simple_integer_fraction(clk4_multiply_by, clk4_divide_by,
max_d_value, i_clk4_mult_by, i_clk4_div_by);
find_simple_integer_fraction(clk5_multiply_by, clk5_divide_by,
max_d_value, i_clk5_mult_by, i_clk5_div_by);
if (((pll_type = "fast") or (pll_type = "lvds")) and ((vco_multiply_by /= 0) and (vco_divide_by /= 0))) then
i_n := vco_divide_by;
i_m := vco_multiply_by;
else
i_n := 1;
i_m := lcm (i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by, i_clk5_mult_by,
1, 1, 1, 1, inclk0_input_frequency);
end if;
if (pll_type = "flvds") then
-- Need to readjust phase shift values when the clock multiply value has been readjusted.
new_multiplier := clk0_multiply_by / i_clk0_mult_by;
i_clk0_phase_shift := str2int(clk0_phase_shift) * new_multiplier;
i_clk1_phase_shift := str2int(clk1_phase_shift) * new_multiplier;
i_clk2_phase_shift := str2int(clk2_phase_shift) * new_multiplier;
else
i_clk0_phase_shift := str2int(clk0_phase_shift);
i_clk1_phase_shift := str2int(clk1_phase_shift);
i_clk2_phase_shift := str2int(clk2_phase_shift);
end if;
max_neg_abs := maxnegabs(i_clk0_phase_shift,
i_clk1_phase_shift,
i_clk2_phase_shift,
str2int(clk3_phase_shift),
str2int(clk4_phase_shift),
str2int(clk5_phase_shift),
0, 0, 0, 0);
i_m_ph := counter_ph(get_phase_degree(max_neg_abs,inclk0_input_frequency), i_m, i_n);
i_c_ph(0) := counter_ph(get_phase_degree(ph_adjust(i_clk0_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(1) := counter_ph(get_phase_degree(ph_adjust(i_clk1_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(2) := counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(3) := counter_ph(get_phase_degree(ph_adjust(str2int(clk3_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(4) := counter_ph(get_phase_degree(ph_adjust(str2int(clk4_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(5) := counter_ph(get_phase_degree(ph_adjust(str2int(clk5_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_high(0) := counter_high(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_high(1) := counter_high(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_high(2) := counter_high(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_high(3) := counter_high(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_high(4) := counter_high(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_high(5) := counter_high(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_c_low(0) := counter_low(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_low(1) := counter_low(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_low(2) := counter_low(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_low(3) := counter_low(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_low(4) := counter_low(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_low(5) := counter_low(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_m_initial := counter_initial(get_phase_degree(max_neg_abs, inclk0_input_frequency), i_m,i_n);
i_c_initial(0) := counter_initial(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(1) := counter_initial(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(2) := counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(3) := counter_initial(get_phase_degree(ph_adjust(str2int(clk3_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(4) := counter_initial(get_phase_degree(ph_adjust(str2int(clk4_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(5) := counter_initial(get_phase_degree(ph_adjust(str2int(clk5_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_mode(0) := counter_mode(clk0_duty_cycle, output_counter_value(i_clk0_div_by, i_clk0_mult_by, i_m, i_n));
i_c_mode(1) := counter_mode(clk1_duty_cycle, output_counter_value(i_clk1_div_by, i_clk1_mult_by, i_m, i_n));
i_c_mode(2) := counter_mode(clk2_duty_cycle, output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n));
i_c_mode(3) := counter_mode(clk3_duty_cycle, output_counter_value(i_clk3_div_by, i_clk3_mult_by, i_m, i_n));
i_c_mode(4) := counter_mode(clk4_duty_cycle, output_counter_value(i_clk4_div_by, i_clk4_mult_by, i_m, i_n));
i_c_mode(5) := counter_mode(clk5_duty_cycle, output_counter_value(i_clk5_div_by, i_clk5_mult_by, i_m, i_n));
-- in external feedback mode, need to adjust M value to take
-- into consideration the external feedback counter value
if(operation_mode = "external_feedback") then
-- if there is a negative phase shift, m_initial can
-- only be 1
if (max_neg_abs > 0) then
i_m_initial := 1;
end if;
-- calculate the feedback counter multiplier
if (i_c_mode(fbk_cntr_index) = "bypass") then
output_count := 1;
else
output_count := i_c_high(fbk_cntr_index) + i_c_low(fbk_cntr_index);
end if;
new_divisor := gcd(i_m, output_count);
i_m := i_m / new_divisor;
i_n := output_count / new_divisor;
end if;
else -- m /= 0
i_n := n;
i_m := m;
i_m_initial := m_initial;
i_m_ph := m_ph;
i_c_ph(0) := c0_ph;
i_c_ph(1) := c1_ph;
i_c_ph(2) := c2_ph;
i_c_ph(3) := c3_ph;
i_c_ph(4) := c4_ph;
i_c_ph(5) := c5_ph;
i_c_high(0) := c0_high;
i_c_high(1) := c1_high;
i_c_high(2) := c2_high;
i_c_high(3) := c3_high;
i_c_high(4) := c4_high;
i_c_high(5) := c5_high;
i_c_low(0) := c0_low;
i_c_low(1) := c1_low;
i_c_low(2) := c2_low;
i_c_low(3) := c3_low;
i_c_low(4) := c4_low;
i_c_low(5) := c5_low;
i_c_initial(0) := c0_initial;
i_c_initial(1) := c1_initial;
i_c_initial(2) := c2_initial;
i_c_initial(3) := c3_initial;
i_c_initial(4) := c4_initial;
i_c_initial(5) := c5_initial;
i_c_mode(0) := translate_string(c0_mode);
i_c_mode(1) := translate_string(c1_mode);
i_c_mode(2) := translate_string(c2_mode);
i_c_mode(3) := translate_string(c3_mode);
i_c_mode(4) := translate_string(c4_mode);
i_c_mode(5) := translate_string(c5_mode);
end if; -- user to advanced conversion.
m_initial_val <= i_m_initial;
n_val(0) <= i_n;
m_val(0) <= i_m;
m_val(1) <= m2;
n_val(1) <= n2;
if (i_m = 1) then
m_mode_val(0) <= "bypass";
else
m_mode_val(0) <= " ";
end if;
if (m2 = 1) then
m_mode_val(1) <= "bypass";
end if;
if (i_n = 1) then
n_mode_val(0) <= "bypass";
end if;
if (n2 = 1) then
n_mode_val(1) <= "bypass";
end if;
m_ph_val <= i_m_ph;
m_ph_val_tmp := i_m_ph;
m_val_tmp := m_val;
for i in 0 to 5 loop
if (i_c_mode(i) = "bypass") then
if (pll_type = "fast" or pll_type = "lvds") then
i_c_high(i) := 16;
i_c_low(i) := 16;
else
i_c_high(i) := 256;
i_c_low(i) := 256;
end if;
end if;
c_ph_val(i) <= i_c_ph(i);
c_initial_val(i) <= i_c_initial(i);
c_high_val(i) <= i_c_high(i);
c_low_val(i) <= i_c_low(i);
c_mode_val(i) <= i_c_mode(i);
c_high_val_tmp(i) := i_c_high(i);
c_low_val_tmp(i) := i_c_low(i);
c_mode_val_tmp(i) := i_c_mode(i);
c_ph_val_tmp(i) := i_c_ph(i);
c_ph_val_orig(i) <= i_c_ph(i);
c_high_val_hold(i) <= i_c_high(i);
c_low_val_hold(i) <= i_c_low(i);
c_mode_val_hold(i) <= i_c_mode(i);
end loop;
lfc_val <= loop_filter_c;
lfr_val <= loop_filter_r;
cp_curr_val <= charge_pump_current;
if (pll_type = "fast") then
scan_chain_length := FAST_SCAN_CHAIN;
end if;
-- initialize the scan_chain contents
-- CP/LF bits
scan_data(11 downto 0) <= "000000000000";
for i in 0 to 3 loop
if (pll_type = "fast" or pll_type = "lvds") then
if (fpll_loop_filter_c_arr(i) = loop_filter_c) then
scan_data(11 downto 10) <= int2bin(i, 2);
end if;
else
if (loop_filter_c_arr(i) = loop_filter_c) then
scan_data(11 downto 10) <= int2bin(i, 2);
end if;
end if;
end loop;
for i in 0 to 15 loop
if (charge_pump_curr_arr(i) = charge_pump_current) then
scan_data(3 downto 0) <= int2bin(i, 4);
end if;
end loop;
for i in 0 to 39 loop
if (loop_filter_r_arr(i) = loop_filter_r) then
if (i >= 16 and i <= 23) then
scan_data(9 downto 4) <= int2bin((i+8), 6);
elsif (i >= 24 and i <= 31) then
scan_data(9 downto 4) <= int2bin((i+16), 6);
elsif (i >= 32) then
scan_data(9 downto 4) <= int2bin((i+24), 6);
else
scan_data(9 downto 4) <= int2bin(i, 6);
end if;
end if;
end loop;
if (pll_type = "fast" or pll_type = "lvds") then
scan_data(21 downto 12) <= "0000000000"; -- M, C3-C0 ph
-- C0-C3 high
scan_data(25 downto 22) <= int2bin(i_c_high(0), 4);
scan_data(35 downto 32) <= int2bin(i_c_high(1), 4);
scan_data(45 downto 42) <= int2bin(i_c_high(2), 4);
scan_data(55 downto 52) <= int2bin(i_c_high(3), 4);
-- C0-C3 low
scan_data(30 downto 27) <= int2bin(i_c_low(0), 4);
scan_data(40 downto 37) <= int2bin(i_c_low(1), 4);
scan_data(50 downto 47) <= int2bin(i_c_low(2), 4);
scan_data(60 downto 57) <= int2bin(i_c_low(3), 4);
-- C0-C3 mode
for i in 0 to 3 loop
if (i_c_mode(i) = " off" or i_c_mode(i) = "bypass") then
scan_data(26 + (10*i)) <= '1';
if (i_c_mode(i) = " off") then
scan_data(31 + (10*i)) <= '1';
else
scan_data(31 + (10*i)) <= '0';
end if;
else
scan_data(26 + (10*i)) <= '0';
if (i_c_mode(i) = " odd") then
scan_data(31 + (10*i)) <= '1';
else
scan_data(31 + (10*i)) <= '0';
end if;
end if;
end loop;
-- M
if (i_m = 1) then
scan_data(66) <= '1';
scan_data(71) <= '0';
scan_data(65 downto 62) <= "0000";
scan_data(70 downto 67) <= "0000";
else
scan_data(66) <= '0'; -- set BYPASS bit to 0
scan_data(70 downto 67) <= int2bin(i_m/2, 4); -- set M low
if (i_m rem 2 = 0) then
-- M is an even no. : set M high = low,
-- set odd/even bit to 0
scan_data(65 downto 62) <= int2bin(i_m/2, 4);
scan_data(71) <= '0';
else -- M is odd : M high = low + 1
scan_data(65 downto 62) <= int2bin((i_m/2) + 1, 4);
scan_data(71) <= '1';
end if;
end if;
-- N
scan_data(73 downto 72) <= int2bin(i_n, 2);
if (i_n = 1) then
scan_data(74) <= '1';
scan_data(73 downto 72) <= "00";
end if;
else -- PLL type is auto or enhanced
scan_data(25 downto 12) <= "00000000000000"; -- M, C5-C0 ph
-- C0-C5 high
scan_data(123 downto 116) <= int2bin(i_c_high(0), 8);
scan_data(105 downto 98) <= int2bin(i_c_high(1), 8);
scan_data(87 downto 80) <= int2bin(i_c_high(2), 8);
scan_data(69 downto 62) <= int2bin(i_c_high(3), 8);
scan_data(51 downto 44) <= int2bin(i_c_high(4), 8);
scan_data(33 downto 26) <= int2bin(i_c_high(5), 8);
-- C0-C5 low
scan_data(132 downto 125) <= int2bin(i_c_low(0), 8);
scan_data(114 downto 107) <= int2bin(i_c_low(1), 8);
scan_data(96 downto 89) <= int2bin(i_c_low(2), 8);
scan_data(78 downto 71) <= int2bin(i_c_low(3), 8);
scan_data(60 downto 53) <= int2bin(i_c_low(4), 8);
scan_data(42 downto 35) <= int2bin(i_c_low(5), 8);
-- C0-C5 mode
for i in 0 to 5 loop
if (i_c_mode(i) = " off" or i_c_mode(i) = "bypass") then
scan_data(124 - (18*i)) <= '1';
if (i_c_mode(i) = " off") then
scan_data(133 - (18*i)) <= '1';
else
scan_data(133 - (18*i)) <= '0';
end if;
else
scan_data(124 - (18*i)) <= '0';
if (i_c_mode(i) = " odd") then
scan_data(133 - (18*i)) <= '1';
else
scan_data(133 - (18*i)) <= '0';
end if;
end if;
end loop;
-- M/M2
scan_data(142 downto 134) <= int2bin(i_m, 9);
scan_data(143) <= '0';
scan_data(152 downto 144) <= int2bin(m2, 9);
scan_data(153) <= '0';
if (i_m = 1) then
scan_data(143) <= '1';
scan_data(142 downto 134) <= "000000000";
end if;
if (m2 = 1) then
scan_data(153) <= '1';
scan_data(152 downto 144) <= "000000000";
end if;
-- N/N2
scan_data(162 downto 154) <= int2bin(i_n, 9);
scan_data(172 downto 164) <= int2bin(n2, 9);
if (i_n = 1) then
scan_data(163) <= '1';
scan_data(162 downto 154) <= "000000000";
end if;
if (n2 = 1) then
scan_data(173) <= '1';
scan_data(172 downto 164) <= "000000000";
end if;
end if;
if (pll_type = "fast" or pll_type = "lvds") then
num_output_cntrs <= 4;
else
num_output_cntrs <= 6;
end if;
init := false;
elsif (scanwrite_enabled'event and scanwrite_enabled = '0') then
-- falling edge : deassert scandone
scandone_tmp <= transport '0' after (1.5 * scanclk_period);
c0_rising_edge_transfer_done := false;
c1_rising_edge_transfer_done := false;
c2_rising_edge_transfer_done := false;
c3_rising_edge_transfer_done := false;
c4_rising_edge_transfer_done := false;
c5_rising_edge_transfer_done := false;
elsif (scanwrite_enabled'event and scanwrite_enabled = '1') then
ASSERT false REPORT "PLL Reprogramming Initiated" severity note;
reconfig_err <= false;
-- make temporary copy of scan_data for processing
tmp_scan_data := scan_data;
-- save old values
lfc_old <= lfc_val;
lfr_old <= lfr_val;
cp_curr_old <= cp_curr_val;
-- CP
-- Bits 0-3 : all values are legal
cp_curr_val <= charge_pump_curr_arr(alt_conv_integer(scan_data(3 downto 0)));
-- LF Resistance : bits 4-9
-- values from 010000 - 010111, 100000 - 100111,
-- 110000 - 110111 are illegal
lfr_tmp := tmp_scan_data(9 downto 4);
lfr_int := alt_conv_integer(lfr_tmp);
if (((lfr_int >= 16) and (lfr_int <= 23)) or
((lfr_int >= 32) and (lfr_int <= 39)) or
((lfr_int >= 48) and (lfr_int <= 55))) then
reconfig_err <= true;
ASSERT false REPORT "Illegal bit settings for Loop Filter Resistance. Legal bit values range from 000000-001111, 011000-011111, 101000-101111 and 111000-111111. Reconfiguration may not work." severity warning;
else
if (lfr_int >= 56) then
lfr_int := lfr_int - 24;
elsif ((lfr_int >= 40) and (lfr_int <= 47)) then
lfr_int := lfr_int - 16;
elsif ((lfr_int >= 24) and (lfr_int <= 31)) then
lfr_int := lfr_int - 8;
end if;
lfr_val <= loop_filter_r_arr(lfr_int);
end if;
-- LF Capacitance : bits 10,11 : all values are legal
lfc_tmp := scan_data(11 downto 10);
if (pll_type = "fast" or pll_type = "lvds") then
lfc_val <= fpll_loop_filter_c_arr(alt_conv_integer(lfc_tmp));
else
lfc_val <= loop_filter_c_arr(alt_conv_integer(lfc_tmp));
end if;
-- cntrs c0-c5
-- save old values for display info.
m_val_old <= m_val;
n_val_old <= n_val;
m_mode_val_old <= m_mode_val;
n_mode_val_old <= n_mode_val;
m_ph_val_old <= m_ph_val;
c_high_val_old <= c_high_val;
c_low_val_old <= c_low_val;
c_ph_val_old <= c_ph_val;
c_mode_val_old <= c_mode_val;
-- first the M counter phase : bit order same for fast and GPP
if (scan_data(12) = '0') then
-- do nothing
elsif (scan_data(12) = '1' and scan_data(13) = '1') then
m_ph_val_tmp := m_ph_val_tmp + 1;
if (m_ph_val_tmp > 7) then
m_ph_val_tmp := 0;
end if;
elsif (scan_data(12) = '1' and scan_data(13) = '0') then
m_ph_val_tmp := m_ph_val_tmp - 1;
if (m_ph_val_tmp < 0) then
m_ph_val_tmp := 7;
end if;
else
reconfig_err <= true;
ASSERT false REPORT "Illegal values for M counter phase tap. Reconfiguration may not work." severity warning;
end if;
-- read the fast PLL bits
if (pll_type = "fast" or pll_type = "lvds") then
-- C3-C0 phase bits
for i in 3 downto 0 loop
start_bit := 14 + ((3-i)*2);
if (tmp_scan_data(start_bit) = '0') then
-- do nothing
elsif (tmp_scan_data(start_bit) = '1') then
if (tmp_scan_data(start_bit + 1) = '1') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) + 1;
if (c_ph_val_tmp(i) > 7) then
c_ph_val_tmp(i) := 0;
end if;
elsif (tmp_scan_data(start_bit + 1) = '0') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) - 1;
if (c_ph_val_tmp(i) < 0) then
c_ph_val_tmp(i) := 7;
end if;
end if;
end if;
end loop;
-- C0-C3 counter moduli
for i in 0 to 3 loop
start_bit := 22 + (i*10);
if (tmp_scan_data(start_bit + 4) = '1') then
c_mode_val_tmp(i) := "bypass";
if (tmp_scan_data(start_bit + 9) = '1') then
c_mode_val_tmp(i) := " off";
ASSERT false REPORT "The specified bit settings will turn OFF the " &cntrs(i)& "counter. It cannot be turned on unless the part is re-initialized." severity warning;
end if;
elsif (tmp_scan_data(start_bit + 9) = '1') then
c_mode_val_tmp(i) := " odd";
else
c_mode_val_tmp(i) := " even";
end if;
high_fast := tmp_scan_data(start_bit+3 downto start_bit);
low_fast := tmp_scan_data(start_bit+8 downto start_bit+5);
if (tmp_scan_data(start_bit+3 downto start_bit) = "0000") then
c_high_val_tmp(i) := 16;
else
c_high_val_tmp(i) := alt_conv_integer(high_fast);
end if;
if (tmp_scan_data(start_bit+8 downto start_bit+5) = "0000") then
c_low_val_tmp(i) := 16;
else
c_low_val_tmp(i) := alt_conv_integer(low_fast);
end if;
end loop;
sig_c_ph_val_tmp <= c_ph_val_tmp;
sig_c_low_val_tmp <= c_low_val_tmp;
-- M
-- some temporary storage
if (tmp_scan_data(65 downto 62) = "0000") then
m_hi := "10000";
else
m_hi := "0" & tmp_scan_data(65 downto 62);
end if;
if (tmp_scan_data(70 downto 67) = "0000") then
m_lo := "10000";
else
m_lo := "0" & tmp_scan_data(70 downto 67);
end if;
m_val_tmp(0) := alt_conv_integer(m_hi) + alt_conv_integer(m_lo);
if (tmp_scan_data(66) = '1') then
if (tmp_scan_data(71) = '1') then
-- this will turn off the M counter : error
reconfig_err <= true;
is_error := true;
ASSERT false REPORT "The specified bit settings will turn OFF the M counter. This is illegal. Reconfiguration may not work." severity warning;
else -- M counter is being bypassed
if (m_mode_val(0) /= "bypass") then
-- mode is switched : give warning
ASSERT false REPORT "M counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
m_val_tmp(0) := 1;
m_mode_val(0) <= "bypass";
end if;
else
if (m_mode_val(0) = "bypass") then
-- mode is switched : give warning
ASSERT false REPORT "M counter switched BYPASS mode to enabled. PLL may lose lock." severity warning;
end if;
m_mode_val(0) <= " ";
if (tmp_scan_data(71) = '1') then
-- odd : check for duty cycle, if not 50% -- error
if (alt_conv_integer(m_hi) - alt_conv_integer(m_lo) /= 1) then
reconfig_err <= true;
ASSERT FALSE REPORT "The M counter of the StratixII FAST PLL can be configured for 50% duty cycle only. In this case, the HIGH and LOW moduli programmed will result in a duty cycle other than 50%, which is illegal. Reconfiguration may not work." severity warning;
end if;
else -- even
if (alt_conv_integer(m_hi) /= alt_conv_integer(m_lo)) then
reconfig_err <= true;
ASSERT FALSE REPORT "The M counter of the StratixII FAST PLL can be configured for 50% duty cycle only. In this case, the HIGH and LOW moduli programmed will result in a duty cycle other than 50%, which is illegal. Reconfiguration may not work." severity warning;
end if;
end if;
end if;
-- N
is_error := false;
n_fast := tmp_scan_data(73 downto 72);
n_val(0) <= alt_conv_integer(n_fast);
if (tmp_scan_data(74) /= '1') then
if (alt_conv_integer(n_fast) = 1) then
is_error := true;
reconfig_err <= true;
-- cntr value is illegal : give warning
ASSERT false REPORT "Illegal 1 value for N counter. Instead the counter should be BYPASSED. Reconfiguration may not work." severity warning;
elsif (alt_conv_integer(n_fast) = 0) then
n_val(0) <= 4;
ASSERT FALSE REPORT "N Modulus = " &int2str(4)& " " severity note;
end if;
if (not is_error) then
if (n_mode_val(0) = "bypass") then
ASSERT false REPORT "N Counter switched from BYPASS mode to enabled (N modulus = " &int2str(alt_conv_integer(n_fast))& "). PLL may lose lock." severity warning;
else
ASSERT FALSE REPORT "N modulus = " &int2str(alt_conv_integer(n_fast))& " "severity note;
end if;
n_mode_val(0) <= " ";
end if;
elsif (tmp_scan_data(74) = '1') then
if (tmp_scan_data(72) /= '0') then
is_error := true;
reconfig_err <= true;
ASSERT false report "Illegal value for N counter in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work." severity warning;
else
if (n_mode_val(0) /= "bypass") then
ASSERT false REPORT "N Counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
n_val(0) <= 1;
n_mode_val(0) <= "bypass";
end if;
end if;
else -- GENERAL PURPOSE PLL
for i in 0 to 5 loop
start_bit := 116 - (i*18);
if (tmp_scan_data(start_bit + 8) = '1') then
c_mode_val_tmp(i) := "bypass";
if (tmp_scan_data(start_bit + 17) = '1') then
c_mode_val_tmp(i) := " off";
ASSERT false REPORT "The specified bit settings will turn OFF the " &cntrs(i)& "counter. It cannot be turned on unless the part is re-initialized." severity warning;
end if;
elsif (tmp_scan_data(start_bit + 17) = '1') then
c_mode_val_tmp(i) := " odd";
else
c_mode_val_tmp(i) := " even";
end if;
high := tmp_scan_data(start_bit + 7 downto start_bit);
low := tmp_scan_data(start_bit+16 downto start_bit+9);
if (tmp_scan_data(start_bit+7 downto start_bit) = "00000000") then
c_high_val_tmp(i) := 256;
else
c_high_val_tmp(i) := alt_conv_integer(high);
end if;
if (tmp_scan_data(start_bit+16 downto start_bit+9) = "00000000") then
c_low_val_tmp(i) := 256;
else
c_low_val_tmp(i) := alt_conv_integer(low);
end if;
end loop;
-- the phase taps
for i in 0 to 5 loop
start_bit := 14 + (i*2);
if (tmp_scan_data(start_bit) = '0') then
-- do nothing
elsif (tmp_scan_data(start_bit) = '1') then
if (tmp_scan_data(start_bit + 1) = '1') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) + 1;
if (c_ph_val_tmp(i) > 7) then
c_ph_val_tmp(i) := 0;
end if;
elsif (tmp_scan_data(start_bit + 1) = '0') then
c_ph_val_tmp(i) := c_ph_val_tmp(i) - 1;
if (c_ph_val_tmp(i) < 0) then
c_ph_val_tmp(i) := 7;
end if;
end if;
end if;
end loop;
sig_c_ph_val_tmp <= c_ph_val_tmp;
sig_c_low_val_tmp <= c_low_val_tmp;
-- cntrs M/M2
for i in 0 to 1 loop
start_bit := 134 + (i*10);
if ( i = 0 or (i = 1 and ss > 0) ) then
is_error := false;
m_tmp := tmp_scan_data(start_bit+8 downto start_bit);
m_val_tmp(i) := alt_conv_integer(m_tmp);
if (tmp_scan_data(start_bit+9) /= '1') then
if (alt_conv_integer(m_tmp) = 1) then
is_error := true;
reconfig_err <= true;
-- cntr value is illegal : give warning
ASSERT false REPORT "Illegal 1 value for " &ss_cntrs(i)& "counter. Instead " &ss_cntrs(i)& "should be BYPASSED. Reconfiguration may not work." severity warning;
elsif (tmp_scan_data(start_bit+8 downto start_bit) = "000000000") then
m_val_tmp(i) := 512;
end if;
if (not is_error) then
if (m_mode_val(i) = "bypass") then
-- Mode is switched : give warning
ASSERT false REPORT "M Counter switched from BYPASS mode to enabled (M modulus = " &int2str(alt_conv_integer(m_tmp))& "). PLL may lose lock." severity warning;
else
end if;
m_mode_val(i) <= " ";
end if;
elsif (tmp_scan_data(start_bit+9) = '1') then
if (tmp_scan_data(start_bit) /= '0') then
is_error := true;
reconfig_err <= true;
ASSERT false report "Illegal value for counter " &ss_cntrs(i)& "in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work." severity warning;
else
if (m_mode_val(i) /= "bypass") then
-- Mode is switched : give warning
ASSERT false REPORT "M Counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
m_val_tmp(i) := 1;
m_mode_val(i) <= "bypass";
end if;
end if;
end if;
end loop;
if (ss > 0) then
if (m_mode_val(0) /= m_mode_val(1)) then
reconfig_err <= true;
is_error := true;
ASSERT false REPORT "Incompatible modes for M/M2 counters. Either both should be BYPASSED or both NON-BYPASSED. Reconfiguration may not work." severity warning;
end if;
end if;
-- cntrs N/N2
for i in 0 to 1 loop
start_bit := 154 + i*10;
if ( i = 0 or (i = 1 and ss > 0) ) then
is_error := false;
n_tmp := tmp_scan_data(start_bit+8 downto start_bit);
n_val(i) <= alt_conv_integer(n_tmp);
if (tmp_scan_data(start_bit+9) /= '1') then
if (alt_conv_integer(n_tmp) = 1) then
is_error := true;
reconfig_err <= true;
-- cntr value is illegal : give warning
ASSERT false REPORT "Illegal 1 value for " &ss_cntrs(2+i)& "counter. Instead " &ss_cntrs(2+i)& "should be BYPASSED. Reconfiguration may not work." severity warning;
elsif (alt_conv_integer(n_tmp) = 0) then
n_val(i) <= 512;
end if;
if (not is_error) then
if (n_mode_val(i) = "bypass") then
ASSERT false REPORT "N Counter switched from BYPASS mode to enabled (N modulus = " &int2str(alt_conv_integer(n_tmp))& "). PLL may lose lock." severity warning;
else
end if;
n_mode_val(i) <= " ";
end if;
elsif (tmp_scan_data(start_bit+9) = '1') then
if (tmp_scan_data(start_bit) /= '0') then
is_error := true;
reconfig_err <= true;
ASSERT false report "Illegal value for counter " &ss_cntrs(2+i)& "in BYPASS mode. The LSB of the counter should be set to 0 in order to operate the counter in BYPASS mode. Reconfiguration may not work." severity warning;
else
if (n_mode_val(i) /= "bypass") then
ASSERT false REPORT "N Counter switched from enabled to BYPASS mode. PLL may lose lock." severity warning;
end if;
n_val(i) <= 1;
n_mode_val(i) <= "bypass";
end if;
end if;
end if;
end loop;
if (ss > 0) then
if (n_mode_val(0) /= n_mode_val(1)) then
reconfig_err <= true;
is_error := true;
ASSERT false REPORT "Incompatible modes for N/N2 counters. Either both should be BYPASSED or both NON-BYPASSED. Reconfiguration may not work." severity warning;
end if;
end if;
end if;
slowest_clk_old := slowest_clk(c_high_val(0)+c_low_val(0), c_mode_val(0),
c_high_val(1)+c_low_val(1), c_mode_val(1),
c_high_val(2)+c_low_val(2), c_mode_val(2),
c_high_val(3)+c_low_val(3), c_mode_val(3),
c_high_val(4)+c_low_val(4), c_mode_val(4),
c_high_val(5)+c_low_val(5), c_mode_val(5),
sig_refclk_period, m_val(0));
slowest_clk_new := slowest_clk(c_high_val(0)+c_low_val(0), c_mode_val(0),
c_high_val_tmp(1)+c_low_val(1), c_mode_val_tmp(1),
c_high_val_tmp(2)+c_low_val(2), c_mode_val_tmp(2),
c_high_val_tmp(3)+c_low_val(3), c_mode_val_tmp(3),
c_high_val_tmp(4)+c_low_val(4), c_mode_val_tmp(4),
c_high_val_tmp(5)+c_low_val(5), c_mode_val_tmp(5),
sig_refclk_period, m_val(0));
if (slowest_clk_new > slowest_clk_old) then
quiet_time := slowest_clk_new;
else
quiet_time := slowest_clk_old;
end if;
tmp_rem := (quiet_time/1 ps) rem (scanclk_period/ 1 ps);
scanclk_cycles := (quiet_time/1 ps) / (scanclk_period/1 ps);
if (tmp_rem /= 0) then
scanclk_cycles := scanclk_cycles + 1;
end if;
scandone_tmp <= transport '1' after ((scanclk_cycles+1)*scanclk_period - (scanclk_period/2));
end if;
if (scanwrite_enabled = '1') then
if (fbclk'event and fbclk = '1') then
m_val <= m_val_tmp;
end if;
if (c_clk(0)'event and c_clk(0) = '1') then
c_high_val_hold(0) <= c_high_val_tmp(0);
c_mode_val_hold(0) <= c_mode_val_tmp(0);
c0_rising_edge_transfer_done := true;
c_high_val(0) <= c_high_val_hold(0);
c_mode_val(0) <= c_mode_val_hold(0);
end if;
if (c_clk(1)'event and c_clk(1) = '1') then
c_high_val_hold(1) <= c_high_val_tmp(1);
c_mode_val_hold(1) <= c_mode_val_tmp(1);
c1_rising_edge_transfer_done := true;
c_high_val(1) <= c_high_val_hold(1);
c_mode_val(1) <= c_mode_val_hold(1);
end if;
if (c_clk(2)'event and c_clk(2) = '1') then
c_high_val_hold(2) <= c_high_val_tmp(2);
c_mode_val_hold(2) <= c_mode_val_tmp(2);
c2_rising_edge_transfer_done := true;
c_high_val(2) <= c_high_val_hold(2);
c_mode_val(2) <= c_mode_val_hold(2);
end if;
if (c_clk(3)'event and c_clk(3) = '1') then
c_high_val_hold(3) <= c_high_val_tmp(3);
c_mode_val_hold(3) <= c_mode_val_tmp(3);
c_high_val(3) <= c_high_val_hold(3);
c_mode_val(3) <= c_mode_val_hold(3);
c3_rising_edge_transfer_done := true;
end if;
if (c_clk(4)'event and c_clk(4) = '1') then
c_high_val_hold(4) <= c_high_val_tmp(4);
c_mode_val_hold(4) <= c_mode_val_tmp(4);
c_high_val(4) <= c_high_val_hold(4);
c_mode_val(4) <= c_mode_val_hold(4);
c4_rising_edge_transfer_done := true;
end if;
if (c_clk(5)'event and c_clk(5) = '1') then
c_high_val_hold(5) <= c_high_val_tmp(5);
c_mode_val_hold(5) <= c_mode_val_tmp(5);
c_high_val(5) <= c_high_val_hold(5);
c_mode_val(5) <= c_mode_val_hold(5);
c5_rising_edge_transfer_done := true;
end if;
end if;
if (c_clk(0)'event and c_clk(0) = '0' and c0_rising_edge_transfer_done) then
c_low_val_hold(0) <= c_low_val_tmp(0);
c_low_val(0) <= c_low_val_hold(0);
end if;
if (c_clk(1)'event and c_clk(1) = '0' and c1_rising_edge_transfer_done) then
c_low_val_hold(1) <= c_low_val_tmp(1);
c_low_val(1) <= c_low_val_hold(1);
end if;
if (c_clk(2)'event and c_clk(2) = '0' and c2_rising_edge_transfer_done) then
c_low_val_hold(2) <= c_low_val_tmp(2);
c_low_val(2) <= c_low_val_hold(2);
end if;
if (c_clk(3)'event and c_clk(3) = '0' and c3_rising_edge_transfer_done) then
c_low_val_hold(3) <= c_low_val_tmp(3);
c_low_val(3) <= c_low_val_hold(3);
end if;
if (c_clk(4)'event and c_clk(4) = '0' and c4_rising_edge_transfer_done) then
c_low_val_hold(4) <= c_low_val_tmp(4);
c_low_val(4) <= c_low_val_hold(4);
end if;
if (c_clk(5)'event and c_clk(5) = '0' and c5_rising_edge_transfer_done) then
c_low_val_hold(5) <= c_low_val_tmp(5);
c_low_val(5) <= c_low_val_hold(5);
end if;
if (scanwrite_enabled = '1') then
if (vco_out(0)'event and vco_out(0) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 0) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 0) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(1)'event and vco_out(1) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 1) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 1) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(2)'event and vco_out(2) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 2) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 2) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(3)'event and vco_out(3) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 3) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 3) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(4)'event and vco_out(4) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 4) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 4) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(5)'event and vco_out(5) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 5) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 5) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(6)'event and vco_out(6) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 6) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 6) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(7)'event and vco_out(7) = '0') then
for i in 0 to 5 loop
if (c_ph_val(i) = 7) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 7) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
end if;
-- revert counter phase tap values to POF programmed values
-- if PLL is reset
if (areset_ipd = '1') then
c_ph_val <= i_c_ph;
c_ph_val_tmp := i_c_ph;
m_ph_val <= i_m_ph;
m_ph_val_tmp := i_m_ph;
end if;
if (vco_out(0)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 0) then
inclk_c_from_vco(i) <= vco_out(0);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(0);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(0);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(0);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(0);
end if;
end if;
end loop;
if (m_ph_val = 0) then
inclk_m_from_vco <= vco_out(0);
end if;
end if;
if (vco_out(1)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 1) then
inclk_c_from_vco(i) <= vco_out(1);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(1);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(1);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(1);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(1);
end if;
end if;
end loop;
if (m_ph_val = 1) then
inclk_m_from_vco <= vco_out(1);
end if;
end if;
if (vco_out(2)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 2) then
inclk_c_from_vco(i) <= vco_out(2);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(2);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(2);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(2);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(2);
end if;
end if;
end loop;
if (m_ph_val = 2) then
inclk_m_from_vco <= vco_out(2);
end if;
end if;
if (vco_out(3)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 3) then
inclk_c_from_vco(i) <= vco_out(3);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(3);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(3);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(3);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(3);
end if;
end if;
end loop;
if (m_ph_val = 3) then
inclk_m_from_vco <= vco_out(3);
end if;
end if;
if (vco_out(4)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 4) then
inclk_c_from_vco(i) <= vco_out(4);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(4);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(4);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(4);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(4);
end if;
end if;
end loop;
if (m_ph_val = 4) then
inclk_m_from_vco <= vco_out(4);
end if;
end if;
if (vco_out(5)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 5) then
inclk_c_from_vco(i) <= vco_out(5);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(5);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(5);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(5);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(5);
end if;
end if;
end loop;
if (m_ph_val = 5) then
inclk_m_from_vco <= vco_out(5);
end if;
end if;
if (vco_out(6)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 6) then
inclk_c_from_vco(i) <= vco_out(6);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(6);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(6);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(6);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(6);
end if;
end if;
end loop;
if (m_ph_val = 6) then
inclk_m_from_vco <= vco_out(6);
end if;
end if;
if (vco_out(7)'event) then
for i in 0 to 5 loop
if (c_ph_val(i) = 7) then
inclk_c_from_vco(i) <= vco_out(7);
if (i = 0 and enable0_counter = "c0") then
inclk_sclkout0_from_vco <= vco_out(7);
end if;
if (i = 0 and enable1_counter = "c0") then
inclk_sclkout1_from_vco <= vco_out(7);
end if;
if (i = 1 and enable0_counter = "c1") then
inclk_sclkout0_from_vco <= vco_out(7);
end if;
if (i = 1 and enable1_counter = "c1") then
inclk_sclkout1_from_vco <= vco_out(7);
end if;
end if;
end loop;
if (m_ph_val = 7) then
inclk_m_from_vco <= vco_out(7);
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_scandata_scanclk,
TimingData => TimingData_scandata_scanclk,
TestSignal => scandata_ipd,
TestSignalName => "scandata",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scandata_scanclk_noedge_posedge,
SetupLow => tsetup_scandata_scanclk_noedge_posedge,
HoldHigh => thold_scandata_scanclk_noedge_posedge,
HoldLow => thold_scandata_scanclk_noedge_posedge,
-- CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_scanread_scanclk,
TimingData => TimingData_scanread_scanclk,
TestSignal => scanread_ipd,
TestSignalName => "scanread",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scanread_scanclk_noedge_posedge,
SetupLow => tsetup_scanread_scanclk_noedge_posedge,
HoldHigh => thold_scanread_scanclk_noedge_posedge,
HoldLow => thold_scanread_scanclk_noedge_posedge,
-- CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_scanwrite_scanclk,
TimingData => TimingData_scanwrite_scanclk,
TestSignal => scanwrite_ipd,
TestSignalName => "scanwrite",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scanwrite_scanclk_noedge_posedge,
SetupLow => tsetup_scanwrite_scanclk_noedge_posedge,
HoldHigh => thold_scanwrite_scanclk_noedge_posedge,
HoldLow => thold_scanwrite_scanclk_noedge_posedge,
-- CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (scanclk_ipd'event and scanclk_ipd = '0') then
-- enable scanwrite on falling edge
scanwrite_enabled <= scanwrite_reg;
end if;
if (scanread_reg = '1') then
gated_scanclk <= transport scanclk_ipd and scanread_reg;
else
gated_scanclk <= transport '1';
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
-- register scanread and scanwrite
scanread_reg <= scanread_ipd;
scanwrite_reg <= scanwrite_ipd;
if (got_first_scanclk) then
scanclk_period := now - scanclk_last_rising_edge;
else
got_first_scanclk := true;
end if;
-- reset got_first_scanclk on falling edge of scanread_reg
if (scanread_ipd = '0' and scanread_reg = '1') then
got_first_scanclk := false;
got_first_gated_scanclk := false;
end if;
scanclk_last_rising_edge := now;
end if;
if (gated_scanclk'event and gated_scanclk = '1' and now > 0 ps) then
if (not got_first_gated_scanclk) then
got_first_gated_scanclk := true;
end if;
for j in scan_chain_length - 1 downto 1 loop
scan_data(j) <= scan_data(j-1);
end loop;
scan_data(0) <= scandata_ipd;
end if;
end process;
scandataout_tmp <= scan_data(FAST_SCAN_CHAIN-1) when (pll_type = "fast" or pll_type = "lvds") else scan_data(GPP_SCAN_CHAIN-1);
process (schedule_vco, areset_ipd, ena_ipd, pfdena_ipd, refclk, fbclk)
variable sched_time : time := 0 ps;
TYPE time_array is ARRAY (0 to 7) of time;
variable init : boolean := true;
variable refclk_period : time;
variable m_times_vco_period : time;
variable new_m_times_vco_period : time;
variable phase_shift : time_array := (OTHERS => 0 ps);
variable last_phase_shift : time_array := (OTHERS => 0 ps);
variable l_index : integer := 1;
variable cycle_to_adjust : integer := 0;
variable stop_vco : boolean := false;
variable locked_tmp : std_logic := '0';
variable pll_is_locked : boolean := false;
variable pll_about_to_lock : boolean := false;
variable cycles_to_lock : integer := 0;
variable cycles_to_unlock : integer := 0;
variable got_first_refclk : boolean := false;
variable got_second_refclk : boolean := false;
variable got_first_fbclk : boolean := false;
variable refclk_time : time := 0 ps;
variable fbclk_time : time := 0 ps;
variable first_fbclk_time : time := 0 ps;
variable fbclk_period : time := 0 ps;
variable first_schedule : boolean := true;
variable vco_val : std_logic := '0';
variable vco_period_was_phase_adjusted : boolean := false;
variable phase_adjust_was_scheduled : boolean := false;
variable loop_xplier : integer;
variable loop_initial : integer := 0;
variable loop_ph : integer := 0;
variable loop_time_delay : integer := 0;
variable initial_delay : time := 0 ps;
variable vco_per : time;
variable tmp_rem : integer;
variable my_rem : integer;
variable fbk_phase : integer := 0;
variable pull_back_M : integer := 0;
variable total_pull_back : integer := 0;
variable fbk_delay : integer := 0;
variable offset : time := 0 ps;
variable tmp_vco_per : integer := 0;
variable high_time : time;
variable low_time : time;
variable got_refclk_posedge : boolean := false;
variable got_fbclk_posedge : boolean := false;
variable inclk_out_of_range : boolean := false;
variable no_warn : boolean := false;
variable ext_fbk_cntr_modulus : integer := 1;
variable init_clks : boolean := true;
variable pll_is_in_reset : boolean := false;
begin
if (init) then
-- jump-start the VCO
-- add 1 ps delay to ensure all signals are updated to initial
-- values
schedule_vco <= transport not schedule_vco after 1 ps;
init := false;
end if;
if (schedule_vco'event) then
if (init_clks) then
refclk_period := inclk0_input_frequency * n_val(0) * 1 ps;
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
init_clks := false;
end if;
sched_time := 0 ps;
for i in 0 to 7 loop
last_phase_shift(i) := phase_shift(i);
end loop;
cycle_to_adjust := 0;
l_index := 1;
m_times_vco_period := new_m_times_vco_period;
end if;
-- areset was asserted
if (areset_ipd'event and areset_ipd = '1') then
assert false report "PLL was reset" severity note;
-- reset lock parameters
locked_tmp := '0';
pll_is_locked := false;
pll_about_to_lock := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
end if;
-- ena was deasserted
if (ena_ipd'event and ena_ipd = '0') then
assert false report "PLL was disabled" severity note;
end if;
if (schedule_vco'event and (areset_ipd = '1' or ena_ipd = '0' or stop_vco)) then
if (areset_ipd = '1') then
pll_is_in_reset := true;
end if;
-- drop VCO taps to 0
for i in 0 to 7 loop
vco_out(i) <= transport '0' after last_phase_shift(i);
phase_shift(i) := 0 ps;
last_phase_shift(i) := 0 ps;
end loop;
-- reset lock parameters
locked_tmp := '0';
pll_is_locked := false;
pll_about_to_lock := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
got_first_refclk := false;
got_second_refclk := false;
refclk_time := 0 ps;
got_first_fbclk := false;
fbclk_time := 0 ps;
first_fbclk_time := 0 ps;
fbclk_period := 0 ps;
first_schedule := true;
vco_val := '0';
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
elsif ((schedule_vco'event or ena_ipd'event or areset_ipd'event) and areset_ipd = '0' and ena_ipd = '1' and (not stop_vco) and now > 0 ps) then
-- note areset deassert time
-- note it as refclk_time to prevent false triggering
-- of stop_vco after areset
if (areset_ipd'event and areset_ipd = '0' and pll_is_in_reset) then
refclk_time := now;
pll_is_in_reset := false;
end if;
-- calculate loop_xplier : this will be different from m_val
-- in external_feedback_mode
loop_xplier := m_val(0);
loop_initial := m_initial_val - 1;
loop_ph := m_ph_val;
if (operation_mode = "external_feedback") then
if (ext_fbk_cntr_mode = "bypass") then
ext_fbk_cntr_modulus := 1;
else
ext_fbk_cntr_modulus := ext_fbk_cntr_high + ext_fbk_cntr_low;
end if;
loop_xplier := m_val(0) * (ext_fbk_cntr_modulus);
loop_ph := ext_fbk_cntr_ph;
loop_initial := ext_fbk_cntr_initial - 1 + ((m_initial_val - 1) * ext_fbk_cntr_modulus);
end if;
-- convert initial value to delay
initial_delay := (loop_initial * m_times_vco_period)/loop_xplier;
-- convert loop ph_tap to delay
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
tmp_vco_per := (m_times_vco_period/1 ps) / loop_xplier;
if (my_rem /= 0) then
tmp_vco_per := tmp_vco_per + 1;
end if;
fbk_phase := (loop_ph * tmp_vco_per)/8;
if (operation_mode = "external_feedback") then
pull_back_M := (m_initial_val - 1) * ext_fbk_cntr_modulus * ((refclk_period/loop_xplier)/1 ps);
while (pull_back_M > refclk_period/1 ps) loop
pull_back_M := pull_back_M - refclk_period/ 1 ps;
end loop;
else
pull_back_M := initial_delay/1 ps + fbk_phase;
end if;
total_pull_back := pull_back_M;
if (simulation_type = "timing") then
total_pull_back := total_pull_back + pll_compensation_delay;
end if;
while (total_pull_back > refclk_period/1 ps) loop
total_pull_back := total_pull_back - refclk_period/1 ps;
end loop;
if (total_pull_back > 0) then
offset := refclk_period - (total_pull_back * 1 ps);
end if;
if (operation_mode = "external_feedback") then
fbk_delay := pull_back_M;
if (simulation_type = "timing") then
fbk_delay := fbk_delay + pll_compensation_delay;
end if;
else
fbk_delay := total_pull_back - fbk_phase;
if (fbk_delay < 0) then
offset := offset - (fbk_phase * 1 ps);
fbk_delay := total_pull_back;
end if;
end if;
-- assign m_delay
m_delay <= transport fbk_delay after 1 ps;
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
for i in 1 to loop_xplier loop
-- adjust cycles
tmp_vco_per := (m_times_vco_period/1 ps)/loop_xplier;
if (my_rem /= 0 and l_index <= my_rem) then
tmp_rem := (loop_xplier * l_index) rem my_rem;
cycle_to_adjust := (loop_xplier * l_index) / my_rem;
if (tmp_rem /= 0) then
cycle_to_adjust := cycle_to_adjust + 1;
end if;
end if;
if (cycle_to_adjust = i) then
tmp_vco_per := tmp_vco_per + 1;
l_index := l_index + 1;
end if;
-- calculate high and low periods
vco_per := tmp_vco_per * 1 ps;
high_time := (tmp_vco_per/2) * 1 ps;
if (tmp_vco_per rem 2 /= 0) then
high_time := high_time + 1 ps;
end if;
low_time := vco_per - high_time;
-- schedule the rising and falling edges
for j in 1 to 2 loop
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
if (first_schedule) then
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
else
vco_out(k) <= transport vco_val after (sched_time + last_phase_shift(k));
end if;
end loop;
end loop;
end loop;
-- schedule once more
if (first_schedule) then
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
end loop;
first_schedule := false;
end if;
schedule_vco <= transport not schedule_vco after sched_time;
if (vco_period_was_phase_adjusted) then
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := true;
vco_per := m_times_vco_period/loop_xplier;
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
end loop;
end if;
end if;
if (refclk'event and refclk = '1' and areset_ipd = '0') then
got_refclk_posedge := true;
if (not got_first_refclk) then
got_first_refclk := true;
else
got_second_refclk := true;
refclk_period := now - refclk_time;
-- check if incoming freq. will cause VCO range to be
-- exceeded
if ( (vco_max /= 0 and vco_min /= 0 and pfdena_ipd = '1') and
(((refclk_period/1 ps)/loop_xplier > vco_max) or
((refclk_period/1 ps)/loop_xplier < vco_min)) ) then
if (pll_is_locked) then
assert false report " Input clock freq. is not within VCO range : PLL may lose lock" severity warning;
if (inclk_out_of_range) then
pll_is_locked := false;
locked_tmp := '0';
pll_about_to_lock := false;
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report "Stratixii PLL lost lock." severity note;
end if;
elsif (not no_warn) then
assert false report " Input clock freq. is not within VCO range : PLL may not lock. Please use the correct frequency." severity warning;
no_warn := true;
end if;
inclk_out_of_range := true;
else
inclk_out_of_range := false;
end if;
end if;
if (stop_vco) then
stop_vco := false;
schedule_vco <= not schedule_vco;
end if;
refclk_time := now;
else
got_refclk_posedge := false;
end if;
if (fbclk'event and fbclk = '1') then
got_fbclk_posedge := true;
if (not got_first_fbclk) then
got_first_fbclk := true;
else
fbclk_period := now - fbclk_time;
end if;
-- need refclk_period here, so initialized to proper value above
if ( ( (now - refclk_time > 1.5 * refclk_period) and pfdena_ipd = '1' and pll_is_locked) or ( (now - refclk_time > 5 * refclk_period) and pfdena_ipd = '1') ) then
stop_vco := true;
-- reset
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
if (pll_is_locked) then
pll_is_locked := false;
locked_tmp := '0';
assert false report "PLL lost lock due to loss of input clock" severity note;
end if;
pll_about_to_lock := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
first_schedule := true;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
end if;
fbclk_time := now;
else
got_fbclk_posedge := false;
end if;
if ((got_refclk_posedge or got_fbclk_posedge) and got_second_refclk and pfdena_ipd = '1' and (not inclk_out_of_range)) then
-- now we know actual incoming period
if ( abs(fbclk_time - refclk_time) <= 5 ps or
(got_first_fbclk and abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5 ps)) then
-- considered in phase
if (cycles_to_lock = valid_lock_multiplier - 1) then
pll_about_to_lock := true;
end if;
if (cycles_to_lock = valid_lock_multiplier) then
if (not pll_is_locked) then
assert false report "PLL locked to incoming clock" severity note;
end if;
pll_is_locked := true;
locked_tmp := '1';
cycles_to_unlock := 0;
end if;
-- increment lock counter only if second part of above
-- time check is NOT true
if (not(abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5 ps)) then
cycles_to_lock := cycles_to_lock + 1;
end if;
-- adjust m_times_vco_period
new_m_times_vco_period := refclk_period;
else
-- if locked, begin unlock
if (pll_is_locked) then
cycles_to_unlock := cycles_to_unlock + 1;
if (cycles_to_unlock = invalid_lock_multiplier) then
pll_is_locked := false;
locked_tmp := '0';
pll_about_to_lock := false;
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report "PLL lost lock." severity note;
end if;
end if;
if ( abs(refclk_period - fbclk_period) <= 2 ps ) then
-- frequency is still good
if (now = fbclk_time and (not phase_adjust_was_scheduled)) then
if ( abs(fbclk_time - refclk_time) > refclk_period/2) then
new_m_times_vco_period := m_times_vco_period + (refclk_period - abs(fbclk_time - refclk_time));
vco_period_was_phase_adjusted := true;
else
new_m_times_vco_period := m_times_vco_period - abs(fbclk_time - refclk_time);
vco_period_was_phase_adjusted := true;
end if;
end if;
else
phase_adjust_was_scheduled := false;
new_m_times_vco_period := refclk_period;
end if;
end if;
end if;
if (pfdena_ipd = '0') then
if (pll_is_locked) then
locked_tmp := 'X';
end if;
pll_is_locked := false;
cycles_to_lock := 0;
end if;
-- give message only at time of deassertion
if (pfdena_ipd'event and pfdena_ipd = '0') then
assert false report "PFDENA deasserted." severity note;
elsif (pfdena_ipd'event and pfdena_ipd = '1') then
got_first_refclk := false;
got_second_refclk := false;
refclk_time := now;
end if;
if (reconfig_err) then
lock <= '0';
else
lock <= locked_tmp;
end if;
about_to_lock <= pll_about_to_lock after 1 ps;
-- signal to calculate quiet_time
sig_refclk_period <= refclk_period;
if (stop_vco = true) then
sig_stop_vco <= '1';
else
sig_stop_vco <= '0';
end if;
end process;
clk0_tmp <= c_clk(i_clk0_counter);
-- clk0_tmp <= c0_clk when i_clk0_counter = "c0" else
-- c_clk(1) when i_clk0_counter = "c1" else
-- c2_clk when i_clk0_counter = "c2" else
-- c3_clk when i_clk0_counter = "c3" else
-- c4_clk when i_clk0_counter = "c4" else
-- c5_clk when i_clk0_counter = "c5" else
-- '0';
clk(0) <= clk0_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk1_tmp <= c_clk(i_clk1_counter);
-- clk1_tmp <= c_clk(0) when i_clk1_counter = "c0" else
-- c_clk(1) when i_clk1_counter = "c1" else
-- c2_clk when i_clk1_counter = "c2" else
-- c3_clk when i_clk1_counter = "c3" else
-- c4_clk when i_clk1_counter = "c4" else
-- c5_clk when i_clk1_counter = "c5" else
-- '0';
clk(1) <= clk1_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk2_tmp <= c_clk(i_clk2_counter);
-- clk2_tmp <= c_clk(0) when i_clk2_counter = "c0" else
-- c_clk(1) when i_clk2_counter = "c1" else
-- c2_clk when i_clk2_counter = "c2" else
-- c3_clk when i_clk2_counter = "c3" else
-- c4_clk when i_clk2_counter = "c4" else
-- c5_clk when i_clk2_counter = "c5" else
-- '0';
clk(2) <= clk2_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk3_tmp <= c_clk(i_clk3_counter);
-- clk3_tmp <= c_clk(0) when i_clk3_counter = "c0" else
-- c_clk(1) when i_clk3_counter = "c1" else
-- c2_clk when i_clk3_counter = "c2" else
-- c3_clk when i_clk3_counter = "c3" else
-- c4_clk when i_clk3_counter = "c4" else
-- c5_clk when i_clk3_counter = "c5" else
-- '0';
clk(3) <= clk3_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk4_tmp <= c_clk(i_clk4_counter);
-- clk4_tmp <= c_clk(0) when i_clk4_counter = "c0" else
-- c_clk(1) when i_clk4_counter = "c1" else
-- c2_clk when i_clk4_counter = "c2" else
-- c3_clk when i_clk4_counter = "c3" else
-- c4_clk when i_clk4_counter = "c4" else
-- c5_clk when i_clk4_counter = "c5" else
-- '0';
clk(4) <= clk4_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
clk5_tmp <= c_clk(i_clk5_counter);
-- clk5_tmp <= c_clk(0) when i_clk5_counter = "c0" else
-- c_clk(1) when i_clk5_counter = "c1" else
-- c2_clk when i_clk5_counter = "c2" else
-- c3_clk when i_clk5_counter = "c3" else
-- c4_clk when i_clk5_counter = "c4" else
-- c5_clk when i_clk5_counter = "c5" else
-- '0';
clk(5) <= clk5_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
sclkout(0) <= sclkout0_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
sclkout(1) <= sclkout1_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
enable0 <= enable0_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
enable1 <= enable1_tmp when (areset_ipd = '1' or ena_ipd = '0' or pll_in_test_mode) or (about_to_lock and (not reconfig_err)) else
'X';
scandataout <= scandataout_tmp;
scandone <= scandone_tmp;
end vital_pll;
-- END ARCHITECTURE VITAL_PLL
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixii_mac_bit_register
--
-- Description : a single bit register. This is used for registering all
-- single bit input ports.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_bit_register IS
GENERIC (
power_up : std_logic := '0';
tipd_data : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst);
PORT (
data : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic := '0'
);
END stratixii_mac_bit_register;
ARCHITECTURE arch OF stratixii_mac_bit_register IS
SIGNAL data_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '1';
SIGNAL dataout_reg : std_logic := '0';
SIGNAL viol_notifier : std_logic := '0';
SIGNAL data_dly : std_logic := '0';
BEGIN
WireDelay : block
begin
VitalWireDelay (data_ipd, data, tipd_data);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
clk_delay: process (data_ipd)
begin
data_dly <= data_ipd;
end process;
PROCESS (data_dly, clk_ipd, aclr_ipd, ena_ipd, async)
variable dataout_reg : STD_LOGIC := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable Tviol_clk_ena : STD_ULOGIC := '0';
variable Tviol_data_clk : STD_ULOGIC := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_ena : STD_ULOGIC := '0';
variable PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
BEGIN
if(async = '1') then
dataout_reg := data_dly;
else
if (if_aclr = '1') then
IF (aclr_ipd = '1') THEN
dataout_reg := '0';
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg := data_dly;
ELSE
dataout_reg := dataout_reg;
END IF;
END IF;
else
IF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg := data_dly;
ELSE
dataout_reg := dataout_reg;
END IF;
END IF;
end if;
end if;
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => dataout_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
END PROCESS;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_REGISTER
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_register IS
GENERIC (
data_width : integer := 18;
power_up : std_logic := '0';
tipd_data : VitalDelayArrayType01(143 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_data_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst);
PORT (
data : IN std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic_vector(data_width -1 DOWNTO 0) := (others => '0'));
END stratixii_mac_register;
ARCHITECTURE arch OF stratixii_mac_register IS
SIGNAL data_ipd : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '1';
SIGNAL dataout_reg : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL viol_notifier : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 : for i in data'range generate
VitalWireDelay (data_ipd(i), data(i), tipd_data(i));
end generate;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
PROCESS (data_ipd, clk_ipd, aclr_ipd, ena_ipd, async)
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(71 downto 0);
variable Tviol_clk_ena : STD_ULOGIC := '0';
variable Tviol_data_clk : STD_ULOGIC := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_ena : STD_ULOGIC := '0';
variable PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
BEGIN
if(async = '1') then
dataout_reg <= data_ipd;
else
if (if_aclr = '1') then
IF (aclr_ipd = '1') THEN
dataout_reg <= (others => '0');
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg <= data_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
else
IF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (ena_ipd = '1') THEN
dataout_reg <= data_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
end if;
end if;
END PROCESS;
PathDelay : block
begin
g1 : for i in dataout'range generate
PROCESS (dataout_reg(i))
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_reg(i),
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
end process;
end generate;
end block;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_RS_BLOCK
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
library grlib;
use grlib.stdlib.all;
ENTITY stratixii_mac_rs_block IS
GENERIC (
tpd_saturate_dataout : VitalDelayType01 := DefPropDelay01;
tpd_round_dataout : VitalDelayType01 := DefPropDelay01;
block_type : string := "mac_mult";
dataa_width : integer := 18;
datab_width : integer := 18);
PORT (
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
addnsub : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
signsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
roundsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataoutsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0'));
END stratixii_mac_rs_block;
ARCHITECTURE arch OF stratixii_mac_rs_block IS
SIGNAL round_ipd : std_logic := '0';
SIGNAL saturate_ipd : std_logic := '0';
SIGNAL addnsub_ipd : std_logic := '0';
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datain_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_tbuf : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_saturate : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_mac_mult : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_mac_out : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_round : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_saturate : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_dly : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL saturated : std_logic := '0';
SIGNAL min : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL max : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL msb : std_logic := '0';
SIGNAL dataout_tmp1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
round_ipd <= round ;
saturate_ipd <= saturate ;
addnsub_ipd <= addnsub ;
signa_ipd <= signa ;
signb_ipd <= signb ;
dataa_ipd(dataa_width-1 downto 0) <= dataa;
datab_ipd(datab_width-1 downto 0) <= datab;
datain_ipd(71 downto 0) <= datain(71 downto 0) ;
PROCESS (datain_ipd,
signa_ipd,
signb_ipd,
addnsub_ipd,
round_ipd)
VARIABLE dataout_round_tmp2 : std_logic_vector(71 DOWNTO 0);
BEGIN
IF (round_ipd = '1') THEN
dataout_round_tmp2 := datain_ipd + (2 **(conv_integer(dataoutsize - signsize - roundsize - "00000001")));
ELSE
dataout_round_tmp2 := datain_ipd;
END IF;
dataout_round <= dataout_round_tmp2;
END PROCESS;
PROCESS (datain_ipd,
signa_ipd,
signb_ipd,
round_ipd,
saturate_ipd,
addnsub_ipd,
dataout_round)
VARIABLE dataout_saturate_tmp3 : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE saturated_tmp4 : std_logic := '0';
VARIABLE gnd : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE min_tmp5 : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE max_tmp6 : std_logic_vector(71 DOWNTO 0) := (others => '0');
VARIABLE msb_tmp7 : std_logic := '0';
VARIABLE i : integer;
BEGIN
IF (saturate_ipd = '1') THEN
IF (block_type = "mac_mult") THEN
IF (dataout_round(dataa_width + datab_width - 1) = '0' AND dataout_round(dataa_width + datab_width - 2) = '1') THEN
dataout_saturate_tmp3 := "111111111111111111111111111111111111111111111111111111111111111111111111";
FOR i IN dataa_width + datab_width - 2 TO (72 - 1) LOOP
dataout_saturate_tmp3(i) := '0';
END LOOP;
saturated_tmp4 := '1';
ELSE
dataout_saturate_tmp3 := dataout_round;
saturated_tmp4 := '0';
END IF;
min_tmp5 := dataout_saturate_tmp3;
max_tmp6 := dataout_saturate_tmp3;
ELSE
IF ((operation(2) = '1') AND ((block_type = "ab") OR (block_type = "cd"))) THEN
saturated_tmp4 := '0';
i := datab_width - 2;
WHILE (i < (datab_width + signsize - 2)) LOOP
IF (dataout_round(datab_width - 2) /= dataout_round(i)) THEN
saturated_tmp4 := '1';
END IF;
i := i + 1;
END LOOP;
IF (saturated_tmp4 = '1') THEN
min_tmp5 := "111111111111111111111111111111111111111111111111111111111111111111111111";
max_tmp6 := "111111111111111111111111111111111111111111111111111111111111111111111111";
FOR i IN 0 TO ((datab_width - 2) - 1) LOOP
max_tmp6(i) := '0';
END LOOP;
FOR i IN datab_width - 2 TO (72 - 1) LOOP
min_tmp5(i) := '0';
END LOOP;
ELSE
dataout_saturate_tmp3 := dataout_round;
END IF;
msb_tmp7 := dataout_round(datab_width + 15);
ELSE
IF ((signa_ipd OR signb_ipd OR NOT addnsub_ipd) = '1') THEN
min_tmp5 := gnd + (2**((dataa_width)));
max_tmp6 := gnd + ((2**((dataa_width))) - 1);
ELSE
min_tmp5 := "000000000000000000000000000000000000000000000000000000000000000000000000";
max_tmp6 := gnd + ((2**((dataa_width + 1))) - 1);
END IF;
saturated_tmp4 := '0';
i := dataa_width - 2;
WHILE (i < (dataa_width + signsize - 1)) LOOP
IF (dataout_round(dataa_width - 2) /= dataout_round(i)) THEN
saturated_tmp4 := '1';
END IF;
i := i + 1;
END LOOP;
msb_tmp7 := dataout_round(i);
END IF;
IF (saturated_tmp4 = '1') THEN
IF (msb_tmp7 = '1') THEN
dataout_saturate_tmp3 := max_tmp6;
ELSE
dataout_saturate_tmp3 := min_tmp5;
END IF;
ELSE
dataout_saturate_tmp3 := dataout_round;
END IF;
END IF;
ELSE
saturated_tmp4 := '0';
dataout_saturate_tmp3 := dataout_round;
END IF;
dataout_saturate <= dataout_saturate_tmp3;
saturated <= saturated_tmp4;
min <= min_tmp5;
max <= max_tmp6;
msb <= msb_tmp7;
END PROCESS;
PROCESS (datain_ipd,
signa_ipd,
signb_ipd,
round_ipd,
saturate_ipd,
dataout_round,
dataout_saturate)
VARIABLE dataout_dly_tmp8 : std_logic_vector(71 DOWNTO 0);
VARIABLE i : integer;
BEGIN
IF (round_ipd = '1') THEN
dataout_dly_tmp8 := dataout_saturate;
i := 0;
WHILE (i < (dataoutsize - signsize - roundsize)) LOOP
dataout_dly_tmp8(i) := '0';
i := i + 1;
END LOOP;
ELSE
dataout_dly_tmp8 := dataout_saturate;
END IF;
dataout_dly <= dataout_dly_tmp8;
END PROCESS;
dataout_tbuf <= datain WHEN (operation = "0000") OR (operation = "0111") ELSE rs_saturate ;
rs_saturate <= rs_mac_mult WHEN (saturate_ipd = '1') ELSE rs_mac_out ;
rs_mac_mult <= (dataout_dly(71 DOWNTO 3) & "00" & saturated)
WHEN ((saturate_ipd = '1') AND (saturated = '1') AND (block_type = "mac_mult")) ELSE rs_mac_out ;
rs_mac_out <= (dataout_dly(71 DOWNTO 3) & saturated & datain_ipd(1 DOWNTO 0))
WHEN ((saturate_ipd = '1') AND (block_type /= "mac_mult")) ELSE dataout_dly ;
PROCESS (dataout_tbuf)
VARIABLE dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(71 downto 0);
BEGIN
VitalPathDelay01 (
OutSignal => dataout(0),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(0),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(1),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(1),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(2),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(2),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(3),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(3),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(4),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(4),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(5),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(5),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(6),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(6),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(6),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(7),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(7),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(7),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(8),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(8),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(8),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(9),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(9),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(9),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(10),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(10),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(10),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(11),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(11),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(11),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(12),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(12),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(12),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(13),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(13),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(13),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(14),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(14),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(14),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(15),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(15),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(15),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(16),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(16),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(16),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(17),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(17),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(17),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(18),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(18),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(18),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(19),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(19),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(19),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(20),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(20),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(20),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(21),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(21),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(21),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(22),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(22),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(22),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(23),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(23),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(23),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(24),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(24),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(24),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(25),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(25),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(25),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(26),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(26),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(26),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(27),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(27),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(27),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(28),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(28),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(28),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(29),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(29),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(29),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(30),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(30),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(30),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(31),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(31),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(31),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(32),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(32),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(32),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(33),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(33),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(33),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(34),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(34),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(34),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(35),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(35),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(35),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(36),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(36),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(36),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(37),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(37),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(37),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(38),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(38),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(38),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(39),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(39),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(39),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(40),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(40),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(40),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(41),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(41),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(41),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(42),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(42),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(42),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(43),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(43),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(43),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(44),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(44),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(44),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(45),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(45),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(45),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(46),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(46),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(46),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(47),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(47),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(47),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(48),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(48),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(48),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(49),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(49),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(49),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(50),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(50),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(50),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(51),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(51),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(51),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(52),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(52),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(52),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(53),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(53),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(53),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(54),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(54),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(54),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(55),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(55),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(55),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(56),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(56),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(56),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(57),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(57),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(57),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(58),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(58),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(58),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(59),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(59),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(59),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(60),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(60),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(60),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(61),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(61),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(61),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(62),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(62),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(62),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(63),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(63),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(63),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(64),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(64),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(64),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(65),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(65),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(65),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(66),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(66),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(66),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(67),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(67),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(67),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(68),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(68),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(68),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(69),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(69),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(69),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(70),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(70),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(70),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
VitalPathDelay01 (
OutSignal => dataout(71),
OutSignalName => "dataout",
OutTemp => dataout_tbuf(71),
Paths => (0 => (round_ipd'last_event, tpd_round_dataout, TRUE),
1 => (saturate_ipd'last_event, tpd_saturate_dataout, TRUE)),
GlitchData => dataout_VitalGlitchDataArray(71),
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
end process;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_MULT_INTERNAL
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
library grlib;
use grlib.stdlib.all;
ENTITY stratixii_mac_mult_internal IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataout_width : integer := 36;
dynamic_mode : string := "no";
tipd_dataa : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tpd_dataa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datab_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signb_dataout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_scanouta : VitalDelayType01 := DefPropDelay01;
tpd_datab_scanoutb : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0) := (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
bypass : IN std_logic := '0';
scanouta : OUT std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
scanoutb : OUT std_logic_vector(datab_width - 1 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(35 DOWNTO 0) := (others => '0')
);
END stratixii_mac_mult_internal;
ARCHITECTURE arch OF stratixii_mac_mult_internal IS
SIGNAL dataa_ipd : std_logic_vector(17 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(17 DOWNTO 0) := (others => '0');
SIGNAL neg : std_logic := '0';
SIGNAL dataout_pre_bypass : std_logic_vector((dataa_width+datab_width) -1 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp : std_logic_vector((dataa_width+datab_width) -1 DOWNTO 0) := (others => '0');
SIGNAL abs_a : std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
SIGNAL abs_b : std_logic_vector(datab_width - 1 DOWNTO 0) := (others => '0');
SIGNAL abs_output : std_logic_vector((dataa_width+datab_width) -1 DOWNTO 0) := (others => '0');
BEGIN
neg <= (dataa_ipd(dataa_width - 1) AND signa) XOR (datab_ipd(datab_width - 1) AND signb) ;
abs_a <= (NOT dataa_ipd(dataa_width - 1 DOWNTO 0) + 1) WHEN (signa AND dataa_ipd(dataa_width - 1)) = '1' ELSE dataa_ipd(dataa_width - 1 DOWNTO 0) ;
abs_b <= (NOT datab_ipd(datab_width - 1 DOWNTO 0) + 1) WHEN (signb AND datab_ipd(datab_width - 1)) = '1' ELSE datab_ipd(datab_width - 1 DOWNTO 0) ;
abs_output((dataa_width + datab_width) - 1 DOWNTO 0) <= abs_a(dataa_width-1 downto 0) * abs_b(datab_width-1 downto 0) ;
dataout_pre_bypass((dataa_width + datab_width) - 1 DOWNTO 0) <= (NOT abs_output + 1) WHEN neg = '1' ELSE abs_output ;
dataout_tmp((dataa_width + datab_width) - 1 DOWNTO 0) <= datab(datab_width-1 downto 0) & dataa(dataa_width-1 downto 0) when ((dynamic_mode = "yes") and (bypass = '1')) else dataa(dataa_width-1 downto 0) & datab(datab_width-1 downto 0) WHEN (bypass = '1') ELSE dataout_pre_bypass ;
PathDelay : block
begin
g1 : for i in 0 to 256 generate
do: if i < dataout_width generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout, TRUE),
2 => (signa'last_event, tpd_signa_dataout, TRUE),
3 => (signb'last_event, tpd_signb_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do;
sa: if i < dataa_width generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
PROCESS(dataa_ipd)
variable scanouta_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => scanouta(i),
OutSignalName => "scanouta",
OutTemp => dataa_ipd(i),
Paths => (1 => (dataa_ipd'last_event, tpd_dataa_scanouta, TRUE)),
GlitchData => scanouta_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
end process;
end generate;
sb: if i < datab_width generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
PROCESS(datab_ipd)
variable scanoutb_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => scanoutb(i),
OutSignalName => "scanoutb",
OutTemp => datab_ipd(i),
Paths => (1 => (datab_ipd'last_event, tpd_datab_scanoutb, TRUE)),
GlitchData => scanoutb_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
end process;
end generate;
end generate;
end block;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_MULT
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
use work.stratixii_mac_mult_internal;
use work.stratixii_mac_bit_register;
use work.stratixii_mac_register;
use work.stratixii_mac_rs_block;
library grlib;
use grlib.stdlib.all;
ENTITY stratixii_mac_mult IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataa_clock : string := "none";
datab_clock : string := "none";
signa_clock : string := "none";
signb_clock : string := "none";
round_clock : string := "none";
saturate_clock : string := "none";
output_clock : string := "none";
round_clear : string := "none";
saturate_clear : string := "none";
dataa_clear : string := "none";
datab_clear : string := "none";
signa_clear : string := "none";
signb_clear : string := "none";
output_clear : string := "none";
bypass_multiplier : string := "no";
mode_clock : string := "none";
zeroacc_clock : string := "none";
mode_clear : string := "none";
zeroacc_clear : string := "none";
signa_internally_grounded : string := "false";
signb_internally_grounded : string := "false";
lpm_hint : string := "true";
lpm_type : string := "stratixii_mac_mult";
dynamic_mode : string := "no");
PORT (
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
scanina : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
scaninb : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
sourcea : IN std_logic := '0';
sourceb : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
round : IN std_logic := '0';
saturate : IN std_logic := '0';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
mode : IN std_logic := '0';
zeroacc : IN std_logic := '0';
dataout : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0) := (others => '0');
scanouta : OUT std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
scanoutb : OUT std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_mac_mult;
ARCHITECTURE arch OF stratixii_mac_mult IS
COMPONENT stratixii_mac_mult_internal
GENERIC (
dataout_width : integer := 36;
dataa_width : integer := 18;
datab_width : integer := 18;
dynamic_mode : string := "no";
tipd_dataa : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tpd_dataa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datab_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signa_dataout : VitalDelayType01 := DefPropDelay01;
tpd_signb_dataout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_scanouta : VitalDelayType01 := DefPropDelay01;
tpd_datab_scanoutb : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
bypass : IN std_logic := '0';
scanouta : OUT std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
scanoutb : OUT std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(35 DOWNTO 0));
END COMPONENT;
COMPONENT stratixii_mac_bit_register
GENERIC (
power_up : std_logic := '0');
PORT (
data : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic := '0');
END COMPONENT;
COMPONENT stratixii_mac_register
GENERIC (
power_up : std_logic := '0';
data_width : integer := 18);
PORT (
data : IN std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
if_aclr : IN std_logic := '0';
ena : IN std_logic := '1';
async : IN std_logic := '1';
dataout : OUT std_logic_vector(data_width -1 DOWNTO 0) := (others => '0'));
END COMPONENT;
COMPONENT stratixii_mac_rs_block
GENERIC (
tpd_saturate_dataout : VitalDelayType01 := DefPropDelay01;
tpd_round_dataout : VitalDelayType01 := DefPropDelay01;
block_type : string := "mac_mult";
dataa_width : integer := 18;
datab_width : integer := 18);
PORT (
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
addnsub : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
signsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
roundsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataoutsize : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataa : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0'));
END COMPONENT;
SIGNAL mult_output : std_logic_vector(35 DOWNTO 0) := (others => '0');
SIGNAL signa_out : std_logic := '0';
SIGNAL signb_out : std_logic := '0';
SIGNAL round_out : std_logic := '0';
SIGNAL saturate_out : std_logic := '0';
SIGNAL mode_out : std_logic := '0';
SIGNAL zeroacc_out : std_logic := '0';
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_rs : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL scanouta_tmp : std_logic_vector(dataa_width -1 DOWNTO 0) := (others => '0');
SIGNAL scanoutb_tmp : std_logic_vector(datab_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataa_src : std_logic_vector(dataa_width -1 DOWNTO 0) := (others => '0');
SIGNAL datab_src : std_logic_vector(datab_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataa_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL output_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL dataa_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL output_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL mode_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_clk : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL mode_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_aclr : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL clk_dataa : std_logic := '0';
SIGNAL clear_dataa : std_logic := '0';
SIGNAL aclr_dataa : std_logic := '0';
SIGNAL ena_dataa : std_logic := '0';
SIGNAL async_dataa : std_logic := '0';
SIGNAL clk_datab : std_logic := '0';
SIGNAL clear_datab : std_logic := '0';
SIGNAL aclr_datab : std_logic := '0';
SIGNAL ena_datab : std_logic := '0';
SIGNAL async_datab : std_logic := '0';
SIGNAL clk_signa : std_logic := '0';
SIGNAL clear_signa : std_logic := '0';
SIGNAL aclr_signa : std_logic := '0';
SIGNAL ena_signa : std_logic := '0';
SIGNAL async_signa : std_logic := '0';
SIGNAL clk_signb : std_logic := '0';
SIGNAL clear_signb : std_logic := '0';
SIGNAL aclr_signb : std_logic := '0';
SIGNAL ena_signb : std_logic := '0';
SIGNAL async_signb : std_logic := '0';
SIGNAL clk_round : std_logic := '0';
SIGNAL clear_round : std_logic := '0';
SIGNAL aclr_round : std_logic := '0';
SIGNAL ena_round : std_logic := '0';
SIGNAL async_round : std_logic := '0';
SIGNAL clk_saturate : std_logic := '0';
SIGNAL clear_saturate : std_logic := '0';
SIGNAL aclr_saturate : std_logic := '0';
SIGNAL ena_saturate : std_logic := '0';
SIGNAL async_saturate : std_logic := '0';
SIGNAL clk_mode : std_logic := '0';
SIGNAL clear_mode : std_logic := '0';
SIGNAL aclr_mode : std_logic := '0';
SIGNAL ena_mode : std_logic := '0';
SIGNAL async_mode : std_logic := '0';
SIGNAL clk_zeroacc : std_logic := '0';
SIGNAL clear_zeroacc : std_logic := '0';
SIGNAL aclr_zeroacc : std_logic := '0';
SIGNAL ena_zeroacc : std_logic := '0';
SIGNAL async_zeroacc : std_logic := '0';
SIGNAL clk_output : std_logic := '0';
SIGNAL clear_output : std_logic := '0';
SIGNAL aclr_output : std_logic := '0';
SIGNAL ena_output : std_logic := '0';
SIGNAL async_output : std_logic := '0';
SIGNAL signa_internal : std_logic := '0';
SIGNAL signb_internal : std_logic := '0';
SIGNAL bypass : std_logic := '0';
SIGNAL mac_mult_dataoutsize : std_logic_vector(7 DOWNTO 0) := (others => '0');
SIGNAL tmp_4 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL tmp_60 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL port_tmp62 : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL port_tmp63 : std_logic := '0';
SIGNAL port_tmp64 : std_logic_vector(7 DOWNTO 0) := (others => '0');
SIGNAL port_tmp65 : std_logic_vector(7 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp1 : std_logic_vector(35 DOWNTO 0) := (others => '0');
SIGNAL scanouta_tmp2 : std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
SIGNAL scanoutb_tmp3 : std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
BEGIN
dataout <= dataout_tmp1(dataout'range);
scanouta <= scanouta_tmp2;
scanoutb <= scanoutb_tmp3;
dataout_tmp1 <= dataout_tmp(35 DOWNTO 0) ;
dataa_src <= scanina WHEN (sourcea = '1') ELSE dataa ;
datab_src <= scaninb WHEN (sourceb = '1') ELSE datab ;
dataa_mac_reg : stratixii_mac_register
GENERIC MAP (
data_width => dataa_width,
power_up => '0')
PORT MAP (
data => dataa_src,
clk => clk_dataa,
aclr => aclr_dataa,
if_aclr => clear_dataa,
ena => ena_dataa,
dataout => scanouta_tmp,
async => async_dataa);
async_dataa <= '1' WHEN (dataa_clock = "none") ELSE '0' ;
clear_dataa <= '1' WHEN (dataa_clear /= "none") ELSE '0' ;
clk_dataa <= '1' WHEN clk(conv_integer(dataa_clk)) = '1' ELSE '0' ;
aclr_dataa <= '1' WHEN (aclr(conv_integer(dataa_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_dataa <= '1' WHEN ena(conv_integer(dataa_clk)) = '1' ELSE '0' ;
dataa_clk <= "0000" WHEN ((dataa_clock = "0") OR (dataa_clock = "none")) ELSE "0001" WHEN (dataa_clock = "1") ELSE "0010" WHEN (dataa_clock = "2") ELSE "0011" WHEN (dataa_clock = "3") ELSE "0000" ;
dataa_aclr <= "0000" WHEN ((dataa_clear = "0") OR (dataa_clear = "none")) ELSE "0001" WHEN (dataa_clear = "1") ELSE "0010" WHEN (dataa_clear = "2") ELSE "0011" WHEN (dataa_clear = "3") ELSE "0000" ;
datab_mac_reg : stratixii_mac_register
GENERIC MAP (
data_width => datab_width,
power_up => '0')
PORT MAP (
data => datab_src,
clk => clk_datab,
aclr => aclr_datab,
if_aclr => clear_datab,
ena => ena_datab,
dataout => scanoutb_tmp,
async => async_datab);
async_datab <= '1' WHEN (datab_clock = "none") ELSE '0' ;
clear_datab <= '1' WHEN (datab_clear /= "none") ELSE '0' ;
clk_datab <= '1' WHEN clk(conv_integer(datab_clk)) = '1' ELSE '0' ;
aclr_datab <= '1' WHEN (aclr(conv_integer(datab_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_datab <= '1' WHEN ena(conv_integer(datab_clk)) = '1' ELSE '0' ;
datab_clk <= "0000" WHEN ((datab_clock = "0") OR (datab_clock = "none")) ELSE "0001" WHEN (datab_clock = "1") ELSE "0010" WHEN (datab_clock = "2") ELSE "0011" WHEN (datab_clock = "3") ELSE "0000" ;
datab_aclr <= "0000" WHEN ((datab_clear = "0") OR (datab_clear = "none")) ELSE "0001" WHEN (datab_clear = "1") ELSE "0010" WHEN (datab_clear = "2") ELSE "0011" WHEN (datab_clear = "3") ELSE "0000" ;
signa_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => signa,
clk => clk_signa,
aclr => aclr_signa,
if_aclr => clear_signa,
ena => ena_signa,
dataout => signa_out,
async => async_signa);
async_signa <= '1' WHEN (signa_clock = "none") ELSE '0' ;
clear_signa <= '1' WHEN (signa_clear /= "none") ELSE '0' ;
clk_signa <= '1' WHEN clk(conv_integer(signa_clk)) = '1' ELSE '0' ;
aclr_signa <= '1' WHEN (aclr(conv_integer(signa_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_signa <= '1' WHEN ena(conv_integer(signa_clk)) = '1' ELSE '0' ;
signa_clk <= "0000" WHEN ((signa_clock = "0") OR (signa_clock = "none")) ELSE "0001" WHEN (signa_clock = "1") ELSE "0010" WHEN (signa_clock = "2") ELSE "0011" WHEN (signa_clock = "3") ELSE "0000" ;
signa_aclr <= "0000" WHEN ((signa_clear = "0") OR (signa_clear = "none")) ELSE "0001" WHEN (signa_clear = "1") ELSE "0010" WHEN (signa_clear = "2") ELSE "0011" WHEN (signa_clear = "3") ELSE "0000" ;
signb_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => signb,
clk => clk_signb,
aclr => aclr_signb,
if_aclr => clear_signb,
ena => ena_signb,
dataout => signb_out,
async => async_signb);
async_signb <= '1' WHEN (signb_clock = "none") ELSE '0' ;
clear_signb <= '1' WHEN (signb_clear /= "none") ELSE '0' ;
clk_signb <= '1' WHEN clk(conv_integer(signb_clk)) = '1' ELSE '0' ;
aclr_signb <= '1' WHEN (aclr(conv_integer(signb_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_signb <= '1' WHEN ena(conv_integer(signb_clk)) = '1' ELSE '0' ;
signb_clk <= "0000" WHEN ((signb_clock = "0") OR (signb_clock = "none")) ELSE "0001" WHEN (signb_clock = "1") ELSE "0010" WHEN (signb_clock = "2") ELSE "0011" WHEN (signb_clock = "3") ELSE "0000" ;
signb_aclr <= "0000" WHEN ((signb_clear = "0") OR (signb_clear = "none")) ELSE "0001" WHEN (signb_clear = "1") ELSE "0010" WHEN (signb_clear = "2") ELSE "0011" WHEN (signb_clear = "3") ELSE "0000" ;
round_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => round,
clk => clk_round,
aclr => aclr_round,
if_aclr => clear_round,
ena => ena_round,
dataout => round_out,
async => async_round);
async_round <= '1' WHEN (round_clock = "none") ELSE '0' ;
clear_round <= '1' WHEN (round_clear /= "none") ELSE '0' ;
clk_round <= '1' WHEN clk(conv_integer(round_clk)) = '1' ELSE '0' ;
aclr_round <= '1' WHEN (aclr(conv_integer(round_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_round <= '1' WHEN ena(conv_integer(round_clk)) = '1' ELSE '0' ;
round_clk <= "0000" WHEN ((round_clock = "0") OR (round_clock = "none")) ELSE "0001" WHEN (round_clock = "1") ELSE "0010" WHEN (round_clock = "2") ELSE "0011" WHEN (round_clock = "3") ELSE "0000" ;
round_aclr <= "0000" WHEN ((round_clear = "0") OR (round_clear = "none")) ELSE "0001" WHEN (round_clear = "1") ELSE "0010" WHEN (round_clear = "2") ELSE "0011" WHEN (round_clear = "3") ELSE "0000" ;
saturate_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => saturate,
clk => clk_saturate,
aclr => aclr_saturate,
if_aclr => clear_saturate,
ena => ena_saturate,
dataout => saturate_out,
async => async_saturate);
async_saturate <= '1' WHEN (saturate_clock = "none") ELSE '0' ;
clear_saturate <= '1' WHEN (saturate_clear /= "none") ELSE '0' ;
clk_saturate <= '1' WHEN clk(conv_integer(saturate_clk)) = '1' ELSE '0' ;
aclr_saturate <= '1' WHEN (aclr(conv_integer(saturate_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_saturate <= '1' WHEN ena(conv_integer(saturate_clk)) = '1' ELSE '0' ;
saturate_clk <= "0000" WHEN ((saturate_clock = "0") OR (saturate_clock = "none")) ELSE "0001" WHEN (saturate_clock = "1") ELSE "0010" WHEN (saturate_clock = "2") ELSE "0011" WHEN (saturate_clock = "3") ELSE "0000" ;
saturate_aclr <= "0000" WHEN ((saturate_clear = "0") OR (saturate_clear = "none")) ELSE "0001" WHEN (saturate_clear = "1") ELSE "0010" WHEN (saturate_clear = "2") ELSE "0011" WHEN (saturate_clear = "3") ELSE "0000" ;
mode_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => mode,
clk => clk_mode,
aclr => aclr_mode,
if_aclr => clear_mode,
ena => ena_mode,
dataout => mode_out,
async => async_mode);
async_mode <= '1' WHEN (mode_clock = "none") ELSE '0' ;
clear_mode <= '1' WHEN (mode_clear /= "none") ELSE '0' ;
clk_mode <= '1' WHEN clk(conv_integer(mode_clk)) = '1' ELSE '0' ;
aclr_mode <= '1' WHEN (aclr(conv_integer(mode_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_mode <= '1' WHEN ena(conv_integer(mode_clk)) = '1' ELSE '0' ;
mode_clk <= "0000" WHEN ((mode_clock = "0") OR (mode_clock = "none")) ELSE "0001" WHEN (mode_clock = "1") ELSE "0010" WHEN (mode_clock = "2") ELSE "0011" WHEN (mode_clock = "3") ELSE "0000" ;
mode_aclr <= "0000" WHEN ((mode_clear = "0") OR (mode_clear = "none")) ELSE "0001" WHEN (mode_clear = "1") ELSE "0010" WHEN (mode_clear = "2") ELSE "0011" WHEN (mode_clear = "3") ELSE "0000" ;
zeroacc_mac_reg : stratixii_mac_bit_register
GENERIC MAP (
power_up => '0')
PORT MAP (
data => zeroacc,
clk => clk_zeroacc,
aclr => aclr_zeroacc,
if_aclr => clear_zeroacc,
ena => ena_zeroacc,
dataout => zeroacc_out,
async => async_zeroacc);
async_zeroacc <= '1' WHEN (zeroacc_clock = "none") ELSE '0' ;
clear_zeroacc <= '1' WHEN (zeroacc_clear /= "none") ELSE '0' ;
clk_zeroacc <= '1' WHEN clk(conv_integer(zeroacc_clk)) = '1' ELSE '0' ;
aclr_zeroacc <= '1' WHEN (aclr(conv_integer(zeroacc_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_zeroacc <= '1' WHEN ena(conv_integer(zeroacc_clk)) = '1' ELSE '0' ;
zeroacc_clk <= "0000" WHEN ((zeroacc_clock = "0") OR (zeroacc_clock = "none")) ELSE "0001" WHEN (zeroacc_clock = "1") ELSE "0010" WHEN (zeroacc_clock = "2") ELSE "0011" WHEN (zeroacc_clock = "3") ELSE "0000" ;
zeroacc_aclr <= "0000" WHEN ((zeroacc_clear = "0") OR (zeroacc_clear = "none")) ELSE "0001" WHEN (zeroacc_clear = "1") ELSE "0010" WHEN (zeroacc_clear = "2") ELSE "0011" WHEN (zeroacc_clear = "3") ELSE "0000" ;
mac_multiply : stratixii_mac_mult_internal
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
dataout_width => dataa_width + datab_width,
dynamic_mode => dynamic_mode)
PORT MAP (
dataa => scanouta_tmp,
datab => scanoutb_tmp,
signa => signa_internal,
signb => signb_internal,
bypass => bypass,
scanouta => scanouta_tmp2,
scanoutb => scanoutb_tmp3,
dataout => mult_output);
signa_internal <= '0' WHEN ((signa_internally_grounded = "true") AND (dynamic_mode = "no")) OR ((((signa_internally_grounded = "true") AND (dynamic_mode = "yes")) AND (zeroacc_out = '1')) AND (mode_out = '0')) ELSE signa_out ;
signb_internal <= '0' WHEN ((signb_internally_grounded = "true") AND (dynamic_mode = "no")) OR ((((signb_internally_grounded = "true") AND (dynamic_mode = "yes")) AND (zeroacc_out = '1')) AND (mode_out = '0')) ELSE signb_out ;
bypass <= '1' WHEN ((bypass_multiplier = "yes") AND (dynamic_mode = "no")) OR (((bypass_multiplier = "yes") AND (mode_out = '1')) AND (dynamic_mode = "yes")) ELSE '0' ;
tmp_60 <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & mult_output(35 DOWNTO 0);
port_tmp62 <= "1111";
port_tmp63 <= '0';
port_tmp64 <= "00000010";
port_tmp65 <= "00001111";
mac_rs_block : stratixii_mac_rs_block
GENERIC MAP (
block_type => "mac_mult",
dataa_width => dataa_width,
datab_width => datab_width)
PORT MAP (
operation => port_tmp62,
round => round_out,
saturate => saturate_out,
addnsub => port_tmp63,
signa => signa_out,
signb => signb_out,
signsize => port_tmp64,
roundsize => port_tmp65,
dataoutsize => mac_mult_dataoutsize,
dataa => scanouta_tmp,
datab => scanoutb_tmp,
datain => tmp_60,
dataout => dataout_rs);
mac_mult_dataoutsize <= CONV_STD_LOGIC_VECTOR(dataa_width + datab_width, 8) ;
dataout_reg <= tmp_60 when bypass = '1' else dataout_rs;
dataout_mac_reg : stratixii_mac_register
GENERIC MAP (
data_width => dataa_width + datab_width,
power_up => '0')
PORT MAP (
data => dataout_reg((dataa_width + datab_width) -1 downto 0),
clk => clk_output,
aclr => aclr_output,
if_aclr => clear_output,
ena => ena_output,
dataout => dataout_tmp((dataa_width + datab_width) -1 downto 0),
async => async_output);
async_output <= '1' WHEN (output_clock = "none") ELSE '0' ;
clear_output <= '1' WHEN (output_clear /= "none") ELSE '0' ;
clk_output <= '1' WHEN clk(conv_integer(output_clk)) = '1' ELSE '0' ;
aclr_output <= '1' WHEN (aclr(conv_integer(output_aclr)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
ena_output <= '1' WHEN ena(conv_integer(output_clk)) = '1' ELSE '0' ;
output_clk <= "0000" WHEN ((output_clock = "0") OR (output_clock = "none")) ELSE "0001" WHEN (output_clock = "1") ELSE "0010" WHEN (output_clock = "2") ELSE "0011" WHEN (output_clock = "3") ELSE "0000" ;
output_aclr <= "0000" WHEN ((output_clear = "0") OR (output_clear = "none")) ELSE "0001" WHEN (output_clear = "1") ELSE "0010" WHEN (output_clear = "2") ELSE "0011" WHEN (output_clear = "3") ELSE "0000" ;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_DYNAMIC_MUX
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_dynamic_mux IS
PORT (
ab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
cd : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sata : IN std_logic := '0';
satb : IN std_logic := '0';
satc : IN std_logic := '0';
satd : IN std_logic := '0';
multsatab : IN std_logic := '0';
multsatcd : IN std_logic := '0';
outsatab : IN std_logic := '0';
outsatcd : IN std_logic := '0';
multabsaturate : IN std_logic := '0';
multcdsaturate : IN std_logic := '0';
saturateab : IN std_logic := '0';
saturatecd : IN std_logic := '0';
overab : IN std_logic := '0';
overcd : IN std_logic := '0';
sum : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
m36 : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
bypass : IN std_logic_vector(143 DOWNTO 0) := (others => '0');
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(143 DOWNTO 0) := (others => '0');
accoverflow : OUT std_logic := '0');
END stratixii_mac_dynamic_mux;
ARCHITECTURE arch OF stratixii_mac_dynamic_mux IS
SIGNAL dataout_tmp : std_logic_vector(143 DOWNTO 0) := (others => '0');
SIGNAL accoverflow_tmp : std_logic := '0';
SIGNAL dataout_tmp1 : std_logic_vector(143 DOWNTO 0) := (others => '0');
SIGNAL accoverflow_tmp2 : std_logic := '0';
BEGIN
dataout <= dataout_tmp1;
accoverflow <= accoverflow_tmp2;
PROCESS (ab, cd, sata, satb, satc, satd, multsatab, multsatcd, outsatab, outsatcd, multabsaturate, multcdsaturate, saturateab, saturatecd, overab, overcd, sum, m36, bypass, operation)
VARIABLE dataout_tmp_tmp3 : std_logic_vector(143 DOWNTO 0) := (others => '0');
VARIABLE accoverflow_tmp_tmp4 : std_logic := '0';
VARIABLE temp_tmp5 : std_logic_vector(1 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp6 : std_logic_vector(1 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp7 : std_logic_vector(3 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp8 : std_logic_vector(1 DOWNTO 0) := (others => '0');
VARIABLE temp_tmp9 : std_logic_vector(1 DOWNTO 0) := (others => '0');
BEGIN
CASE operation IS
WHEN "0000" =>
dataout_tmp_tmp3 := bypass;
accoverflow_tmp_tmp4 := '0';
WHEN "0100" =>
temp_tmp5 := saturateab & multabsaturate;
CASE temp_tmp5 IS
WHEN "00" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
WHEN "01" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 2) & multsatab & ab(0);
WHEN "10" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "11" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overab;
WHEN "0001" =>
IF (multabsaturate = '1') THEN
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 2) & satb & sata;
ELSE
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 0);
END IF;
accoverflow_tmp_tmp4 := '0';
WHEN "0010" =>
temp_tmp6 := multsatcd & multsatab;
CASE temp_tmp6 IS
WHEN "00" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 0);
accoverflow_tmp_tmp4 := '0';
WHEN "01" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 2) & satb & sata;
accoverflow_tmp_tmp4 := '0';
WHEN "10" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 3) & satc & sum(1 DOWNTO 0);
accoverflow_tmp_tmp4 := satd;
WHEN "11" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 3) & satc & satb & sata;
accoverflow_tmp_tmp4 := satd;
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & sum(71 DOWNTO 0);
accoverflow_tmp_tmp4 := '0';
END CASE;
WHEN "0111" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & m36;
accoverflow_tmp_tmp4 := '0';
WHEN "1100" =>
temp_tmp7 := saturatecd & saturateab & multsatcd & multsatab;
CASE temp_tmp7 IS
WHEN "0000" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "0001" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "0010" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "0011" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "0100" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "0101" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN "0110" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "0111" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN "1000" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "1001" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "1010" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
WHEN "1011" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "1100" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "1101" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN "1110" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "1111" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN OTHERS =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overab;
WHEN "1101" =>
temp_tmp8 := saturateab & multabsaturate;
CASE temp_tmp8 IS
WHEN "00" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
WHEN "01" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 2) & multsatab & ab(0);
WHEN "10" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & ab(1 DOWNTO 0);
WHEN "11" =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 3) & outsatab & multsatab & ab(0);
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass(143 DOWNTO 72) & ab(71 DOWNTO 53) & overab & ab(51 DOWNTO 36) & ab(35 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overab;
WHEN "1110" =>
temp_tmp9 := saturatecd & multcdsaturate;
CASE temp_tmp9 IS
WHEN "00" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & bypass(71 DOWNTO 0);
WHEN "01" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 2) & multsatcd & cd(0) & bypass(71 DOWNTO 0);
WHEN "10" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & cd(1 DOWNTO 0) & bypass(71 DOWNTO 0);
WHEN "11" =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 3) & outsatcd & multsatcd & cd(0) & bypass(71 DOWNTO 0);
WHEN OTHERS =>
dataout_tmp_tmp3 := cd(71 DOWNTO 53) & overcd & cd(51 DOWNTO 0) & bypass(71 DOWNTO 0);
END CASE;
accoverflow_tmp_tmp4 := overcd;
WHEN OTHERS =>
dataout_tmp_tmp3 := bypass;
accoverflow_tmp_tmp4 := '0';
END CASE;
dataout_tmp <= dataout_tmp_tmp3;
accoverflow_tmp <= accoverflow_tmp_tmp4;
END PROCESS;
dataout_tmp1 <= dataout_tmp ;
accoverflow_tmp2 <= accoverflow_tmp ;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- STRATIXII_MAC_PIN_MAP
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_mac_pin_map IS
GENERIC (
tipd_addnsub : VitalDelayType01 := DefPropDelay01;
data_width : integer := 144;
tipd_datain : VitalDelayArrayType01(143 downto 0) := (OTHERS => (20 ps,20 ps));
operation_mode : string := "output_only";
pinmap : string := "map");
PORT (
datain : IN std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
addnsub : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width -1 DOWNTO 0) := (others => '0'));
END stratixii_mac_pin_map;
ARCHITECTURE arch OF stratixii_mac_pin_map IS
SIGNAL addnsub_ipd : std_logic := '0';
SIGNAL datain_ipd : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp2 : std_logic_vector(data_width -1 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
VitalWireDelay (addnsub_ipd, addnsub, tipd_addnsub);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
dataout <= dataout_tmp2(dataout'range);
PROCESS (datain_ipd, addnsub_ipd)
VARIABLE dataout_tmp_tmp3 : std_logic_vector(143 DOWNTO 0) := (others => '0');
BEGIN
IF (operation_mode = "dynamic") THEN
IF (pinmap = "map") THEN
CASE operation IS
WHEN "1100" =>
dataout_tmp_tmp3 := "XXXXXXXXXXXXXXXXXXX" & datain_ipd(123 DOWNTO 108) &
'X' & datain_ipd(107 DOWNTO 72) &
"XXXXXXXXXXXXXXXXXXX" & datain_ipd(51 DOWNTO 36) &
'X' & datain_ipd(35 DOWNTO 0);
WHEN "1101" =>
dataout_tmp_tmp3 := datain_ipd(143 DOWNTO 72)& "XXXXXXXXXXXXXXXXXXX" & datain_ipd(51 DOWNTO 36) & 'X' & datain_ipd(35 DOWNTO 0);
WHEN "1110" =>
dataout_tmp_tmp3 := "XXXXXXXXXXXXXXXXXXX" & datain_ipd(123 DOWNTO 108) & 'X' & datain_ipd(107 DOWNTO 0);
WHEN "0111" =>
IF (addnsub_ipd = '1') THEN
dataout_tmp_tmp3(17 DOWNTO 0) := datain_ipd(17 DOWNTO 0);
dataout_tmp_tmp3(35 DOWNTO 18) := datain_ipd(53 DOWNTO 36);
dataout_tmp_tmp3(53 DOWNTO 36) := datain_ipd(35 DOWNTO 18);
dataout_tmp_tmp3(71 DOWNTO 54) := datain_ipd(71 DOWNTO 54);
ELSE
dataout_tmp_tmp3(17 DOWNTO 0) := "XXXXXXXXXXXXXXXXXX";
dataout_tmp_tmp3(35 DOWNTO 18) := "XXXXXXXXXXXXXXXXXX";
dataout_tmp_tmp3(53 DOWNTO 36) := "XXXXXXXXXXXXXXXXXX";
dataout_tmp_tmp3(71 DOWNTO 54) := "XXXXXXXXXXXXXXXXXX";
END IF;
dataout_tmp_tmp3(143 DOWNTO 72) := "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
WHEN OTHERS =>
dataout_tmp_tmp3 := datain_ipd;
END CASE;
ELSE
CASE operation IS
WHEN "1100" =>
dataout_tmp_tmp3(35 DOWNTO 0) := datain_ipd(35 DOWNTO 0);
dataout_tmp_tmp3(70 DOWNTO 36) := datain_ipd(71 DOWNTO 37);
dataout_tmp_tmp3(107 DOWNTO 72) := datain_ipd(107 DOWNTO 72);
dataout_tmp_tmp3(142 DOWNTO 108) := datain_ipd(143 DOWNTO 109);
WHEN "1101" =>
dataout_tmp_tmp3(35 DOWNTO 0) := datain_ipd(35 DOWNTO 0);
dataout_tmp_tmp3(70 DOWNTO 36) := datain_ipd(71 DOWNTO 37);
dataout_tmp_tmp3(143 DOWNTO 72) := datain_ipd(143 DOWNTO 72);
WHEN "1110" =>
dataout_tmp_tmp3(107 DOWNTO 0) := datain_ipd(107 DOWNTO 0);
dataout_tmp_tmp3(107 DOWNTO 72) := datain_ipd(107 DOWNTO 72);
dataout_tmp_tmp3(142 DOWNTO 108) := datain_ipd(143 DOWNTO 109);
WHEN "0111" =>
dataout_tmp_tmp3(17 DOWNTO 0) := datain_ipd(17 DOWNTO 0);
dataout_tmp_tmp3(53 DOWNTO 36) := datain_ipd(35 DOWNTO 18);
dataout_tmp_tmp3(35 DOWNTO 18) := datain_ipd(53 DOWNTO 36);
dataout_tmp_tmp3(71 DOWNTO 54) := datain_ipd(71 DOWNTO 54);
dataout_tmp_tmp3(143 DOWNTO 72) := "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
WHEN OTHERS =>
dataout_tmp_tmp3 := datain_ipd;
END CASE;
END IF;
ELSE
dataout_tmp_tmp3 := datain_ipd;
END IF;
dataout_tmp <= dataout_tmp_tmp3;
END PROCESS;
dataout_tmp2 <= dataout_tmp ;
END arch;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_tx_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_tx_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic;
d : IN std_logic;
clrn : IN std_logic;
prn : IN std_logic
);
attribute VITAL_LEVEL0 of stratixii_lvds_tx_reg : ENTITY is TRUE;
END stratixii_lvds_tx_reg;
ARCHITECTURE vital_stratixii_lvds_tx_reg of stratixii_lvds_tx_reg is
attribute VITAL_LEVEL0 of vital_stratixii_lvds_tx_reg : architecture is TRUE;
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d_ipd,
TestSignalName => "d",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_lvds_tx_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_stratixii_lvds_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixii_lvds_tx_parallel_register
--
-- Description : Register for the 10 data input channels of the StratixII
-- LVDS Tx
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE std.textio.all;
ENTITY stratixii_lvds_tx_parallel_register is
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END stratixii_lvds_tx_parallel_register;
ARCHITECTURE vital_tx_reg of stratixii_lvds_tx_parallel_register is
signal clk_ipd : std_logic;
signal enable_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, enable_ipd, datain_ipd, devpor, devclrn)
variable Tviol_datain_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable i : integer := 0;
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (now = 0 ns) then
dataout_tmp := (OTHERS => '0');
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixii_lvds_tx_parallel_register",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay(
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
end vital_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixii_lvds_tx_out_block
--
-- Description : Negative-edge triggered register on the Tx output.
-- Also, optionally generates an identical/inverted output clock
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE std.textio.all;
ENTITY stratixii_lvds_tx_out_block is
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END stratixii_lvds_tx_out_block;
ARCHITECTURE vital_tx_out_block of stratixii_lvds_tx_out_block is
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
signal inv_clk : integer;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, datain_ipd, devpor, devclrn)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable dataout_tmp : std_logic;
begin
if (now = 0 ns) then
dataout_tmp := '0';
else
if (bypass_serializer = "false") then
if (use_falling_clock_edge = "false") then
dataout_tmp := datain_ipd;
end if;
if (clk_ipd'event and clk_ipd = '0') then
if (use_falling_clock_edge = "true") then
dataout_tmp := datain_ipd;
end if;
end if;
else
if (invert_clock = "false") then
dataout_tmp := clk_ipd;
else
dataout_tmp := NOT (clk_ipd);
end if;
if (invert_clock = "false") then
inv_clk <= 0;
else
inv_clk <= 1;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
if (bypass_serializer = "false") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (datain_ipd'last_event, DefpropDelay01, TRUE),
1 => (clk_ipd'last_event, tpd_clk_dataout_negedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
if (bypass_serializer = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_tx_out_block;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixii_lvds_transmitter
--
-- Description : Timing simulation model for the StratixII LVDS Tx WYSIWYG.
-- It instantiates the following sub-modules :
-- 1) primitive DFFE
-- 2) StratixII_lvds_tx_parallel_register and
-- 3) StratixII_lvds_tx_out_block
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE std.textio.all;
USE work.stratixii_lvds_tx_parallel_register;
USE work.stratixii_lvds_tx_out_block;
USE work.stratixii_lvds_tx_reg;
ENTITY stratixii_lvds_transmitter is
GENERIC ( channel_width : integer := 10;
bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
use_serial_data_input : String := "false";
use_post_dpa_serial_data_input : String := "false";
preemphasis_setting : integer := 0;
vod_setting : integer := 0;
differential_drive : integer := 0;
lpm_type : string := "stratixii_lvds_transmitter";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk0_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk0_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tpd_serialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_postdpaserialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tipd_serialdatain : VitalDelayType01 := DefpropDelay01;
tipd_postdpaserialdatain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk0 : in std_logic;
enable0 : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
serialdatain : in std_logic := '0';
postdpaserialdatain : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic;
serialfdbkout : out std_logic
);
end stratixii_lvds_transmitter;
ARCHITECTURE vital_transmitter_atom of stratixii_lvds_transmitter is
signal clk0_ipd : std_logic;
signal serialdatain_ipd : std_logic;
signal postdpaserialdatain_ipd : std_logic;
signal input_data : std_logic_vector(channel_width - 1 downto 0);
signal txload0 : std_logic;
signal shift_out : std_logic;
signal clk0_dly0 : std_logic;
signal clk0_dly1 : std_logic;
signal clk0_dly2 : std_logic;
signal datain_dly : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly1 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly2 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly3 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly4 : std_logic_vector(channel_width - 1 downto 0);
signal vcc : std_logic := '1';
signal tmp_dataout : std_logic;
COMPONENT stratixii_lvds_tx_parallel_register
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END COMPONENT;
COMPONENT stratixii_lvds_tx_out_block
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END COMPONENT;
COMPONENT stratixii_lvds_tx_reg
GENERIC (TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01
);
PORT ( q : out STD_LOGIC := '0';
d : in STD_LOGIC := '1';
clrn : in STD_LOGIC := '1';
prn : in STD_LOGIC := '1';
clk : in STD_LOGIC := '0';
ena : in STD_LOGIC := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (serialdatain_ipd, serialdatain, tipd_serialdatain);
VitalWireDelay (postdpaserialdatain_ipd, postdpaserialdatain, tipd_postdpaserialdatain);
end block;
txload0_reg: stratixii_lvds_tx_reg
PORT MAP (d => enable0,
clrn => vcc,
prn => vcc,
ena => vcc,
clk => clk0_dly2,
q => txload0
);
input_reg: stratixii_lvds_tx_parallel_register
GENERIC MAP ( channel_width => channel_width)
PORT MAP ( clk => txload0,
enable => vcc,
datain => datain_dly,
dataout => input_data,
devclrn => devclrn,
devpor => devpor
);
output_module: stratixii_lvds_tx_out_block
GENERIC MAP ( bypass_serializer => bypass_serializer,
use_falling_clock_edge => use_falling_clock_edge,
invert_clock => invert_clock)
PORT MAP ( clk => clk0_dly2,
datain => shift_out,
dataout => tmp_dataout,
devclrn => devclrn,
devpor => devpor
);
clk_delay: process (clk0_ipd, datain)
begin
clk0_dly0 <= clk0_ipd;
datain_dly1 <= datain;
end process;
clk_delay1: process (clk0_dly0, datain_dly1)
begin
clk0_dly1 <= clk0_dly0;
datain_dly2 <= datain_dly1;
end process;
clk_delay2: process (clk0_dly1, datain_dly2)
begin
clk0_dly2 <= clk0_dly1;
datain_dly3 <= datain_dly2;
end process;
data_delay: process (datain_dly3)
begin
datain_dly4 <= datain_dly3;
end process;
data_delay1: process (datain_dly4)
begin
datain_dly <= datain_dly4;
end process;
VITAL: process (clk0_ipd, devclrn, devpor)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable i : integer := 0;
variable shift_data : std_logic_vector(channel_width-1 downto 0);
begin
if (now = 0 ns) then
shift_data := (OTHERS => '0');
end if;
if ((devpor = '0') or (devclrn = '0')) then
shift_data := (OTHERS => '0');
else
if (bypass_serializer = "false") then
if (clk0_ipd'event and clk0_ipd = '1') then
if (txload0 = '1') then
shift_data := input_data;
end if;
shift_out <= shift_data(channel_width - 1);
for i in channel_width-1 downto 1 loop
shift_data(i) := shift_data(i - 1);
end loop;
end if;
end if;
end if;
end process;
process (serialdatain_ipd, postdpaserialdatain_ipd, tmp_dataout)
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (serialdatain_ipd'event and use_serial_data_input = "true") then
dataout_tmp := serialdatain_ipd;
elsif (postdpaserialdatain_ipd'event and use_post_dpa_serial_data_input = "true") then
dataout_tmp := postdpaserialdatain_ipd;
else
dataout_tmp := tmp_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
if (use_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (serialdatain_ipd'last_event, tpd_serialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
elsif (use_post_dpa_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (postdpaserialdatain_ipd'last_event, tpd_postdpaserialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
else
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (tmp_dataout'last_event, DefPropDelay01, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_transmitter_atom;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END stratixii_lvds_reg;
ARCHITECTURE vital_stratixii_lvds_reg of stratixii_lvds_reg is
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, d_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_stratixii_lvds_reg;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_fifo_sync_ram
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_rx_fifo_sync_ram is
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END stratixii_lvds_rx_fifo_sync_ram;
ARCHITECTURE vital_arm_lvds_rx_fifo_sync_ram OF stratixii_lvds_rx_fifo_sync_ram IS
-- INTERNAL SIGNALS
signal dataout_tmp : std_logic;
signal ram_d : std_logic_vector(0 TO 5);
signal ram_q : std_logic_vector(0 TO 5);
signal data_reg : std_logic_vector(0 TO 5);
begin
dataout <= dataout_tmp;
process (clk, writereset)
variable initial : boolean := true;
begin
if (initial) then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
initial := false;
end if;
if (writereset = '1') then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
elsif (clk'event and clk = '1') then
for i in 0 to 5 loop
ram_q(i) <= ram_d(i);
end loop;
end if;
end process;
process (we, data_reg, ram_q)
begin
if (we = '1') then
ram_d <= data_reg;
else
ram_d <= ram_q;
end if;
end process;
data_reg(0) <= datain when (waddr = "000") else ram_q(0) ;
data_reg(1) <= datain when (waddr = "001") else ram_q(1) ;
data_reg(2) <= datain when (waddr = "010") else ram_q(2) ;
data_reg(3) <= datain when (waddr = "011") else ram_q(3) ;
data_reg(4) <= datain when (waddr = "100") else ram_q(4) ;
data_reg(5) <= datain when (waddr = "101") else ram_q(5) ;
process (ram_q, we, waddr, raddr)
variable initial : boolean := true;
begin
if (initial) then
dataout_tmp <= '0';
initial := false;
end if;
case raddr is
when "000" =>
dataout_tmp <= ram_q(0);
when "001" =>
dataout_tmp <= ram_q(1);
when "010" =>
dataout_tmp <= ram_q(2);
when "011" =>
dataout_tmp <= ram_q(3);
when "100" =>
dataout_tmp <= ram_q(4);
when "101" =>
dataout_tmp <= ram_q(5);
when others =>
dataout_tmp <= '0';
end case;
end process;
END vital_arm_lvds_rx_fifo_sync_ram;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_fifo
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_lvds_rx_fifo_sync_ram;
ENTITY stratixii_lvds_rx_fifo is
GENERIC ( channel_width : integer := 10;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_wclk : VitalDelayType01 := DefpropDelay01;
tipd_rclk : VitalDelayType01 := DefpropDelay01;
tipd_dparst : VitalDelayType01 := DefpropDelay01;
tipd_fiforst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_rclk_dataout_posedge: VitalDelayType01 := DefPropDelay01;
tpd_dparst_dataout_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( wclk : IN std_logic:= '0';
rclk : IN std_logic:= '0';
dparst : IN std_logic := '0';
fiforst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END stratixii_lvds_rx_fifo;
ARCHITECTURE vital_arm_lvds_rx_fifo of stratixii_lvds_rx_fifo is
-- INTERNAL SIGNALS
signal datain_in : std_logic;
signal rclk_in : std_logic;
signal dparst_in : std_logic;
signal fiforst_in : std_logic;
signal wclk_in : std_logic;
signal ram_datain : std_logic;
signal ram_dataout : std_logic;
signal wrPtr : std_logic_vector(2 DOWNTO 0);
signal rdPtr : std_logic_vector(2 DOWNTO 0);
signal rdAddr : std_logic_vector(2 DOWNTO 0);
signal ram_we : std_logic;
signal write_side_sync_reset : std_logic;
signal read_side_sync_reset : std_logic;
COMPONENT stratixii_lvds_rx_fifo_sync_ram
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (wclk_in, wclk, tipd_wclk);
VitalWireDelay (rclk_in, rclk, tipd_rclk);
VitalWireDelay (dparst_in, dparst, tipd_dparst);
VitalWireDelay (fiforst_in, fiforst, tipd_fiforst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
rdAddr <= rdPtr ;
s_fifo_ram : stratixii_lvds_rx_fifo_sync_ram
PORT MAP ( clk => wclk_in,
datain => ram_datain,
writereset => write_side_sync_reset,
waddr => wrPtr,
raddr => rdAddr,
we => ram_we,
dataout => ram_dataout
);
process (wclk_in, dparst_in)
variable initial : boolean := true;
begin
if (initial) then
wrPtr <= "000";
write_side_sync_reset <= '0';
ram_we <= '0';
ram_datain <= '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '1';
ram_datain <= '0';
wrPtr <= "000";
ram_we <= '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '0';
end if;
if (wclk_in'event and wclk_in = '1' and write_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
ram_datain <= datain_in;
ram_we <= '1';
case wrPtr is
when "000" => wrPtr <= "001";
when "001" => wrPtr <= "010";
when "010" => wrPtr <= "011";
when "011" => wrPtr <= "100";
when "100" => wrPtr <= "101";
when "101" => wrPtr <= "000";
when others => wrPtr <= "000";
end case;
end if;
end process;
process (rclk_in, dparst_in)
variable initial : boolean := true;
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (initial) then
rdPtr <= "011";
read_side_sync_reset <= '0';
dataout_tmp := '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '1';
rdPtr <= "011";
dataout_tmp := '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '0';
end if;
if (rclk_in'event and rclk_in = '1' and read_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
case rdPtr is
when "000" => rdPtr <= "001";
when "001" => rdPtr <= "010";
when "010" => rdPtr <= "011";
when "011" => rdPtr <= "100";
when "100" => rdPtr <= "101";
when "101" => rdPtr <= "000";
when others => rdPtr <= "000";
end case;
dataout_tmp := ram_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => dataout,
OutsignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (rclk_in'last_event, tpd_rclk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
END vital_arm_lvds_rx_fifo;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_bitslip
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_lvds_reg;
ENTITY stratixii_lvds_rx_bitslip is
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END stratixii_lvds_rx_bitslip;
ARCHITECTURE vital_arm_lvds_rx_bitslip OF stratixii_lvds_rx_bitslip IS
-- INTERNAL SIGNALS
signal clk0_in : std_logic;
signal bslipcntl_in : std_logic;
signal bsliprst_in : std_logic;
signal datain_in : std_logic;
signal slip_count : integer := 0;
signal dataout_tmp : std_logic;
signal bitslip_arr : std_logic_vector(11 DOWNTO 0) := "000000000000";
signal bslipcntl_reg : std_logic;
signal vcc : std_logic := '1';
signal slip_data : std_logic := '0';
signal start_corrupt_bits : std_logic := '0';
signal num_corrupt_bits : integer := 0;
COMPONENT stratixii_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_in, clk0, tipd_clk0);
VitalWireDelay (bslipcntl_in, bslipcntl, tipd_bslipcntl);
VitalWireDelay (bsliprst_in, bsliprst, tipd_bsliprst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
bslipcntlreg : stratixii_lvds_reg
PORT MAP ( d => bslipcntl_in,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => bslipcntl_reg
);
-- 4-bit slip counter and 12-bit shift register
process (bslipcntl_reg, bsliprst_in, clk0_in)
variable initial : boolean := true;
variable bslipmax_tmp : std_logic := '0';
variable bslipmax_VitalGlitchData : VitalGlitchDataType;
begin
if (bsliprst_in = '1') then
slip_count <= 0;
bslipmax_tmp := '0';
-- bitslip_arr <= (OTHERS => '0');
if (bsliprst_in'event and bsliprst_in = '1' and bsliprst_in'last_value = '0') then
ASSERT false report "Bit Slip Circuit was reset. Serial Data stream will have 0 latency" severity note;
end if;
else
if (bslipcntl_reg'event and bslipcntl_reg = '1' and bslipcntl_reg'last_value = '0') then
if (x_on_bitslip = "on") then
start_corrupt_bits <= '1';
end if;
num_corrupt_bits <= 0;
if (slip_count = bitslip_rollover) then
ASSERT false report "Rollover occurred on Bit Slip circuit. Serial data stream will have 0 latency." severity note;
slip_count <= 0;
bslipmax_tmp := '0';
else
slip_count <= slip_count + 1;
if ((slip_count + 1) = bitslip_rollover) then
ASSERT false report "The Bit Slip circuit has reached the maximum Bit Slip limit. Rollover will occur on the next slip." severity note;
bslipmax_tmp := '1';
end if;
end if;
elsif (bslipcntl_reg'event and bslipcntl_reg = '0' and bslipcntl_reg'last_value = '1') then
start_corrupt_bits <= '0';
num_corrupt_bits <= 0;
end if;
end if;
if (clk0_in'event and clk0_in = '1' and clk0_in'last_value = '0') then
bitslip_arr(0) <= datain_in;
for i in 0 to (bitslip_rollover - 1) loop
bitslip_arr(i + 1) <= bitslip_arr(i);
end loop;
if (start_corrupt_bits = '1') then
num_corrupt_bits <= num_corrupt_bits + 1;
end if;
if (num_corrupt_bits+1 = 3) then
start_corrupt_bits <= '0';
end if;
end if;
-- end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => bslipmax,
OutsignalName => "BSLIPMAX",
OutTemp => bslipmax_tmp,
Paths => (1 => (clk0_in'last_event, tpd_clk0_bslipmax_posedge, TRUE),
2 => (bsliprst_in'last_event, tpd_bsliprst_bslipmax_posedge, TRUE)),
GlitchData => bslipmax_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
-- Bit Slip shift register
-- process (clk0_in, bsliprst_in)
-- begin
-- if (bsliprst_in = '1') then
-- elsif (clk0_in'event and clk0_in = '1' and clk0'last_value = '0') then
-- bitslip_arr(0) <= datain_in;
-- for i in 0 to (bitslip_rollover - 1) loop
-- bitslip_arr(i + 1) <= bitslip_arr(i);
-- end loop;
--
-- if (start_corrupt_bits = '1') then
-- num_corrupt_bits <= num_corrupt_bits + 1;
-- end if;
-- if (num_corrupt_bits+1 = 3) then
-- start_corrupt_bits <= '0';
-- end if;
-- end if;
-- end process;
slip_data <= bitslip_arr(slip_count);
dataoutreg : stratixii_lvds_reg
PORT MAP ( d => slip_data,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => dataout_tmp
);
dataout <= dataout_tmp when start_corrupt_bits = '0' else
'X' when start_corrupt_bits = '1' and num_corrupt_bits < 3 else
dataout_tmp;
END vital_arm_lvds_rx_bitslip;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_deser
--
-- Description : Timing simulation model for the STRATIXII LVDS RECEIVER
-- DESERIALIZER. This module receives serial data and outputs
-- parallel data word of width = channel width
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_rx_deser IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_lvds_rx_deser;
ARCHITECTURE vital_arm_lvds_rx_deser OF stratixii_lvds_rx_deser IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (devclrn = '0' or devpor = '0') then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0') then
for i in channel_width - 1 DOWNTO 1 loop
dataout_tmp(i) := dataout_tmp(i - 1);
end loop;
dataout_tmp(0) := datain_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_deser;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixii_lvds_rx_parallel_reg
--
-- Description : Timing simulation model for the STRATIXII LVDS RECEIVER
-- PARALLEL REGISTER. The data width equals max. channel width,
-- which is 10.
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
ENTITY stratixii_lvds_rx_parallel_reg IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_lvds_rx_parallel_reg;
ARCHITECTURE vital_arm_lvds_rx_parallel_reg OF stratixii_lvds_rx_parallel_reg IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
signal enable_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_parallel_reg;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : STRATIXII_LVDS_RECEIVER
--
-- Description : Timing simulation model for the STRATIXII LVDS RECEIVER
-- atom. This module instantiates the following sub-modules :
-- 1) stratixii_lvds_rx_fifo
-- 2) stratixii_lvds_rx_bitslip
-- 3) DFFEs for the LOADEN signals
-- 4) stratixii_lvds_rx_parallel_reg
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixii_atom_pack.all;
USE work.stratixii_lvds_rx_bitslip;
USE work.stratixii_lvds_rx_fifo;
USE work.stratixii_lvds_rx_deser;
USE work.stratixii_lvds_rx_parallel_reg;
USE work.stratixii_lvds_reg;
ENTITY stratixii_lvds_receiver IS
GENERIC ( channel_width : integer := 10;
data_align_rollover : integer := 2;
enable_dpa : string := "off";
lose_lock_on_one_change : string := "off";
reset_fifo_at_first_lock : string := "on";
align_to_rising_edge_only : string := "on";
use_serial_feedback_input : string := "off";
dpa_debug : string := "off";
x_on_bitslip : string := "on";
lpm_type : string := "stratixii_lvds_receiver";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_dpareset : VitalDelayType01 := DefpropDelay01;
tipd_dpahold : VitalDelayType01 := DefpropDelay01;
tipd_dpaswitch : VitalDelayType01 := DefpropDelay01;
tipd_fiforeset : VitalDelayType01 := DefpropDelay01;
tipd_bitslip : VitalDelayType01 := DefpropDelay01;
tipd_bitslipreset : VitalDelayType01 := DefpropDelay01;
tipd_serialfbk : VitalDelayType01 := DefpropDelay01;
tpd_clk0_dpalock_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic;
datain : IN std_logic := '0';
enable0 : IN std_logic := '0';
dpareset : IN std_logic := '0';
dpahold : IN std_logic := '0';
dpaswitch : IN std_logic := '0';
fiforeset : IN std_logic := '0';
bitslip : IN std_logic := '0';
bitslipreset : IN std_logic := '0';
serialfbk : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
dpalock : OUT std_logic;
bitslipmax : OUT std_logic;
serialdataout : OUT std_logic;
postdpaserialdataout : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_lvds_receiver;
ARCHITECTURE vital_arm_lvds_receiver OF stratixii_lvds_receiver IS
COMPONENT stratixii_lvds_rx_bitslip
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixii_lvds_rx_fifo
GENERIC ( channel_width : integer := 10
);
PORT ( wclk : IN std_logic := '0';
rclk : IN std_logic := '0';
fiforst : IN std_logic := '0';
dparst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixii_lvds_rx_deser
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
datain : IN std_logic;
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT stratixii_lvds_rx_parallel_reg
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT stratixii_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
-- INTERNAL SIGNALS
signal bitslip_ipd : std_logic;
signal bitslipreset_ipd : std_logic;
signal clk0_ipd : std_logic;
signal datain_ipd : std_logic;
signal dpahold_ipd : std_logic;
signal dpareset_ipd : std_logic;
signal dpaswitch_ipd : std_logic;
signal enable0_ipd : std_logic;
signal fiforeset_ipd : std_logic;
signal serialfbk_ipd : std_logic;
signal fifo_wclk : std_logic;
signal fifo_rclk : std_logic;
signal fifo_datain : std_logic;
signal fifo_dataout : std_logic;
signal fifo_reset : std_logic;
signal slip_datain : std_logic;
signal slip_dataout : std_logic;
signal bitslip_reset : std_logic;
-- wire deser_dataout;
signal dpareg0_out : std_logic;
signal dpareg1_out : std_logic;
signal dpa_clk : std_logic;
signal dpa_rst : std_logic;
signal datain_reg : std_logic;
signal deser_dataout : std_logic_vector(channel_width - 1 DOWNTO 0);
signal reset_fifo : std_logic;
signal first_dpa_lock : std_logic;
signal loadreg_datain : std_logic_vector(channel_width - 1 DOWNTO 0);
signal reset_int : std_logic;
signal gnd : std_logic := '0';
signal vcc : std_logic := '1';
signal in_reg_data : std_logic;
signal clk0_dly : std_logic;
signal datain_tmp : std_logic;
-- INTERNAL PARAMETERS
CONSTANT DPA_CYCLES_TO_LOCK : integer := 2;
signal xhdl_12 : std_logic;
signal rxload : std_logic;
begin
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (enable0_ipd, enable0, tipd_enable0);
VitalWireDelay (dpareset_ipd, dpareset, tipd_dpareset);
VitalWireDelay (dpahold_ipd, dpahold, tipd_dpahold);
VitalWireDelay (dpaswitch_ipd, dpaswitch, tipd_dpaswitch);
VitalWireDelay (fiforeset_ipd, fiforeset, tipd_fiforeset);
VitalWireDelay (bitslip_ipd, bitslip, tipd_bitslip);
VitalWireDelay (bitslipreset_ipd, bitslipreset, tipd_bitslipreset);
VitalWireDelay (serialfbk_ipd, serialfbk, tipd_serialfbk);
end block;
fifo_rclk <= clk0_ipd WHEN (enable_dpa = "on") ELSE gnd ;
fifo_wclk <= dpa_clk ;
fifo_datain <= dpareg1_out WHEN (enable_dpa = "on") ELSE gnd ;
reset_int <= (NOT devpor) OR (NOT devclrn) ;
fifo_reset <= (NOT devpor) OR (NOT devclrn) OR fiforeset_ipd OR dpareset_ipd OR reset_fifo ;
bitslip_reset <= (NOT devpor) OR (NOT devclrn) OR bitslipreset_ipd ;
in_reg_data <= serialfbk_ipd WHEN (use_serial_feedback_input = "on") ELSE datain_ipd;
clk0_dly <= clk0_ipd;
xhdl_12 <= devclrn OR devpor;
-- SUB-MODULE INSTANTIATION
-- input register in non-DPA mode for sampling incoming data
in_reg : stratixii_lvds_reg
PORT MAP ( d => in_reg_data,
clk => clk0_dly,
ena => vcc,
clrn => xhdl_12,
prn => vcc,
q => datain_reg
);
dpa_clk <= clk0_ipd when (enable_dpa = "on") else '0' ;
dpa_rst <= dpareset_ipd when (enable_dpa = "on") else '0' ;
process (dpa_clk, dpa_rst)
variable dpa_lock_count : integer := 0;
variable dparst_msg : boolean := false;
variable dpa_is_locked : std_logic := '0';
variable dpalock_VitalGlitchData : VitalGlitchDataType;
variable initial : boolean := true;
begin
if (initial) then
if (reset_fifo_at_first_lock = "on") then
reset_fifo <= '1';
else
reset_fifo <= '0';
end if;
initial := false;
end if;
if (dpa_rst = '1') then
dpa_is_locked := '0';
dpa_lock_count := 0;
if (not dparst_msg) then
ASSERT false report "DPA was reset" severity note;
dparst_msg := true;
end if;
elsif (dpa_clk'event and dpa_clk = '1') then
dparst_msg := false;
if (dpa_is_locked = '0') then
dpa_lock_count := dpa_lock_count + 1;
if (dpa_lock_count > DPA_CYCLES_TO_LOCK) then
dpa_is_locked := '1';
ASSERT false report "DPA locked" severity note;
reset_fifo <= '0';
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => dpalock,
OutSignalName => "DPALOCK",
OutTemp => dpa_is_locked,
Paths => (1 => (clk0_ipd'last_event, tpd_clk0_dpalock_posedge, enable_dpa = "on")),
GlitchData => dpalock_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
-- ?????????? insert delay to mimic DPLL dataout ?????????
-- DPA registers
dpareg0 : stratixii_lvds_reg
PORT MAP ( d => in_reg_data,
clk => dpa_clk,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg0_out
);
dpareg1 : stratixii_lvds_reg
PORT MAP ( d => dpareg0_out,
clk => dpa_clk,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg1_out
);
s_fifo : stratixii_lvds_rx_fifo
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( wclk => fifo_wclk,
rclk => fifo_rclk,
fiforst => fifo_reset,
dparst => dpa_rst,
datain => fifo_datain,
dataout => fifo_dataout
);
slip_datain <= fifo_dataout when (enable_dpa = "on" and dpaswitch_ipd = '1') else datain_reg ;
s_bslip : stratixii_lvds_rx_bitslip
GENERIC MAP ( bitslip_rollover => data_align_rollover,
channel_width => channel_width,
x_on_bitslip => x_on_bitslip
)
PORT MAP ( clk0 => clk0_dly,
bslipcntl => bitslip_ipd,
bsliprst => bitslip_reset,
datain => slip_datain,
bslipmax => bitslipmax,
dataout => slip_dataout
);
--********* DESERIALISER *********//
-- only 1 enable signal used for StratixII
rxload_reg : stratixii_lvds_reg
PORT MAP ( d => enable0_ipd,
clk => clk0_dly,
ena => vcc,
clrn => vcc,
prn => vcc,
q => rxload
);
s_deser : stratixii_lvds_rx_deser
GENERIC MAP (channel_width => channel_width
)
PORT MAP (clk => clk0_dly,
datain => slip_dataout,
devclrn => devclrn,
devpor => devpor,
dataout => deser_dataout
);
output_reg : stratixii_lvds_rx_parallel_reg
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( clk => clk0_dly,
enable => rxload,
datain => deser_dataout,
devpor => devpor,
devclrn => devclrn,
dataout => dataout
);
postdpaserialdataout <= dpareg1_out ;
serialdataout <= datain_ipd;
END vital_arm_lvds_receiver;
-------------------------------------------------------------------------------
--
-- Entity Name : StratixII_dll
--
-- Outputs : delayctrlout - current delay chain settings for DQS pin
-- offsetctrlout - current delay offset setting
-- dqsupdate - update enable signal for delay setting latces
-- upndnout - raw output of the phase comparator
--
-- Inputs : clk - reference clock matching in frequency to DQS clock
-- aload - asychronous load signal for delay setting counter
-- when asserted, counter is loaded with initial value
-- offset - offset added/subtracted from delayctrlout
-- upndnin - up/down input port for delay setting counter in
-- use_updndnin mode (user control mode)
-- upndninclkena - clock enable for the delaying setting counter
-- addnsub - dynamically control +/- on offsetctrlout
--
-- Formulae : delay (input_period) = sim_loop_intrinsic_delay +
-- sim_loop_delay_increment * dllcounter;
--
-- Latency : 3 (clk8 cycles) = pc + dc + dr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
USE work.stratixii_pllpack.all;
ENTITY stratixii_dll is
GENERIC (
input_frequency : string := "10000 ps";
delay_chain_length : integer := 16;
delay_buffer_mode : string := "low";
delayctrlout_mode : string := "normal";
static_delay_ctrl : integer := 0;
offsetctrlout_mode : string := "static";
static_offset : string := "0";
jitter_reduction : string := "false";
use_upndnin : string := "false";
use_upndninclkena : string := "false";
sim_valid_lock : integer := 1;
sim_loop_intrinsic_delay : integer := 1000;
sim_loop_delay_increment : integer := 100;
sim_valid_lockcount : integer := 90; -- 10000 = 1000 + 100*dllcounter
lpm_type : string := "stratixii_dll";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_offset : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_upndnin : VitalDelayType01 := DefpropDelay01;
tipd_upndninclkena : VitalDelayType01 := DefpropDelay01;
tipd_addnsub : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_offset_delayctrlout : VitalDelayType01 := DefPropDelay01;
tpd_clk_upndnout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_delayctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
offset : IN std_logic_vector(5 DOWNTO 0) := "000000";
upndnin : IN std_logic := '1';
upndninclkena : IN std_logic := '1';
addnsub : IN std_logic := '1';
delayctrlout : OUT std_logic_vector(5 DOWNTO 0);
offsetctrlout : OUT std_logic_vector(5 DOWNTO 0);
dqsupdate : OUT std_logic;
upndnout : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixii_dll;
ARCHITECTURE vital_armdll of stratixii_dll is
-- tuncate input integer to get 6 LSB bits
function dll_unsigned2bin (in_int : integer) return std_logic_vector is
variable tmp_int, i : integer;
variable tmp_bit : integer;
variable result : std_logic_vector(5 downto 0) := "000000";
begin
tmp_int := in_int;
for i in 0 to 5 loop
tmp_bit := tmp_int MOD 2;
if (tmp_bit = 1) then
result(i) := '1';
else
result(i) := '0';
end if;
tmp_int := tmp_int/2;
end loop;
return result;
end dll_unsigned2bin;
signal clk_in : std_logic := '0';
signal aload_in : std_logic := '0';
signal offset_in : std_logic_vector(5 DOWNTO 0) := "000000";
signal upndn_in : std_logic := '0';
signal upndninclkena_in : std_logic := '1';
signal addnsub_in : std_logic := '0';
signal delayctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal offsetctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal dqsupdate_out : std_logic := '1';
signal upndn_out : std_logic := '0';
signal para_delay_buffer_mode : std_logic_vector (1 DOWNTO 0) := "01";
signal para_delayctrlout_mode : std_logic_vector (1 DOWNTO 0) := "00";
signal para_offsetctrlout_mode : std_logic_vector (1 DOWNTO 0) := "00";
signal para_static_offset : integer := 0;
signal para_static_delay_ctrl : integer := 0;
signal para_jitter_reduction : std_logic := '0';
signal para_use_upndnin : std_logic := '0';
signal para_use_upndninclkena : std_logic := '1';
-- INTERNAL NETS AND VARIABLES
-- for functionality - by modules
-- delay and offset control out resolver
signal dr_delayctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_delayctrl_int : integer := 0;
signal dr_offsetctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsetctrl_int : integer := 0;
signal dr_offset_in : integer := 0;
signal dr_dllcount_in : integer := 0;
signal dr_addnsub_in : std_logic := '1';
signal dr_clk8_in : std_logic := '0';
signal dr_aload_in : std_logic := '0';
signal dr_reg_offset : integer := 0;
signal dr_reg_dllcount : integer := 0;
signal dr_delayctrl_out_tmp : integer := 0;
-- delay chain setting counter
signal dc_dllcount_out : integer := 0;
signal dc_dqsupdate_out : std_logic := '0';
signal dc_upndn_in : std_logic := '1';
signal dc_aload_in : std_logic := '0';
signal dc_upndnclkena_in : std_logic := '1';
signal dc_clk8_in : std_logic := '0';
signal dc_clk1_in : std_logic := '0';
signal dc_dlltolock_in : std_logic := '0';
signal dc_reg_dllcount : integer := 0;
signal dc_reg_dlltolock_pulse : std_logic := '0';
-- jitter reduction counter
signal jc_upndn_out : std_logic := '0';
signal jc_upndnclkena_out : std_logic := '1';
signal jc_clk8_in : std_logic := '0';
signal jc_upndn_in : std_logic := '1';
signal jc_aload_in : std_logic := '0';
signal jc_count : integer := 8;
signal jc_reg_upndn : std_logic := '0';
signal jc_reg_upndnclkena : std_logic := '0';
-- phase comparator
signal pc_upndn_out : std_logic := '1';
signal pc_dllcount_in : integer := 0;
signal pc_clk1_in : std_logic := '0';
signal pc_clk8_in : std_logic := '0';
signal pc_aload_in : std_logic := '0';
signal pc_reg_upndn : std_logic := '1';
signal pc_delay : integer := 0;
-- clock generator
signal cg_clk_in : std_logic := '0';
signal cg_aload_in : std_logic := '0';
signal cg_clk1_out : std_logic := '0';
signal cg_clk8a_out : std_logic := '0';
signal cg_clk8b_out : std_logic := '0';
-- por: 000
signal cg_reg_1 : std_logic := '0';
signal cg_rega_2 : std_logic := '0';
signal cg_rega_3 : std_logic := '0';
-- por: 010
signal cg_regb_2 : std_logic := '1';
signal cg_regb_3 : std_logic := '0';
-- for violation checks
signal dll_to_lock : std_logic := '0';
signal input_period : integer := 10000;
signal clk_in_last_value : std_logic := 'X';
begin
-- paramters
input_period <= dqs_str2int(input_frequency);
para_static_offset <= dqs_str2int(static_offset);
para_static_delay_ctrl <= static_delay_ctrl;
para_use_upndnin <= '1' WHEN use_upndnin = "true" ELSE '0';
para_jitter_reduction <= '1' WHEN jitter_reduction = "true" ELSE '0';
para_use_upndninclkena <= '1' WHEN use_upndninclkena = "true" ELSE '0';
para_delay_buffer_mode <= "00" WHEN delay_buffer_mode = "auto" ELSE "01" WHEN delay_buffer_mode = "low" ELSE "10";
para_delayctrlout_mode <= "01" WHEN delayctrlout_mode = "offset_only" ELSE "10" WHEN delayctrlout_mode="normal_offset" ELSE "11" WHEN delayctrlout_mode="static" ELSE "00";
para_offsetctrlout_mode <= "11" WHEN offsetctrlout_mode = "dynamic_addnsub" ELSE "10" WHEN offsetctrlout_mode = "dynamic_sub" ELSE "01" WHEN offsetctrlout_mode = "dynamic_add" ELSE "00";
-- violation check block
process (clk_in)
variable got_first_rising_edge : std_logic := '0';
variable got_first_falling_edge : std_logic := '0';
variable per_violation : std_logic := '0';
variable duty_violation : std_logic := '0';
variable sent_per_violation : std_logic := '0';
variable sent_duty_violation : std_logic := '0';
variable clk_in_last_rising_edge : time := 0 ps;
variable clk_in_last_falling_edge : time := 0 ps;
variable input_period_ps : time := 10000 ps;
variable duty_cycle : time := 5000 ps;
variable clk_in_period : time := 10000 ps;
variable clk_in_duty_cycle : time := 5000 ps;
variable clk_per_tolerance : time := 2 ps;
variable half_cycles_to_lock : integer := 1;
variable init : boolean := true;
begin
if (init) then
input_period_ps := dqs_str2int(input_frequency) * 1 ps;
if (input_period_ps = 0 ps) then
assert false report "Need to specify ps scale in simulation command" severity error;
end if;
duty_cycle := input_period_ps/2;
clk_per_tolerance := 2 ps;
half_cycles_to_lock := 0;
init := false;
end if;
if (clk_in'event and clk_in = '1') then -- rising edge
if (got_first_rising_edge = '0') then
got_first_rising_edge := '1';
else -- subsequent rising
-- check for clock period and duty cycle violation
clk_in_period := now - clk_in_last_rising_edge;
clk_in_duty_cycle := now - clk_in_last_falling_edge;
if ((clk_in_period < (input_period_ps - clk_per_tolerance)) or (clk_in_period > (input_period_ps + clk_per_tolerance))) then
per_violation := '1';
if (sent_per_violation /= '1') then
sent_per_violation := '1';
assert false report "Input clock frequency violation." severity warning;
end if;
elsif ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
if (per_violation = '1') then
sent_per_violation := '0';
assert false report "Input clock frequency now matches specified clock frequency." severity warning;
end if;
per_violation := '0';
duty_violation := '0';
end if;
end if;
if (per_violation = '0' and duty_violation = '0' and dll_to_lock = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
if (half_cycles_to_lock >= sim_valid_lock) then
dll_to_lock <= '1';
assert false report "DLL to lock to incoming clock" severity note;
end if;
end if;
clk_in_last_rising_edge := now;
elsif (clk_in'event and clk_in = '0') then -- falling edge
got_first_falling_edge := '1';
if (got_first_rising_edge = '1') then
-- duty cycle check
clk_in_duty_cycle := now - clk_in_last_rising_edge;
if ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
duty_violation := '0';
end if;
if (dll_to_lock = '0' and duty_violation = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
end if;
end if;
clk_in_last_falling_edge := now;
elsif (got_first_falling_edge = '1' or got_first_rising_edge = '1') then
-- switches from 1, 0 to X
half_cycles_to_lock := 0;
got_first_rising_edge := '0';
got_first_falling_edge := '0';
if (dll_to_lock = '1') then
dll_to_lock <= '0';
assert false report "Illegal value detected on input clock. DLL will lose lock." severity error;
else
assert false report "Illegal value detected on input clock." severity error;
end if;
end if;
clk_in_last_value <= clk_in;
end process ; -- violation check
-- outputs
delayctrl_out <= dr_delayctrl_out;
offsetctrl_out <= dr_offsetctrl_out;
dqsupdate_out <= cg_clk8a_out;
upndn_out <= pc_upndn_out;
-- Delay and offset ctrl out resolver -------------------------------------
-------- convert calculations into integer
-- inputs
dr_clk8_in <= not cg_clk8b_out;
dr_offset_in <= (64 - alt_conv_integer(offset_in)) WHEN ((offset_in /= "000000") AND ((offsetctrlout_mode = "dynamic_addnsub" AND addnsub_in = '0') or (offsetctrlout_mode = "dynamic_sub"))) ELSE
alt_conv_integer(offset_in);
dr_dllcount_in <= dc_dllcount_out;
dr_addnsub_in <= addnsub_in;
dr_aload_in <= aload_in;
-- outputs
dr_delayctrl_out <= dll_unsigned2bin(dr_delayctrl_out_tmp);
dr_offsetctrl_out <= dll_unsigned2bin(dr_reg_offset);
dr_delayctrl_out_tmp <= dr_offset_in WHEN (delayctrlout_mode = "offset_only") ELSE
dr_reg_offset WHEN (delayctrlout_mode = "normal_offset") ELSE
dr_reg_dllcount;
dr_delayctrl_int <= para_static_delay_ctrl WHEN (delayctrlout_mode = "static") ELSE
dr_dllcount_in;
dr_offsetctrl_int <= para_static_offset WHEN (offsetctrlout_mode = "static") ELSE
dr_offset_in;
-- model
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_dllcount <= 0;
elsif (dr_clk8_in = '1' and dr_clk8_in'event and dr_aload_in /= '1') then
dr_reg_dllcount <= dr_delayctrl_int;
end if;
end process;
-- generating dr_reg_offset
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_offset <= 0;
elsif (dr_aload_in /= '1' and dr_clk8_in = '1' and dr_clk8_in'event) then
if (offsetctrlout_mode = "dynamic_addnsub") then
if (dr_addnsub_in = '1') then
if (dr_delayctrl_int < 63 - dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int + dr_offset_in;
else
dr_reg_offset <= 63;
end if;
elsif (dr_addnsub_in = '0') then
if (dr_delayctrl_int > dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int - dr_offset_in;
else
dr_reg_offset <= 0;
end if;
end if;
elsif (offsetctrlout_mode = "dynamic_sub") then
if (dr_delayctrl_int > dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int - dr_offset_in;
else
dr_reg_offset <= 0;
end if;
elsif (offsetctrlout_mode = "dynamic_add") then
if (dr_delayctrl_int < 63 - dr_offset_in) then
dr_reg_offset <= dr_delayctrl_int + dr_offset_in;
else
dr_reg_offset <= 63;
end if;
elsif (offsetctrlout_mode = "static") then
if (para_static_offset >= 0) then
if ((para_static_offset < 64) AND (para_static_offset < 64 - dr_delayctrl_int)) then
dr_reg_offset <= dr_delayctrl_int + para_static_offset;
else
dr_reg_offset <= 64;
end if;
else
if ((para_static_offset > -63) AND (dr_delayctrl_int > (-1)*para_static_offset)) then
dr_reg_offset <= dr_delayctrl_int + para_static_offset;
else
dr_reg_offset <= 0;
end if;
end if;
else
dr_reg_offset <= 14; -- error
end if; -- modes
end if; -- rising clock
end process ; -- generating dr_reg_offset
-- Delay Setting Control Counter ------------------------------------------
--inputs
dc_dlltolock_in <= dll_to_lock;
dc_aload_in <= aload_in;
dc_clk1_in <= cg_clk1_out;
dc_clk8_in <= not cg_clk8b_out;
dc_upndnclkena_in <= jc_upndnclkena_out WHEN (para_jitter_reduction = '1') ELSE
upndninclkena WHEN (para_use_upndninclkena = '1') ELSE
'1';
dc_upndn_in <= upndnin WHEN (para_use_upndnin = '1') ELSE
jc_upndn_out WHEN (para_jitter_reduction = '1') ELSE
pc_upndn_out;
-- outputs
dc_dllcount_out <= dc_reg_dllcount;
-- dll counter logic
process(dc_clk8_in, dc_aload_in, dc_dlltolock_in)
variable dc_var_dllcount : integer := 64;
variable init : boolean := true;
begin
if (init) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
init := false;
end if;
if (dc_aload_in = '1' and dc_aload_in'event) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
elsif (dc_aload_in /= '1' and dc_dlltolock_in = '1' and dc_reg_dlltolock_pulse /= '1' and
dc_upndnclkena_in = '1' and para_use_upndnin = '0') then
dc_var_dllcount := sim_valid_lockcount;
dc_reg_dlltolock_pulse <= '1';
elsif (dc_aload_in /= '1' and
dc_upndnclkena_in = '1' and dc_clk8_in'event and dc_clk8_in = '1') then -- posedge clk
if (dc_upndn_in = '1') then
if ((para_delay_buffer_mode = "01" and dc_var_dllcount < 63) or
(para_delay_buffer_mode /= "01" and dc_var_dllcount < 31)) then
dc_var_dllcount := dc_var_dllcount + 1;
end if;
elsif (dc_upndn_in = '0') then
if (dc_var_dllcount > 0) then
dc_var_dllcount := dc_var_dllcount - 1;
end if;
end if;
end if; -- rising clock
-- schedule signal dc_reg_dllcount
dc_reg_dllcount <= dc_var_dllcount;
end process;
-- Jitter reduction counter -----------------------------------------------
-- inputs
jc_clk8_in <= not cg_clk8b_out;
jc_upndn_in <= pc_upndn_out;
jc_aload_in <= aload_in;
-- outputs
jc_upndn_out <= jc_reg_upndn;
jc_upndnclkena_out <= jc_reg_upndnclkena;
-- Model
process (jc_clk8_in, jc_aload_in)
begin
if (jc_aload_in = '1' and jc_aload_in'event) then
jc_count <= 8;
elsif (jc_aload_in /= '1' and jc_clk8_in'event and jc_clk8_in = '1') then
if (jc_count = 12) then
jc_reg_upndn <= '1';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
elsif (jc_count = 4) then
jc_reg_upndn <= '0';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
else -- increment/decrement counter
jc_reg_upndnclkena <= '0';
if (jc_upndn_in = '1') then
jc_count <= jc_count + 1;
elsif (jc_upndn_in = '0') then
jc_count <= jc_count - 1;
end if;
end if;
end if;
end process;
-- Phase comparator -------------------------------------------------------
-- inputs
pc_clk1_in <= cg_clk1_out;
pc_clk8_in <= cg_clk8b_out; -- positive
pc_dllcount_in <= dc_dllcount_out; -- for phase loop calculation
pc_aload_in <= aload_in;
-- outputs
pc_upndn_out <= pc_reg_upndn;
-- parameter used
-- sim_loop_intrinsic_delay, sim_loop_delay_increment
-- Model
process (pc_clk8_in, pc_aload_in)
variable pc_var_delay : integer := 0;
begin
if (pc_aload_in = '1' and pc_aload_in'event) then
pc_var_delay := 0;
elsif (pc_aload_in /= '1' and pc_clk8_in'event and pc_clk8_in = '1' ) then
pc_var_delay := sim_loop_intrinsic_delay + sim_loop_delay_increment * pc_dllcount_in;
if (pc_var_delay > input_period) then
pc_reg_upndn <= '0';
else
pc_reg_upndn <= '1';
end if;
pc_delay <= pc_var_delay;
end if;
end process;
-- Clock Generator -------------------------------------------------------
-- inputs
cg_clk_in <= clk_in;
cg_aload_in <= aload_in;
-- outputs
cg_clk8a_out <= cg_rega_3;
cg_clk8b_out <= cg_regb_3;
cg_clk1_out <= '0' WHEN cg_aload_in = '1' ELSE cg_clk_in;
-- Model
process(cg_clk1_out, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_reg_1 <= '0';
elsif (cg_aload_in /= '1' and cg_clk1_out = '1' and cg_clk1_out'event) then
cg_reg_1 <= not cg_reg_1;
end if;
end process;
process(cg_reg_1, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_2 <= '0';
cg_regb_2 <= '1';
elsif (cg_aload_in /= '1' and cg_reg_1 = '1' and cg_reg_1'event) then
cg_rega_2 <= not cg_rega_2;
cg_regb_2 <= not cg_regb_2;
end if;
end process;
process (cg_rega_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_3 <= '0';
elsif (cg_aload_in /= '1' and cg_rega_2 = '1' and cg_rega_2'event) then
cg_rega_3 <= not cg_rega_3;
end if;
end process;
process (cg_regb_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_regb_3 <= '0';
elsif (cg_aload_in /= '1' and cg_regb_2 = '1' and cg_regb_2'event) then
cg_regb_3 <= not cg_regb_3;
end if;
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (aload_in, aload, tipd_aload);
VitalWireDelay (upndn_in, upndnin, tipd_upndnin);
VitalWireDelay (addnsub_in, addnsub, tipd_addnsub);
VitalWireDelay (offset_in(0), offset(0), tipd_offset(0));
VitalWireDelay (offset_in(1), offset(1), tipd_offset(1));
VitalWireDelay (offset_in(2), offset(2), tipd_offset(2));
VitalWireDelay (offset_in(3), offset(3), tipd_offset(3));
VitalWireDelay (offset_in(4), offset(4), tipd_offset(4));
VitalWireDelay (offset_in(5), offset(5), tipd_offset(5));
VitalWireDelay (upndninclkena_in, upndninclkena, tipd_upndninclkena);
end block;
------------------------
-- Timing Check Section
------------------------
VITALtiming : process (clk_in, offset_in, upndn_in, upndninclkena_in, addnsub_in,
delayctrl_out, offsetctrl_out, dqsupdate_out, upndn_out)
variable Tviol_offset_clk : std_ulogic := '0';
variable Tviol_upndnin_clk : std_ulogic := '0';
variable Tviol_addnsub_clk : std_ulogic := '0';
variable Tviol_upndninclkena_clk : std_ulogic := '0';
variable TimingData_offset_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_upndnin_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_addnsub_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_upndninclkena_clk : VitalTimingDataType := VitalTimingDataInit;
variable delayctrlout_VitalGlitchDataArray : VitalGlitchDataArrayType(5 downto 0);
variable upndnout_VitalGlitchData : VitalGlitchDataType;
begin
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_offset_clk,
TimingData => TimingData_offset_clk,
TestSignal => offset_in,
TestSignalName => "OFFSET",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_offset_clk_noedge_posedge(0),
SetupLow => tsetup_offset_clk_noedge_posedge(0),
HoldHigh => thold_offset_clk_noedge_posedge(0),
HoldLow => thold_offset_clk_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/SRRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_upndnin_clk,
TimingData => TimingData_upndnin_clk,
TestSignal => upndn_in,
TestSignalName => "UPNDNIN",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndnin_clk_noedge_posedge,
SetupLow => tsetup_upndnin_clk_noedge_posedge,
HoldHigh => thold_upndnin_clk_noedge_posedge,
HoldLow => thold_upndnin_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_upndninclkena_clk,
TimingData => TimingData_upndninclkena_clk,
TestSignal => upndninclkena_in,
TestSignalName => "UPNDNINCLKENA",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndninclkena_clk_noedge_posedge,
SetupLow => tsetup_upndninclkena_clk_noedge_posedge,
HoldHigh => thold_upndninclkena_clk_noedge_posedge,
HoldLow => thold_upndninclkena_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_addnsub_clk,
TimingData => TimingData_addnsub_clk,
TestSignal => addnsub_in,
TestSignalName => "ADDNSUB",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_addnsub_clk_noedge_posedge,
SetupLow => tsetup_addnsub_clk_noedge_posedge,
HoldHigh => thold_addnsub_clk_noedge_posedge,
HoldLow => thold_addnsub_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
----------------------
-- Path Delay Section
----------------------
offsetctrlout <= offsetctrl_out;
dqsupdate <= dqsupdate_out;
VitalPathDelay01 (
OutSignal => upndnout,
OutSignalName => "UPNDNOUT",
OutTemp => upndn_out,
Paths => (0 => (clk_in'last_event, tpd_clk_upndnout_posedge, TRUE)),
GlitchData => upndnout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(0),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(0),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(1),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(1),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(2),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(2),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(3),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(3),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(4),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(4),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(5),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(5),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE),
1 => (offset_in'last_event, tpd_offset_delayctrlout, TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process; -- vital timing
end vital_armdll;
--
--
-- STRATIXII_RUBLOCK Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixii_atom_pack.all;
library grlib;
use grlib.stdlib.all;
entity stratixii_rublock is
generic
(
operation_mode : string := "remote";
sim_init_config : string := "factory";
sim_init_watchdog_value : integer := 0;
sim_init_page_select : integer := 0;
sim_init_status : integer := 0;
lpm_type : string := "stratixii_rublock"
);
port
(
clk : in std_logic;
shiftnld : in std_logic;
captnupdt : in std_logic;
regin : in std_logic;
rsttimer : in std_logic;
rconfig : in std_logic;
regout : out std_logic;
pgmout : out std_logic_vector(2 downto 0)
);
end stratixii_rublock;
architecture architecture_rublock of stratixii_rublock is
signal update_reg : std_logic_vector(20 downto 0);
signal status_reg : std_logic_vector(4 downto 0) := conv_std_logic_vector(sim_init_status, 5);
signal shift_reg : std_logic_vector(25 downto 0) := (others => '0');
signal pgmout_update : std_logic_vector(2 downto 0) := (others => '0');
begin
-- regout is output of shift-reg bit 0
-- note that in Stratix, there is an inverter to regout.
-- but in Stratix II, there is no inverter.
regout <= shift_reg(0);
-- pgmout is set when reconfig is asserted
pgmout <= pgmout_update;
process (clk)
begin
-- initialize registers/outputs
if ( now = 0 ns ) then
-- wd_timeout field
update_reg(20 downto 9) <= conv_std_logic_vector(sim_init_watchdog_value, 12);
-- wd enable field
if (sim_init_watchdog_value > 0) then
update_reg(8) <= '1';
else
update_reg(8) <= '0';
end if;
-- PGM[] field
update_reg(7 downto 1) <= conv_std_logic_vector(sim_init_page_select, 7);
-- AnF bit
if (sim_init_config = "factory") then
update_reg(0) <= '0';
else
update_reg(0) <= '1';
end if;
--to-do: print field values
--report "Remote Update Block: Initial configuration:";
--report " -> Field CRC, POF ID, SW ID Error Caused Reconfiguration is set to" & status_reg(0);
--report " -> Field nSTATUS Caused Reconfiguration is set to %s", status_reg[1] ? "True" : "False";
--report " -> Field Core nCONFIG Caused Reconfiguration is set to %s", status_reg[2] ? "True" : "False";
--report " -> Field Pin nCONFIG Caused Reconfiguration is set to %s", status_reg[3] ? "True" : "False";
--report " -> Field Watchdog Timeout Caused Reconfiguration is set to %s", status_reg[4] ? "True" : "False";
--report " -> Field Current Configuration is set to %s", update_reg[0] ? "Application" : "Factory";
--report " -> Field PGM[] Page Select is set to %d", update_reg[7:1]);
--report " -> Field User Watchdog is set to %s", update_reg[8] ? "Enabled" : "Disabled";
--report " -> Field User Watchdog Timeout Value is set to %d", update_reg[20:9];
else
-- dont handle clk events during initialization since this will
-- destroy the register values that we just initialized
if (clk = '1') then
if (shiftnld = '1') then
-- register shifting
for i in 0 to 24 loop
shift_reg(i) <= shift_reg(i+1);
end loop;
shift_reg(25) <= regin;
elsif (shiftnld = '0') then
-- register loading
if (captnupdt = '1') then
-- capture data into shift register
shift_reg <= update_reg & status_reg;
elsif (captnupdt = '0') then
-- update data from shift into Update Register
if (sim_init_config = "factory" and
(operation_mode = "remote" or operation_mode = "active_serial_remote")) then
-- every bit in Update Reg gets updated
update_reg(20 downto 0) <= shift_reg(25 downto 5);
--to-do: print field values
--VHDL93 only: report "Remote Update Block: Update Register updated at time " & time'image(now);
--report " -> Field PGM[] Page Select is set to %d", shift_reg[12:6];
--report " -> Field User Watchdog is set to %s", (shift_reg[13] == 1) ? "Enableds" : (shift_reg[13] == 0) ? "Disabled" : "x";
--report " -> Field User Watchdog Timeout Value is set to %d", shift_reg[25:14];
else
-- trying to do update in Application mode
--VHDL93 only: report "Remote Update Block: Attempted update of Update Register at time " & time'image(now) & " when Configuration is set to Application" severity WARNING;
end if;
else
-- invalid captnupdt
-- destroys update and shift regs
shift_reg <= (others => 'X');
if (sim_init_config = "factory") then
update_reg(20 downto 1) <= (others => 'X');
end if;
end if;
else
-- invalid shiftnld: destroys update and shift regs
shift_reg <= (others => 'X');
if (sim_init_config = "factory") then
update_reg(20 downto 1) <= (others => 'X');
end if;
end if;
elsif (clk /= '0') then
-- invalid clk: destroys registers
shift_reg <= (others => 'X');
if (sim_init_config = "factory") then
update_reg(20 downto 1) <= (others => 'X');
end if;
end if;
end if;
end process;
process (rconfig)
begin
-- initialize registers/outputs
if ( now = 0 ns ) then
-- pgmout update
if (operation_mode = "local") then
pgmout_update <= "001";
elsif (operation_mode = "remote") then
pgmout_update <= conv_std_logic_vector(sim_init_page_select, 3);
-- PGM[] field
else
pgmout_update <= (others => 'X');
end if;
end if;
if (rconfig = '1') then
-- start reconfiguration
--to-do: print field values
--VHDL93 only: report "Remote Update Block: Reconfiguration initiated at time " & time'image(now);
--report " -> Field Current Configuration is set to %s", update_reg[0] ? "Application" : "Factory";
--report " -> Field PGM[] Page Select is set to %d", update_reg[7:1];
--report " -> Field User Watchdog is set to %s", (update_reg[8] == 1) ? "Enabled" : (update_reg[8] == 0) ? "Disabled" : "x";
--report " -> Field User Watchdog Timeout Value is set to %d", update_reg[20:9];
if (operation_mode = "remote") then
-- set pgm[] to page as set in Update Register
pgmout_update <= update_reg(3 downto 1);
elsif (operation_mode = "local") then
-- set pgm[] to page as 001
pgmout_update <= "001";
else
-- invalid rconfig: destroys pgmout (only if not initializing)
pgmout_update <= (others => 'X');
end if;
elsif (rconfig /= '0') then
-- invalid rconfig: destroys pgmout (only if not initializing)
if (now /= 0 ns) then
pgmout_update <= (others => 'X');
end if;
end if;
end process;
end architecture_rublock;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixii_termination
--
-- Outputs : incrup and incrdn - output of voltage comparator
-- terminationcontrol - to I/O, cannot wired to PLD
-- terminationcontrolprobe - internal testing outputs only
--
-- Descriptions : the Atom represent On Chip Termination calibration block.
-- The block has no digital outputs that can be observed in PLD.
-- Therefore we do not have simulation model other than entity
-- declaration.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_termination is
GENERIC (
runtime_control : string := "false";
use_core_control : string := "false";
pullup_control_to_core : string := "true";
use_high_voltage_compare : string := "true";
use_both_compares : string := "false";
pullup_adder : integer := 0;
pulldown_adder : integer := 0;
half_rate_clock : string := "false";
power_down : string := "true";
left_shift : string := "false";
test_mode : string := "false";
lpm_type : string := "stratixii_termination";
tipd_rup : VitalDelayType01 := DefpropDelay01;
tipd_rdn : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_terminationclear : VitalDelayType01 := DefpropDelay01;
tipd_terminationenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationpullup : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01);
tipd_terminationpulldown : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01);
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_terminationclock_terminationcontrol_posedge : VitalDelayArrayType01(13 downto 0) := (OTHERS => DefPropDelay01);
tpd_terminationclock_terminationcontrolprobe_posedge : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01)
);
PORT (
rup : IN std_logic := '0';
rdn : IN std_logic := '0';
terminationclock : IN std_logic := '0';
terminationclear : IN std_logic := '0';
terminationenable : IN std_logic := '1';
terminationpullup : IN std_logic_vector(6 DOWNTO 0) := "0000000";
terminationpulldown : IN std_logic_vector(6 DOWNTO 0) := "0000000";
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
incrup : OUT std_logic;
incrdn : OUT std_logic;
terminationcontrol : OUT std_logic_vector(13 DOWNTO 0);
terminationcontrolprobe : OUT std_logic_vector(6 DOWNTO 0)
);
END stratixii_termination;
ARCHITECTURE vital_armtermination of stratixii_termination is
begin
--------------------
-- INPUT PATH DELAYS
--------------------
------------------------
-- Timing Check Section
------------------------
----------------------
-- Path Delay Section
----------------------
end vital_armtermination;
---------------------------------------------------------------------
--
-- Entity Name : stratixii_routing_wire
--
-- Description : StratixII Routing Wire VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixii_atom_pack.all;
ENTITY stratixii_routing_wire is
generic (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01
);
PORT (
datain : in std_logic;
dataout : out std_logic
);
attribute VITAL_LEVEL0 of stratixii_routing_wire : entity is TRUE;
end stratixii_routing_wire;
ARCHITECTURE behave of stratixii_routing_wire is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal datain_ipd : std_logic;
signal datainglitch_inert : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process(datain_ipd, datainglitch_inert)
variable datain_inert_VitalGlitchData : VitalGlitchDataType;
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => datainglitch_inert,
OutSignalName => "datainglitch_inert",
OutTemp => datain_ipd,
Paths => (1 => (datain_ipd'last_event, tpd_datainglitch_dataout, TRUE)),
GlitchData => datain_inert_VitalGlitchData,
Mode => VitalInertial,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => datainglitch_inert,
Paths => (1 => (datain_ipd'last_event, tpd_datain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc866.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s03b01x00p12n01i00866pkg is
constant low_number : integer := 0;
constant hi_number : integer := 3;
subtype hi_to_low_range is integer range low_number to hi_number;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (natural range <>) of record_std_package;
type four_value is ('Z','0','1','X');
--enumerated type
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
subtype dumy is integer range 0 to 3;
signal Sin1 : bit_vector(0 to 5) ;
signal Sin2 : boolean_vector(0 to 5) ;
signal Sin4 : severity_level_vector(0 to 5) ;
signal Sin5 : integer_vector(0 to 5) ;
signal Sin6 : real_vector(0 to 5) ;
signal Sin7 : time_vector(0 to 5) ;
signal Sin8 : natural_vector(0 to 5) ;
signal Sin9 : positive_vector(0 to 5) ;
signal Sin10: array_rec_std(0 to 5) ;
end c01s03b01x00p12n01i00866pkg;
use work.c01s03b01x00p12n01i00866pkg.all;
entity test is
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end;
architecture test of test is
begin
sigout1 <= sigin1;
sigout2 <= sigin2;
sigout4 <= sigin4;
sigout5 <= sigin5;
sigout6 <= sigin6;
sigout7 <= sigin7;
sigout8 <= sigin8;
sigout9 <= sigin9;
sigout10 <= sigin10;
end;
configuration testbench of test is
for test
end for;
end;
use work.c01s03b01x00p12n01i00866pkg.all;
ENTITY c01s03b01x00p12n01i00866ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15);
END c01s03b01x00p12n01i00866ent;
ARCHITECTURE c01s03b01x00p12n01i00866arch OF c01s03b01x00p12n01i00866ent IS
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
begin
Sin1(zero) <='1';
Sin2(zero) <= true;
Sin4(zero) <= note;
Sin5(zero) <= 3;
Sin6(zero) <= 3.0;
Sin7(zero) <= 3 ns;
Sin8(zero) <= 1;
Sin9(zero) <= 1;
Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9);
K:block
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
BEGIN
T5 : test
port map
(
Sin2(4),Sin2(5),
Sin1(4),Sin1(5),
Sin4(4),Sin4(5),
Sin5(4),Sin5(5),
Sin6(4),Sin6(5),
Sin7(4),Sin7(5),
Sin8(4),Sin8(5),
Sin9(4),Sin9(5),
Sin10(4),Sin10(5)
);
G: for i in zero to three generate
T1:test
port map
(
Sin2(i),Sin2(i+1),
Sin1(i),Sin1(i+1),
Sin4(i),Sin4(i+1),
Sin5(i),Sin5(i+1),
Sin6(i),Sin6(i+1),
Sin7(i),Sin7(i+1),
Sin8(i),Sin8(i+1),
Sin9(i),Sin9(i+1),
Sin10(i),Sin10(i+1)
);
end generate;
end block;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert Sin1(0) = Sin1(5) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure;
assert Sin2(0) = Sin2(5) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure;
assert Sin4(0) = Sin4(5) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure;
assert Sin5(0) = Sin5(5) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure;
assert Sin6(0) = Sin6(5) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure;
assert Sin7(0) = Sin7(5) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure;
assert Sin8(0) = Sin8(5) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure;
assert Sin9(0) = Sin9(5) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure;
assert Sin10(0) = Sin10(5) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure;
assert NOT( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***PASSED TEST: c01s03b01x00p12n01i00866"
severity NOTE;
assert ( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***FAILED TEST: c01s03b01x00p12n01i00866 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p12n01i00866arch;
configuration c01s03b01x00p12n01i00866cfg of c01s03b01x00p12n01i00866ent is
for c01s03b01x00p12n01i00866arch
for K
for T5:test use configuration work.testbench;
end for;
for G(one)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(3)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(dumy'low)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(2)
for T1:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc866.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s03b01x00p12n01i00866pkg is
constant low_number : integer := 0;
constant hi_number : integer := 3;
subtype hi_to_low_range is integer range low_number to hi_number;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (natural range <>) of record_std_package;
type four_value is ('Z','0','1','X');
--enumerated type
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
subtype dumy is integer range 0 to 3;
signal Sin1 : bit_vector(0 to 5) ;
signal Sin2 : boolean_vector(0 to 5) ;
signal Sin4 : severity_level_vector(0 to 5) ;
signal Sin5 : integer_vector(0 to 5) ;
signal Sin6 : real_vector(0 to 5) ;
signal Sin7 : time_vector(0 to 5) ;
signal Sin8 : natural_vector(0 to 5) ;
signal Sin9 : positive_vector(0 to 5) ;
signal Sin10: array_rec_std(0 to 5) ;
end c01s03b01x00p12n01i00866pkg;
use work.c01s03b01x00p12n01i00866pkg.all;
entity test is
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end;
architecture test of test is
begin
sigout1 <= sigin1;
sigout2 <= sigin2;
sigout4 <= sigin4;
sigout5 <= sigin5;
sigout6 <= sigin6;
sigout7 <= sigin7;
sigout8 <= sigin8;
sigout9 <= sigin9;
sigout10 <= sigin10;
end;
configuration testbench of test is
for test
end for;
end;
use work.c01s03b01x00p12n01i00866pkg.all;
ENTITY c01s03b01x00p12n01i00866ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15);
END c01s03b01x00p12n01i00866ent;
ARCHITECTURE c01s03b01x00p12n01i00866arch OF c01s03b01x00p12n01i00866ent IS
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
begin
Sin1(zero) <='1';
Sin2(zero) <= true;
Sin4(zero) <= note;
Sin5(zero) <= 3;
Sin6(zero) <= 3.0;
Sin7(zero) <= 3 ns;
Sin8(zero) <= 1;
Sin9(zero) <= 1;
Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9);
K:block
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
BEGIN
T5 : test
port map
(
Sin2(4),Sin2(5),
Sin1(4),Sin1(5),
Sin4(4),Sin4(5),
Sin5(4),Sin5(5),
Sin6(4),Sin6(5),
Sin7(4),Sin7(5),
Sin8(4),Sin8(5),
Sin9(4),Sin9(5),
Sin10(4),Sin10(5)
);
G: for i in zero to three generate
T1:test
port map
(
Sin2(i),Sin2(i+1),
Sin1(i),Sin1(i+1),
Sin4(i),Sin4(i+1),
Sin5(i),Sin5(i+1),
Sin6(i),Sin6(i+1),
Sin7(i),Sin7(i+1),
Sin8(i),Sin8(i+1),
Sin9(i),Sin9(i+1),
Sin10(i),Sin10(i+1)
);
end generate;
end block;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert Sin1(0) = Sin1(5) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure;
assert Sin2(0) = Sin2(5) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure;
assert Sin4(0) = Sin4(5) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure;
assert Sin5(0) = Sin5(5) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure;
assert Sin6(0) = Sin6(5) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure;
assert Sin7(0) = Sin7(5) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure;
assert Sin8(0) = Sin8(5) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure;
assert Sin9(0) = Sin9(5) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure;
assert Sin10(0) = Sin10(5) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure;
assert NOT( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***PASSED TEST: c01s03b01x00p12n01i00866"
severity NOTE;
assert ( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***FAILED TEST: c01s03b01x00p12n01i00866 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p12n01i00866arch;
configuration c01s03b01x00p12n01i00866cfg of c01s03b01x00p12n01i00866ent is
for c01s03b01x00p12n01i00866arch
for K
for T5:test use configuration work.testbench;
end for;
for G(one)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(3)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(dumy'low)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(2)
for T1:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc866.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c01s03b01x00p12n01i00866pkg is
constant low_number : integer := 0;
constant hi_number : integer := 3;
subtype hi_to_low_range is integer range low_number to hi_number;
type boolean_vector is array (natural range <>) of boolean;
type severity_level_vector is array (natural range <>) of severity_level;
type integer_vector is array (natural range <>) of integer;
type real_vector is array (natural range <>) of real;
type time_vector is array (natural range <>) of time;
type natural_vector is array (natural range <>) of natural;
type positive_vector is array (natural range <>) of positive;
type record_std_package is record
a: boolean;
b: bit;
c:character;
d:severity_level;
e:integer;
f:real;
g:time;
h:natural;
i:positive;
end record;
type array_rec_std is array (natural range <>) of record_std_package;
type four_value is ('Z','0','1','X');
--enumerated type
constant C1 : boolean := true;
constant C2 : bit := '1';
constant C3 : character := 's';
constant C4 : severity_level := note;
constant C5 : integer := 3;
constant C6 : real := 3.0;
constant C7 : time := 3 ns;
constant C8 : natural := 1;
constant C9 : positive := 1;
subtype dumy is integer range 0 to 3;
signal Sin1 : bit_vector(0 to 5) ;
signal Sin2 : boolean_vector(0 to 5) ;
signal Sin4 : severity_level_vector(0 to 5) ;
signal Sin5 : integer_vector(0 to 5) ;
signal Sin6 : real_vector(0 to 5) ;
signal Sin7 : time_vector(0 to 5) ;
signal Sin8 : natural_vector(0 to 5) ;
signal Sin9 : positive_vector(0 to 5) ;
signal Sin10: array_rec_std(0 to 5) ;
end c01s03b01x00p12n01i00866pkg;
use work.c01s03b01x00p12n01i00866pkg.all;
entity test is
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end;
architecture test of test is
begin
sigout1 <= sigin1;
sigout2 <= sigin2;
sigout4 <= sigin4;
sigout5 <= sigin5;
sigout6 <= sigin6;
sigout7 <= sigin7;
sigout8 <= sigin8;
sigout9 <= sigin9;
sigout10 <= sigin10;
end;
configuration testbench of test is
for test
end for;
end;
use work.c01s03b01x00p12n01i00866pkg.all;
ENTITY c01s03b01x00p12n01i00866ent IS
generic(
zero : integer := 0;
one : integer := 1;
two : integer := 2;
three: integer := 3;
four : integer := 4;
five : integer := 5;
six : integer := 6;
seven: integer := 7;
eight: integer := 8;
nine : integer := 9;
fifteen:integer:= 15);
END c01s03b01x00p12n01i00866ent;
ARCHITECTURE c01s03b01x00p12n01i00866arch OF c01s03b01x00p12n01i00866ent IS
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
begin
Sin1(zero) <='1';
Sin2(zero) <= true;
Sin4(zero) <= note;
Sin5(zero) <= 3;
Sin6(zero) <= 3.0;
Sin7(zero) <= 3 ns;
Sin8(zero) <= 1;
Sin9(zero) <= 1;
Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9);
K:block
component test
port(
sigin1 : in boolean ;
sigout1 : out boolean ;
sigin2 : in bit ;
sigout2 : out bit ;
sigin4 : in severity_level ;
sigout4 : out severity_level ;
sigin5 : in integer ;
sigout5 : out integer ;
sigin6 : in real ;
sigout6 : out real ;
sigin7 : in time ;
sigout7 : out time ;
sigin8 : in natural ;
sigout8 : out natural ;
sigin9 : in positive ;
sigout9 : out positive ;
sigin10 : in record_std_package ;
sigout10 : out record_std_package
);
end component;
BEGIN
T5 : test
port map
(
Sin2(4),Sin2(5),
Sin1(4),Sin1(5),
Sin4(4),Sin4(5),
Sin5(4),Sin5(5),
Sin6(4),Sin6(5),
Sin7(4),Sin7(5),
Sin8(4),Sin8(5),
Sin9(4),Sin9(5),
Sin10(4),Sin10(5)
);
G: for i in zero to three generate
T1:test
port map
(
Sin2(i),Sin2(i+1),
Sin1(i),Sin1(i+1),
Sin4(i),Sin4(i+1),
Sin5(i),Sin5(i+1),
Sin6(i),Sin6(i+1),
Sin7(i),Sin7(i+1),
Sin8(i),Sin8(i+1),
Sin9(i),Sin9(i+1),
Sin10(i),Sin10(i+1)
);
end generate;
end block;
TESTING: PROCESS
BEGIN
wait for 1 ns;
assert Sin1(0) = Sin1(5) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure;
assert Sin2(0) = Sin2(5) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure;
assert Sin4(0) = Sin4(5) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure;
assert Sin5(0) = Sin5(5) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure;
assert Sin6(0) = Sin6(5) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure;
assert Sin7(0) = Sin7(5) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure;
assert Sin8(0) = Sin8(5) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure;
assert Sin9(0) = Sin9(5) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure;
assert Sin10(0) = Sin10(5) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure;
assert NOT( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***PASSED TEST: c01s03b01x00p12n01i00866"
severity NOTE;
assert ( Sin1(0) = sin1(5) and
Sin2(0) = Sin2(5) and
Sin4(0) = Sin4(5) and
Sin5(0) = Sin5(5) and
Sin6(0) = Sin6(5) and
Sin7(0) = Sin7(5) and
Sin8(0) = Sin8(5) and
Sin9(0) = Sin9(5) and
Sin10(0)= Sin10(0) )
report "***FAILED TEST: c01s03b01x00p12n01i00866 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s03b01x00p12n01i00866arch;
configuration c01s03b01x00p12n01i00866cfg of c01s03b01x00p12n01i00866ent is
for c01s03b01x00p12n01i00866arch
for K
for T5:test use configuration work.testbench;
end for;
for G(one)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(3)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(dumy'low)
for T1:test
use configuration work.testbench;
end for;
end for;
for G(2)
for T1:test
use configuration work.testbench;
end for;
end for;
end for;
end for;
end;
|
-- Vhdl test bench created from schematic /home/frank/Dropbox/Workspaces/workspace_comp_arch/MIPS_processor_2/toplevel.sch - Mon Apr 29 14:31:37 2013
--
-- Notes:
-- 1) This testbench template has been automatically generated using types
-- std_logic and std_logic_vector for the ports of the unit under test.
-- Xilinx recommends that these types always be used for the top-level
-- I/O of a design in order to guarantee that the testbench will bind
-- correctly to the timing (post-route) simulation model.
-- 2) To use this template as your testbench, change the filename to any
-- name of your choice with the extension .vhd, and use the "Source->Add"
-- menu in Project Navigator to import the testbench. Then
-- edit the user defined section below, adding code to generate the
-- stimulus for your design.
--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY UNISIM;
USE UNISIM.Vcomponents.ALL;
ENTITY toplevel_toplevel_sch_tb IS
END toplevel_toplevel_sch_tb;
ARCHITECTURE behavioral OF toplevel_toplevel_sch_tb IS
COMPONENT toplevel
PORT( RST : IN STD_LOGIC;
CLK : IN STD_LOGIC);
END COMPONENT;
SIGNAL RST : STD_LOGIC;
SIGNAL CLK : STD_LOGIC;
constant clk_period : time := 100 ns;
BEGIN
UUT: toplevel PORT MAP(
RST => RST,
CLK => CLK
);
-- *** Test Bench - User Defined Section ***
clk_process :process
begin
CLK <= '0';
wait for clk_period/2;
CLK <= '1';
wait for clk_period/2;
end process;
tb : PROCESS
BEGIN
RST<='1';
wait for 1ns;
RST<='0';
wait for 1ns;
WAIT; -- will wait forever
END PROCESS;
-- *** End Test Bench - User Defined Section ***
END;
|
-- This file is part of the ethernet_mac project.
--
-- For the full copyright and license information, please read the
-- LICENSE.md file that was distributed with this source code.
-- Monitor the speed and issue a core-wide reset if it changes
library ieee;
use ieee.std_logic_1164.all;
use work.ethernet_types.all;
entity reset_generator is
generic(
-- Number of clock_i ticks reset should get asserted for
RESET_TICKS : positive := 1000
);
port(
clock_i : in std_ulogic;
-- Speed signal synchronous to clock_i
speed_i : in t_ethernet_speed;
-- Asynchronous reset input for this logic
-- Do NOT connect reset_i and reset_o anywhere in the design
reset_i : in std_ulogic;
-- Reset output
-- Is also asserted whenever reset_i is asserted
reset_o : out std_ulogic
);
end entity;
architecture rtl of reset_generator is
type t_state is (
WATCH,
RESET
);
signal state : t_state := WATCH;
signal reset_counter : integer range 0 to RESET_TICKS;
signal last_speed : t_ethernet_speed;
begin
speed_watch : process(reset_i, clock_i)
begin
if reset_i = '1' then
last_speed <= SPEED_UNSPECIFIED;
state <= WATCH;
reset_o <= '1';
elsif rising_edge(clock_i) then
reset_o <= '0';
case state is
when WATCH =>
null;
when RESET =>
reset_o <= '1';
if reset_counter = RESET_TICKS then
state <= WATCH;
else
reset_counter <= reset_counter + 1;
end if;
end case;
if speed_i /= last_speed then
-- Speed was changed
state <= RESET;
-- Always reset counter
reset_counter <= 0;
end if;
last_speed <= speed_i;
end if;
end process;
end architecture;
|
--------------------------------------------------------------------------------
-- Title : VME Address Unit
-- Project : 16z002-01
--------------------------------------------------------------------------------
-- File : vme_au.vhd
-- Author : [email protected]
-- Organization : MEN Mikro Elektronik GmbH
-- Created : 14/01/03
--------------------------------------------------------------------------------
-- Simulator : Modelsim PE 6.6
-- Synthesis : Quartus 15.1
--------------------------------------------------------------------------------
-- Description :
--
-- This module consists of all adress counters, switches and
-- muxes which are controlled by vme_master and vme_slave.
-- The usage gets arbitrated by vme_sys_arbiter.
--------------------------------------------------------------------------------
-- Hierarchy:
--
-- wbb2vme
-- vme_ctrl
-- vme_au
--------------------------------------------------------------------------------
-- Copyright (c) 2016, MEN Mikro Elektronik GmbH
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--------------------------------------------------------------------------------
-- History:
--------------------------------------------------------------------------------
-- Revision 1.8 2017/06/13 07:00:00 mmiehling
-- changed vme_acc_type setting for CR/CSR and D32 to be compliant to DMA configuration bits
--
-- Revision 1.7 2015/09/16 09:20:09 mwawrik
-- Added generics A16_REG_MAPPING and USE_LONGADD
--
-- Revision 1.6 2015/04/07 14:30:16 AGeissler
-- R1: MAIN_PR002233
-- M1: Added a valid signal for sl_acc to inform the VME slave component, that
-- it can use sl_acc to define the type of the access
--
-- Revision 1.5 2014/04/17 07:35:31 MMiehling
-- added generic LONGADD_SIZE
-- changed vme slave access to A16 to sram access
-- added address modifiers for vme slave access: 0x3e, 0x3a, 0x0e, 0x0a
--
-- Revision 1.4 2014/02/07 17:00:06 MMiehling
-- bugfix: IACK addressing
--
-- Revision 1.2 2012/08/27 12:57:24 MMiehling
-- added comments
-- changed iackn handling
--
-- Revision 1.1 2012/03/29 10:14:51 MMiehling
-- Initial Revision
--
-- Revision 1.16 2010/03/12 13:38:18 mmiehling
-- changed
-- iackoutn <= iackoutn_int WHEN asn_in = '0' ELSE '1'; -- rising edge of asn_in must inactivate iackoutn immediately!
-- to
-- iackoutn <= iackoutn_int;
--
-- Revision 1.15 2006/11/17 08:55:58 mmiehling
-- added synchronisation register for iack_in and iachin_daisy
--
-- Revision 1.14 2006/06/02 15:48:53 MMiehling
-- logic for iackoutn => when asn=1 then iackoutn<=1
-- corrected condition for entering state otherirq
--
-- Revision 1.13 2006/05/26 14:34:48 MMiehling
-- added fsm for my_iack detection and iack-daisy-chain => irqs will not be lost
--
-- Revision 1.12 2006/05/18 14:29:01 MMiehling
-- iack daisy chain input was not correct detected (when dsa/b goes low is correct)
-- unused address signals of vme-master access are set to '0'
--
-- Revision 1.11 2004/11/02 11:29:50 mmiehling
-- improved timing and area
--
-- Revision 1.10 2004/07/27 17:15:35 mmiehling
-- changed pci-core to 16z014
-- changed wishbone bus to wb_bus.vhd
-- added clk_trans_wb2wb.vhd
-- improved dma
--
-- Revision 1.9 2004/06/17 13:02:23 MMiehling
-- removed clr_hit and sl_acc_reg
--
-- Revision 1.8 2003/12/17 15:51:41 MMiehling
-- byte swapping was wrong in "not swapped" mode
--
-- Revision 1.6 2003/07/14 08:38:04 MMiehling
-- lwordn was wrong
--
-- Revision 1.5 2003/06/24 13:47:04 MMiehling
-- changed int_adr
--
-- Revision 1.4 2003/06/13 10:06:31 MMiehling
-- improved
--
-- Revision 1.3 2003/04/22 11:02:56 MMiehling
-- improved timing
--
-- Revision 1.2 2003/04/02 16:11:31 MMiehling
-- Kommentar entfernt
--
-- Revision 1.1 2003/04/01 13:04:40 MMiehling
-- Initial Revision
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY vme_au IS
GENERIC (
A16_REG_MAPPING : boolean := TRUE; -- if true, access to vme slave A16 space goes to vme runtime registers and above 0x800 to sram (compatible to old revisions)
-- if false, access to vme slave A16 space goes to sram
LONGADD_SIZE : integer range 3 TO 8:=3;
USE_LONGADD : boolean := TRUE -- If FALSE, bits (7 DOWNTO 5) of SIGNAL longadd will be allocated to vme_adr_out(31 DOWNTO 29)
-- If TRUE, number of bits allocated to vme_adr_out depends on GENERIC LONGADD_SIZE
);
PORT (
clk : IN std_logic; -- 66 MHz
rst : IN std_logic; -- global reset signal (asynch)
test : OUT std_logic;
-- mensb slave
wbs_adr_i : IN std_logic_vector(31 DOWNTO 0); -- mensb slave adress lines
wbs_sel_i : IN std_logic_vector(3 DOWNTO 0); -- mensb slave byte enable lines
wbs_we_i : IN std_logic; -- mensb slave read/write
vme_acc_type : IN std_logic_vector(8 DOWNTO 0); -- signal indicates the type of VME slave access
ma_en_vme_data_out_reg : IN std_logic; -- enable of vme_adr_out
wbs_tga_i : IN std_logic_vector(8 DOWNTO 0);
-- mensb master
wbm_adr_o : OUT std_logic_vector(31 DOWNTO 0); -- mensb master adress lines
wbm_sel_o : OUT std_logic_vector(3 DOWNTO 0); -- mensb master byte enable lines
wbm_we_o : OUT std_logic; -- mensb master read/write
sram_acc : OUT std_logic; -- sram access is requested by vmebus
pci_acc : OUT std_logic; -- pci access is requested by vmebus
reg_acc : OUT std_logic; -- reg access is requested by vmebus
sl_acc_wb : OUT std_logic_vector(4 DOWNTO 0); -- sampled with ld_loc_adr_cnt
-- vme
vme_adr_in : IN std_logic_vector(31 DOWNTO 0); -- vme address input lines
vme_adr_out : OUT std_logic_vector(31 DOWNTO 0); -- vme address output lines
---------------------------------------------------------------------------------------------------
-- pins to vmebus
asn_in : IN std_logic; -- vme adress strobe input
vam : INOUT std_logic_vector(5 DOWNTO 0); -- vme address modifier
dsan_out : OUT std_logic; -- data strobe byte(0) out
dsbn_out : OUT std_logic; -- data strobe byte(1) out
dsan_in : IN std_logic; -- data strobe byte(0) in
dsbn_in : IN std_logic; -- data strobe byte(1) in
writen : INOUT std_logic; -- write enable tco = tbd. tsu <= tbd. PIN tbd.
iackn : INOUT std_logic; -- handler's output ! PIN tbd.
iackin : IN std_logic; -- vme daisy chain interrupt acknoledge input
iackoutn : OUT std_logic; -- vme daisy chain interrupt acknoledge output
---------------------------------------------------------------------------------------------------
mensb_active : IN std_logic; -- acknoledge/active signal for mensb slave access
-- vme master
mstr_cycle : OUT std_logic; -- number of master cycles should be done (0=1x, 1=2x)
second_word : IN std_logic; -- indicates the second transmission if in D16 mode and 32bit should be transmitted
dsn_ena : IN std_logic; -- signal switches dsan_out and dsbn_out on and off
vam_oe : IN std_logic; -- vam output enable
ma_d64 : OUT std_logic; -- indicates a d64 burst transmission
sl_d64 : OUT std_logic; -- indicates a d64 burst transmission
-- vme slave
sl_acc : OUT std_logic_vector(4 DOWNTO 0); -- slave access hits and burst data transmission type
sl_acc_valid : OUT std_logic; -- sl_acc has been calculated and is valid
asn_in_sl_reg : IN std_logic; -- registered asn signal
ld_loc_adr_m_cnt : IN std_logic; -- load address counter
inc_loc_adr_m_cnt : IN std_logic; -- increment address counter
sl_inc_loc_adr_m_cnt : IN std_logic; -- increment address counter
sl_writen_reg : OUT std_logic;
iackn_in_reg : OUT std_logic; -- iack signal (registered with en_vme_adr_in)
my_iack : OUT std_logic;
clr_intreq : IN std_logic; -- clear interrupt request (intr(3) <= '0'
sl_en_vme_data_in_reg : IN std_logic; -- register enable for vme data in
en_vme_adr_in : IN std_logic; -- samples adress and am after asn goes low
-- sys_arbiter
sl_byte_routing : OUT std_logic; -- to mensb byte routing
ma_byte_routing : OUT std_logic; -- signal for byte swapping
sl_sel_vme_data_out : OUT std_logic_vector(1 DOWNTO 0); -- mux select: 00=loc_data_in_m 01=loc_data_in_s 10=reg_data
lwordn_slv : OUT std_logic; -- stored for vme slave access
lwordn_mstr : OUT std_logic; -- master access lwordn
-- locmon
vam_reg : OUT std_logic_vector(5 DOWNTO 0); -- registered vam_in for location monitoring and berr_adr (registered with en_vme_adr_in)
vme_adr_in_reg : OUT std_logic_vector(31 DOWNTO 2); -- vme adress for location monitoring and berr_adr (registered with en_vme_adr_in)
-- vme_du
mstr_reg : IN std_logic_vector(13 DOWNTO 0); -- master register (aonly, postwr, iberr, berr, req, rmw, A16_MODE, A24_MODE, A32_MODE)
longadd : IN std_logic_vector(7 DOWNTO 0); -- upper 3 address bits for A32 mode or dependent on LONGADD_SIZE
slv16_reg : IN std_logic_vector(4 DOWNTO 0); -- slave A16 base address register
slv24_reg : IN std_logic_vector(15 DOWNTO 0); -- slave A24 base address register
slv32_reg : IN std_logic_vector(23 DOWNTO 0); -- slave A32 base address register
slv24_pci_q : IN std_logic_vector(15 DOWNTO 0); -- slave A24 base address register for PCI
slv32_pci_q : IN std_logic_vector(23 DOWNTO 0); -- slave A32 base address register for PCI
intr_reg : IN std_logic_vector(3 DOWNTO 0); -- interrupt request register
sysc_reg : IN std_logic_vector(2 DOWNTO 0); -- system control register (ato, sysr, sysc)
pci_offset_q : IN std_logic_vector(31 DOWNTO 2); -- pci offset address for vme to pci access
int_be : OUT std_logic_vector(3 DOWNTO 0); -- internal byte enables
int_adr : OUT std_logic_vector(18 DOWNTO 0) -- internal adress
);
END vme_au;
ARCHITECTURE vme_au_arch OF vme_au IS
CONSTANT AM_NON_DAT : std_logic_vector(1 DOWNTO 0):="00"; -- address modifier code for non-privileged data access
CONSTANT AM_NON_PRO : std_logic_vector(1 DOWNTO 0):="01"; -- address modifier code for non-privileged program access
CONSTANT AM_SUP_DAT : std_logic_vector(1 DOWNTO 0):="10"; -- address modifier code for supervisory data access
CONSTANT AM_SUP_PRO : std_logic_vector(1 DOWNTO 0):="11"; -- address modifier code for supervisory program access
TYPE irq_states IS (idle, myirq, otherirq);
SIGNAL irq_state : irq_states;
SIGNAL vam_in : std_logic_vector(5 DOWNTO 0);
SIGNAL vam_out : std_logic_vector(5 DOWNTO 0);
SIGNAL vme_a1 : std_logic;
SIGNAL my_iack_int : std_logic;
SIGNAL dsan_out_int : std_logic;
SIGNAL dsbn_out_int : std_logic;
SIGNAL wbm_adr_o_cnt : std_logic_vector(31 DOWNTO 1);
SIGNAL wbm_adr_load : std_logic_vector(31 DOWNTO 1);
SIGNAL ld_loc_adr_m_cnt_q : std_logic;
SIGNAL vam_in_reg : std_logic_vector(5 DOWNTO 0);
SIGNAL dsan_in_reg : std_logic;
SIGNAL dsbn_in_reg : std_logic;
SIGNAL sl_acc_d_type : std_logic_vector(3 DOWNTO 0); -- slave access data type
SIGNAL wbm_sel_o_int : std_logic_vector(3 DOWNTO 0);
SIGNAL sl_byte_routing_int : std_logic;
SIGNAL sl_hit : std_logic_vector(2 DOWNTO 0); -- sl32, sl24, sl16, sl24, sl32
SIGNAL pci_hit : std_logic_vector(1 DOWNTO 0);
SIGNAL sl_acc_valid_int : std_logic;
SIGNAL sl_acc_valid_int_q : std_logic;
SIGNAL sl_acc_valid_int_qq : std_logic;
SIGNAL sl_acc_a_type : std_logic_vector(4 DOWNTO 0); -- slave access address type (sl16_hit, sl24_hit, sl32_hit, sl_blt32, sl_blt64)
SIGNAL sl_acc_int : std_logic_vector(4 DOWNTO 0);
SIGNAL sl_writen_reg_int : std_logic;
SIGNAL sl_writen_int : std_logic;
SIGNAL reg_acc_int : std_logic;
SIGNAL iackn_out : std_logic;
SIGNAL iackn_in : std_logic;
SIGNAL iackin_daisy : std_logic;
SIGNAL iackn_int_in : std_logic;
SIGNAL vme_adr_in_reg_int : std_logic_vector(31 DOWNTO 0);
SIGNAL wbm_sel_o_reg : std_logic_vector(3 DOWNTO 0);
SIGNAL mstr_cycle_int : std_logic;
SIGNAL lwordn_mstr_int : std_logic;
SIGNAL sl_acc_reg : std_logic_vector(5 DOWNTO 0);
SIGNAL pci_acc_int : std_logic;
SIGNAL lwordn_slv_int : std_logic;
SIGNAL asn_q : std_logic;
SIGNAL iackn_in_q : std_logic;
signal writen_int : std_logic;
SIGNAL vme_a16_mask : std_logic_vector(31 DOWNTO 12);
SIGNAL vme_a24_mask : std_logic_vector(31 DOWNTO 12);
SIGNAL vme_a32_mask : std_logic_vector(31 DOWNTO 12);
SIGNAL vme_a24_pci_mask : std_logic_vector(31 DOWNTO 12);
SIGNAL vme_a32_pci_mask : std_logic_vector(31 DOWNTO 12);
SIGNAL vme_adr_mask : std_logic_vector(31 DOWNTO 12);
SIGNAL iackoutn_int : std_logic;
BEGIN
sl_acc <= sl_acc_reg(4 DOWNTO 0);
sl_d64 <= sl_acc_reg(0);
pci_acc <= pci_acc_int;
lwordn_slv <= lwordn_slv_int;
lwordn_mstr <= lwordn_mstr_int;
sl_writen_reg <= sl_writen_reg_int;
mstr_cycle <= mstr_cycle_int;
reg_acc <= reg_acc_int;
wbm_sel_o <= wbm_sel_o_reg;
my_iack <= my_iack_int;
vme_adr_in_reg <= vme_adr_in_reg_int(31 DOWNTO 2);
-- sl_sel_vme_data_out <= "10" WHEN reg_acc_int = '1' OR my_iack_int = '1' ELSE "00";
sl_sel_vme_data_out <= "10" WHEN reg_acc_int = '1' ELSE "00";
-- if swapping is disabled, dsan and dsbn is exchanged
-- vme_acc_type(5) = swap-bit
dsan_out <= dsan_out_int WHEN dsn_ena = '1' AND vme_acc_type(5) = '1' ELSE
dsbn_out_int WHEN dsn_ena = '1' AND vme_acc_type(5) = '0' ELSE '1';
dsbn_out <= dsbn_out_int WHEN dsn_ena = '1' AND vme_acc_type(5) = '1' ELSE
dsan_out_int WHEN dsn_ena = '1' AND vme_acc_type(5) = '0' ELSE '1';
vme_adr_out(1 DOWNTO 0) <= vme_a1 & lwordn_mstr_int;
wbm_adr_o <= wbm_adr_o_cnt(31 DOWNTO 2) & "00";
sl_acc_d_type <= dsbn_in_reg & dsan_in_reg & wbm_adr_o_cnt(1) & lwordn_slv_int; -- dsan, dsbn, a1, lwordn_slv
sl_acc_valid <= sl_acc_valid_int_qq;
-- sl_hit: vme slave base adress is hit in A16, A24, A32 mode
-- sl_acc_a_type: AM hit sl16_hit, sl24_hit, sl32_hit, sl_blt32, sl_blt64
sl_acc_int <= (sl_hit AND sl_acc_a_type(4 DOWNTO 2)) & sl_acc_a_type(1 DOWNTO 0);
vam_reg <= vam_in_reg;
-------------------------------------------------------------------------------
-- IACK-Daisy Chain Driver
-------------------------------------------------------------------------------
-- It is needed to reset the iackn_int_in signal asynchron (if sysc = 1), in
-- order to meet timing: v_asin = 0->1 => iackout = 0->1 after max 30ns
-- (spec: page 183 time 35)
iackn_int_in <= iackn_in_q WHEN sysc_reg(0) = '1' ELSE iackin_daisy; -- if in slot 1, don't wait on asn
iackoutn <= iackoutn_int;
test <= '0' WHEN irq_state = idle ELSE '1';
irq_fsm : PROCESS (clk, rst)
BEGIN
IF rst = '1' THEN
irq_state <= idle;
my_iack_int <= '0';
iackoutn_int <= '1';
asn_q <= '1';
iackin_daisy <= '1';
iackn_in_q <= '1';
writen_int <= '1';
ELSIF clk'EVENT AND clk = '1' THEN
iackn_in_q <= iackn_in;
asn_q <= asn_in;
iackin_daisy <= iackin;
writen_int <= NOT wbs_we_i;
CASE irq_state IS
WHEN idle =>
IF iackn_in_q = '0' AND asn_q = '0' AND iackn_int_in = '0' AND (dsan_in_reg = '0' OR dsbn_in_reg = '0') AND
intr_reg(3) = '1' AND intr_reg(2 DOWNTO 0) = vme_adr_in_reg_int(3 DOWNTO 1) THEN
irq_state <= myirq;
my_iack_int <= '1'; -- my iack => answer iack
iackoutn_int <= '1'; -- my iack => do not give to next board
ELSIF iackn_in_q = '0' AND asn_q = '0' AND iackn_int_in = '0' AND (dsan_in_reg = '0' OR dsbn_in_reg = '0') THEN
irq_state <= otherirq;
my_iack_int <= '0'; -- not my iack => do not answer iack
iackoutn_int <= '0'; -- not my iack => give to next board
ELSE
irq_state <= idle;
my_iack_int <= '0';
iackoutn_int <= '1';
END IF;
WHEN myirq =>
IF clr_intreq = '1' THEN
irq_state <= idle;
my_iack_int <= '0';
iackoutn_int <= '1';
ELSE
irq_state <= myirq;
my_iack_int <= '1'; -- my iack => answer iack
iackoutn_int <= '1'; -- my iack => do not give to next board
END IF;
WHEN otherirq =>
IF asn_q = '1' THEN
irq_state <= idle;
my_iack_int <= '0';
iackoutn_int <= '1';
ELSE
irq_state <= otherirq;
my_iack_int <= '0'; -- not my iack => do not answer iack
iackoutn_int <= '0'; -- not my iack => give to next board
END IF;
WHEN OTHERS =>
irq_state <= idle;
my_iack_int <= '0';
iackoutn_int <= '1';
END CASE;
END IF;
END PROCESS irq_fsm;
am : PROCESS(vam, vam_oe, vam_out)
BEGIN
IF vam_oe = '1' THEN
vam <= vam_out;
vam_in <= to_x01(vam);
ELSE
vam <= (OTHERS => 'Z');
vam_in <= to_x01(vam);
END IF;
END PROCESS am;
wri : PROCESS(vam_oe, wbs_we_i, writen, writen_int)
BEGIN
IF vam_oe = '1' THEN
writen <= writen_int;
sl_writen_int <= to_x01(writen);
ELSE
writen <= 'Z';
sl_writen_int <= to_x01(writen);
END IF;
END PROCESS wri;
iack : PROCESS (vam_oe, iackn, iackn_out)
BEGIN
IF vam_oe = '1' THEN
iackn <= iackn_out;
iackn_in <= to_x01(iackn);
ELSE
iackn <= 'Z';
iackn_in <= to_x01(iackn);
END IF;
END PROCESS iack;
acc_type : PROCESS(sl_acc_d_type, my_iack_int)
BEGIN
IF my_iack_int = '1' THEN
wbm_sel_o_int <= "1111";
sl_byte_routing_int <= '1';
ELSE
CASE sl_acc_d_type IS -- dsan, dsbn, a1, lwordn_slv
WHEN "0000" => wbm_sel_o_int <= "1111";
sl_byte_routing_int <= '0';
WHEN "0011" => wbm_sel_o_int <= "1100";
sl_byte_routing_int <= '0';
WHEN "0001" => wbm_sel_o_int <= "0011";
sl_byte_routing_int <= '1';
WHEN "1011" => wbm_sel_o_int <= "1000";
sl_byte_routing_int <= '0';
WHEN "0111" => wbm_sel_o_int <= "0100";
sl_byte_routing_int <= '0';
WHEN "1001" => wbm_sel_o_int <= "0010";
sl_byte_routing_int <= '1';
WHEN "0101" => wbm_sel_o_int <= "0001";
sl_byte_routing_int <= '1';
WHEN OTHERS => wbm_sel_o_int <= "0000";
sl_byte_routing_int <= '0';
END CASE;
END IF;
END PROCESS acc_type;
mstr_adr : PROCESS(clk, rst)
BEGIN
IF rst = '1' THEN
wbm_adr_o_cnt <= (OTHERS => '0');
wbm_adr_load <= (OTHERS => '0');
wbm_we_o <= '1';
wbm_sel_o_reg <= "0000";
vam_in_reg <= (OTHERS => '0');
dsan_in_reg <= '1';
dsbn_in_reg <= '1';
sram_acc <= '0';
pci_acc_int <= '0';
reg_acc_int <= '0';
vme_adr_in_reg_int <= (OTHERS => '0');
vme_adr_out(31 DOWNTO 2) <= (OTHERS => '0');
int_adr <= (OTHERS => '0');
int_be <= (OTHERS => '0');
ld_loc_adr_m_cnt_q <= '0';
lwordn_slv_int <= '0';
sl_acc_wb <= (OTHERS => '0');
sl_acc_valid_int <= '0';
sl_acc_valid_int_q <= '0';
sl_acc_valid_int_qq <= '0';
sl_writen_reg_int <= '0';
sl_byte_routing <= '0';
sl_hit <= (OTHERS => '0');
sl_acc_reg <= (OTHERS => '0');
iackn_in_reg <= '1';
ELSIF clk'EVENT AND clk = '1' THEN
ld_loc_adr_m_cnt_q <= ld_loc_adr_m_cnt;
--sl_acc_valid
------------------------------------------
-- wait for valid address
IF asn_in_sl_reg = '1' THEN
sl_acc_valid_int <= '0';
ELSIF en_vme_adr_in = '1' THEN
sl_acc_valid_int <= '1';
END IF;
-- wait until address is check to generate sl_hit signals
IF asn_in_sl_reg = '1' THEN
sl_acc_valid_int_q <= '0';
ELSE
sl_acc_valid_int_q <= sl_acc_valid_int;
END IF;
-- wait until hit is stored in sl_acc_reg register
IF asn_in_sl_reg = '1' THEN
sl_acc_valid_int_qq <= '0';
ELSE
sl_acc_valid_int_qq <= sl_acc_valid_int_q;
END IF;
-----------------------------------------
IF mensb_active = '1' THEN
int_adr <= wbs_adr_i(18 DOWNTO 0);
int_be <= wbs_sel_i;
ELSE
int_adr <= wbm_adr_o_cnt(18 DOWNTO 2) & "00";
int_be <= wbm_sel_o_reg;
END IF;
-- select VME address based on address mode, LONGADD register and generics
IF ma_en_vme_data_out_reg = '1' THEN
if vme_acc_type(1 DOWNTO 0) = "00" then -- A24
vme_adr_out(31 DOWNTO 2) <= "00000000" & wbs_adr_i(23 DOWNTO 2);
elsif vme_acc_type(1 downto 0) = "01" then -- A32
IF wbs_tga_i(7) = '0' THEN -- single access from PCI / no dma?
IF USE_LONGADD = TRUE THEN -- flexible size of longadd parameter => not compatible to A21!
vme_adr_out(31 DOWNTO 2) <= longadd(7 DOWNTO (8-LONGADD_SIZE)) & wbs_adr_i((31-LONGADD_SIZE) DOWNTO 2);
ELSE -- compatibility mode: uses 3 bits of longadd (compatible to A21/A15)
vme_adr_out(31 DOWNTO 2) <= longadd(2 DOWNTO 0) & wbs_adr_i(28 DOWNTO 2);
END IF;
ELSE -- dma access uses complete address (no LONGADD usage)
vme_adr_out(31 DOWNTO 2) <= wbs_adr_i(31 DOWNTO 2);
END IF;
else -- A16
vme_adr_out(31 DOWNTO 2) <= "0000000000000000" & wbs_adr_i(15 DOWNTO 2);
END if;
END IF;
IF en_vme_adr_in = '1' THEN -- samples adress and am at falling edge asn
vme_adr_in_reg_int <= vme_adr_in;
vam_in_reg <= vam_in;
sl_writen_reg_int <= sl_writen_int;
iackn_in_reg <= iackn_in;
END IF;
sl_acc_reg(4 DOWNTO 0) <= sl_acc_int;
IF (pci_hit(0) = '1' AND sl_hit(0) = '1') OR (pci_hit(1) = '1' AND sl_hit(1) = '1') THEN
sl_acc_reg(5) <= '1';
ELSE
sl_acc_reg(5) <= '0';
END IF;
IF sl_en_vme_data_in_reg = '1' THEN
wbm_sel_o_reg <= wbm_sel_o_int;
END IF;
sl_byte_routing <= sl_byte_routing_int;
dsan_in_reg <= dsan_in;
dsbn_in_reg <= dsbn_in;
IF slv16_reg(4) = '1' AND slv16_reg(3 DOWNTO 0) = vme_adr_in_reg_int(15 DOWNTO 12) THEN
sl_hit(2) <= '1'; -- sl16 base address hit
ELSE
sl_hit(2) <= '0';
END IF;
IF slv24_reg(4) = '1' AND
( (slv24_reg(3 DOWNTO 0) & (slv24_reg(15 DOWNTO 12) AND slv24_reg(11 DOWNTO 8))) =
(vme_adr_in_reg_int(23 DOWNTO 20) & (slv24_reg(15 DOWNTO 12) AND vme_adr_in_reg_int(19 DOWNTO 16))) ) THEN
sl_hit(1) <= '1';
pci_hit(1) <= '0'; -- sl24 base address hit
ELSIF slv24_pci_q(4) = '1' AND
( (slv24_pci_q(3 DOWNTO 0) & (slv24_pci_q(15 DOWNTO 12) AND slv24_pci_q(11 DOWNTO 8))) =
(vme_adr_in_reg_int(23 DOWNTO 20) & (slv24_pci_q(15 DOWNTO 12) AND vme_adr_in_reg_int(19 DOWNTO 16))) ) THEN
sl_hit(1) <= '1';
pci_hit(1) <= '1'; -- sl24 base address hit
ELSE
sl_hit(1) <= '0';
pci_hit(1) <= '0'; -- sl24 base address hit
END IF;
IF slv32_reg(4) = '1' AND
( (slv32_reg(3 DOWNTO 0) & (slv32_reg(15 DOWNTO 8) AND slv32_reg(23 DOWNTO 16))) =
(vme_adr_in_reg_int(31 DOWNTO 28) & (vme_adr_in_reg_int(27 DOWNTO 20) AND slv32_reg(23 DOWNTO 16))) ) THEN
sl_hit(0) <= '1';
pci_hit(0) <= '0'; -- sl32 base address hit
ELSIF slv32_pci_q(4) = '1' AND
( (slv32_pci_q(3 DOWNTO 0) & (slv32_pci_q(15 DOWNTO 8) AND slv32_pci_q(23 DOWNTO 16))) =
(vme_adr_in_reg_int(31 DOWNTO 28) & (vme_adr_in_reg_int(27 DOWNTO 20) AND slv32_pci_q(23 DOWNTO 16))) ) THEN
sl_hit(0) <= '1';
pci_hit(0) <= '1'; -- sl32 base address hit
ELSE
sl_hit(0) <= '0';
pci_hit(0) <= '0'; -- sl32 base address hit
END IF;
IF ld_loc_adr_m_cnt = '1' THEN
lwordn_slv_int <= vme_adr_in_reg_int(0);
sl_acc_wb <= sl_acc_reg(4 DOWNTO 0);
wbm_we_o <= NOT sl_writen_reg_int;
IF sl_acc_reg(4) = '1' THEN -- A16 space is requested by vme bus
IF A16_REG_MAPPING THEN
-- if true, access to vme slave A16 space goes to vme runtime registers and above 0x800 to sram (compatible to old revisions of A21)
IF vme_adr_in_reg_int(11) = '1' THEN -- sram access is requested (0x800)
sram_acc <= '1';
reg_acc_int <= '0';
ELSE
reg_acc_int <= '1'; -- register access is requested (0x000)
sram_acc <= '0';
END IF;
-- if false, access to vme slave A16 space goes to sram
ELSE
sram_acc <= '1';
reg_acc_int <= '0';
END IF;
pci_acc_int <= '0';
ELSIF (sl_acc_reg(3) = '1' OR sl_acc_reg(2) = '1') AND sl_acc_reg(5) = '0' THEN -- A24 or A32 space is requested by vme bus
sram_acc <= '1'; -- sram access is requested
reg_acc_int <= '0';
pci_acc_int <= '0';
ELSIF (sl_acc_reg(3) = '1' OR sl_acc_reg(2) = '1') AND sl_acc_reg(5) = '1' THEN -- A24 or A32 space is requested by vme bus
sram_acc <= '0';
reg_acc_int <= '0';
pci_acc_int <= '1'; -- pci access is requested
ELSE
sram_acc <= '0';
reg_acc_int <= '0';
pci_acc_int <= '0';
END IF;
wbm_adr_load(31 DOWNTO 1) <= vme_adr_mask & vme_adr_in_reg_int(11 DOWNTO 1) ;
END IF;
IF ld_loc_adr_m_cnt_q = '1' AND pci_acc_int = '1' THEN
wbm_adr_o_cnt <= (wbm_adr_load(31 DOWNTO 12) + pci_offset_q(31 DOWNTO 12)) & wbm_adr_load(11 DOWNTO 1);
ELSIF ld_loc_adr_m_cnt_q = '1' AND pci_acc_int = '0' THEN
wbm_adr_o_cnt <= wbm_adr_load;
ELSIF (inc_loc_adr_m_cnt = '1' OR sl_inc_loc_adr_m_cnt = '1') AND lwordn_slv_int = '0' THEN
wbm_adr_o_cnt(31 DOWNTO 2) <= wbm_adr_o_cnt(31 DOWNTO 2) + 1;
wbm_adr_o_cnt(1) <= '0';
ELSIF (inc_loc_adr_m_cnt = '1' OR sl_inc_loc_adr_m_cnt = '1') AND lwordn_slv_int = '1' THEN
wbm_adr_o_cnt(31 DOWNTO 1) <= wbm_adr_o_cnt(31 DOWNTO 1) + 1;
END IF;
END IF;
END PROCESS mstr_adr;
vme_a16_mask <= "00000000000000000000";
vme_a24_mask <= "000000000000" & (vme_adr_in_reg_int(19 DOWNTO 16) AND NOT slv24_reg(11 DOWNTO 8)) & vme_adr_in_reg_int(15 DOWNTO 12);
vme_a24_pci_mask <= "000000000000" & (vme_adr_in_reg_int(19 DOWNTO 16) AND NOT slv24_pci_q(11 DOWNTO 8)) & vme_adr_in_reg_int(15 DOWNTO 12);
vme_a32_mask <= "0000" & (vme_adr_in_reg_int(27 DOWNTO 20) AND NOT slv32_reg(23 DOWNTO 16)) & vme_adr_in_reg_int(19 DOWNTO 12);
vme_a32_pci_mask <= "0000" & (vme_adr_in_reg_int(27 DOWNTO 20) AND NOT slv32_pci_q(23 DOWNTO 16)) & vme_adr_in_reg_int(19 DOWNTO 12);
vme_adr_mask <= vme_a24_pci_mask WHEN sl_acc_a_type(4 DOWNTO 3) = "01" AND sl_acc_reg(5) = '1' ELSE
vme_a24_mask WHEN sl_acc_a_type(4 DOWNTO 3) = "01" AND sl_acc_reg(5) = '0' ELSE
vme_a16_mask WHEN sl_acc_a_type(4 DOWNTO 3) = "10" ELSE
vme_a32_pci_mask WHEN sl_acc_a_type(4 DOWNTO 3) = "00" AND sl_acc_reg(5) = '1' ELSE
vme_a32_mask;
lg_dec : PROCESS(clk, rst)
BEGIN
IF rst = '1' THEN
sl_acc_a_type <= "00000";
ELSIF clk'EVENT AND clk = '1' THEN
CASE vam_in_reg(5 DOWNTO 0) IS
-- sl_acc_a_type = sl16_hit, sl24_hit, sl32_hit, sl_blt32, sl_blt64
WHEN "111111" => sl_acc_a_type <= "01010"; -- 3f A24 supervisory block transfer
WHEN "111110" => sl_acc_a_type <= "01000"; -- 3e A24 supervisory program access
WHEN "111101" => sl_acc_a_type <= "01000"; -- 3d A24 supervisory data access
WHEN "111100" => sl_acc_a_type <= "01001"; -- 3c A24 supervisory 64-bit block transfer
WHEN "111011" => sl_acc_a_type <= "01010"; -- 3b A24 non privileged block transfer
WHEN "111010" => sl_acc_a_type <= "01000"; -- 3a A24 non privileged program transfer
WHEN "111001" => sl_acc_a_type <= "01000"; -- 39 A24 non privileged data access
WHEN "111000" => sl_acc_a_type <= "01001"; -- 38 A24 non privileged 64-bit block transfer
WHEN "101101" => sl_acc_a_type <= "10000"; -- 2d A16 supervisory access
WHEN "101001" => sl_acc_a_type <= "10000"; -- 29 A16 non privileged access
WHEN "001111" => sl_acc_a_type <= "00110"; -- 0f A32 supervisory block transfer
WHEN "001110" => sl_acc_a_type <= "00100"; -- 0e A32 supervisory program access
WHEN "001101" => sl_acc_a_type <= "00100"; -- 0d A32 supervisory data access
WHEN "001100" => sl_acc_a_type <= "00101"; -- 0c A32 supervisory 64-bit block transfer
WHEN "001011" => sl_acc_a_type <= "00110"; -- 0b A32 non privileged block transfer
WHEN "001010" => sl_acc_a_type <= "00100"; -- 0a A32 non privileged program access
WHEN "001001" => sl_acc_a_type <= "00100"; -- 09 A32 non privileged data access
WHEN "001000" => sl_acc_a_type <= "00101"; -- 08 A32 non privileged 64-bit block transfer
WHEN OTHERS => sl_acc_a_type <= "00000";
END CASE;
END IF;
END PROCESS lg_dec;
-- vme_acc_type:
-- M D R S B D A
-- 8 7 6 5 4 32 10
-- A16/D16 m d u 0 0 00 10
-- A16/D32 m d u 0 0 01 10
-- A24/D16 m d u 0 0 00 00
-- A24/D32 m d u 0 0 01 00
-- CR/CSR x d u 0 0 10 00
-- A32/D32 m d u 0 0 01 01
-- IACK m d u 0 0 00 11
-- A32/D32/BLT m d u 0 1 01 01
-- A32/D64/BLT m d u 0 1 11 01
-- A24/D16/BLT m d u 0 1 00 00
-- A24/D32/BLT m d u 0 1 01 00
-- A24/D64/BLT m d u 0 1 11 00 new
-- " swapped m d u 1 x xx xx
--
-- m = 0: non-privileged
-- m = 1: supervisory
--
-- d = 0: host access
-- d = 1: DMA access
--
-- u: unused
vam_proc : PROCESS (clk, rst)
BEGIN
IF rst = '1' THEN
vam_out <= (OTHERS => '0');
lwordn_mstr_int <= '0';
ma_byte_routing <= '0';
mstr_cycle_int <= '0';
dsan_out_int <= '1';
dsbn_out_int <= '1';
vme_a1 <= '0';
iackn_out <= '1';
ma_d64 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
CASE vme_acc_type(4 DOWNTO 0) IS
WHEN "00011" => vam_out <= "010000";-- x10 IACK-Cycle
iackn_out <= '0';
mstr_cycle_int <= '0'; -- only one cycle is permitted
ma_d64 <= '0';
IF wbs_sel_i = "1111" THEN
vme_a1 <= '0'; -- longword will be transmitted
dsan_out_int <= '0';
dsbn_out_int <= '0';
ma_byte_routing <= '0';
lwordn_mstr_int <= '0';
ELSIF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
lwordn_mstr_int <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
lwordn_mstr_int <= '1';
END IF;
-- A16 D16
WHEN "00010" =>
IF vme_acc_type(7) = '1' THEN -- DMA access
IF vme_acc_type(8) = '1' THEN
vam_out <= "101101"; -- x2D A16 D16 supervisory access
ELSE
vam_out <= "101001"; -- x29 A16 D16 non-privileged access
END IF;
ELSE -- host access
CASE mstr_reg(9 DOWNTO 8) IS
WHEN AM_NON_DAT => vam_out <= "101001"; -- x29 A16 D16 non-privileged access
WHEN OTHERS => vam_out <= "101101"; -- x2D A16 D16 supervisory access
END CASE;
END IF;
iackn_out <= '1';
ma_d64 <= '0';
lwordn_mstr_int <= '1';
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') AND (wbs_sel_i(2) = '1' OR wbs_sel_i(3) = '1') THEN
mstr_cycle_int <= '1'; -- there must be two master cycles in order to transmitt 32bit in D16 mode
ELSE
mstr_cycle_int <= '0';
END IF;
IF second_word = '0' OR (second_word = '1' AND mstr_cycle_int = '0') THEN -- first word of two
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
END IF;
ELSE -- second word of two
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
vme_a1 <= '1';
END IF;
-- A24 D16
WHEN "00000" =>
IF vme_acc_type(7) = '1' THEN -- DMA access
IF vme_acc_type(8) = '1' THEN
vam_out <= "111101"; -- x3D A24 D16 supervisory data access
ELSE
vam_out <= "111001"; -- x39 A24 D16 non-privileged data access
END IF;
ELSE
CASE mstr_reg(11 DOWNTO 10) IS
WHEN AM_NON_DAT => vam_out <= "111001"; -- x39 A24 D16 non-privileged data access
WHEN AM_NON_PRO => vam_out <= "111010"; -- x3A A24 D16 non-privileged program access
WHEN AM_SUP_DAT => vam_out <= "111101"; -- x3D A24 D16 supervisory data access
WHEN OTHERS => vam_out <= "111110"; -- x3E A24 D16 supervisory program access
END CASE;
END IF;
iackn_out <= '1';
ma_d64 <= '0';
lwordn_mstr_int <= '1';
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') AND (wbs_sel_i(2) = '1' OR wbs_sel_i(3) = '1') THEN
mstr_cycle_int <= '1'; -- there must be two master cycles in order to transmit 32bit in D16 mode
ELSE
mstr_cycle_int <= '0';
END IF;
IF second_word = '0' OR (second_word = '1' AND mstr_cycle_int = '0') THEN -- first word of two
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
END IF;
ELSE -- second word of two
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
vme_a1 <= '1';
END IF;
-- CR/CSR
WHEN "01000" =>
vam_out <= "101111"; -- x2f CR/CSR access
iackn_out <= '1';
ma_d64 <= '0';
mstr_cycle_int <= '0';
IF wbs_sel_i = "1111" THEN -- D32 access
IF vme_acc_type(5) = '1' THEN
ma_byte_routing <= '0';
ELSE
ma_byte_routing <= '1';
END IF;
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
lwordn_mstr_int <= '0';
ELSE -- D16 access
lwordn_mstr_int <= '1';
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
END IF;
END IF;
-- A24 D32
WHEN "00100" =>
IF vme_acc_type(7) = '1' THEN -- DMA access
IF vme_acc_type(8) = '1' THEN
vam_out <= "111101"; -- x3D A24 D32 supervisory data access
ELSE
vam_out <= "111001"; -- x39 A24 D32 non-privileged data access
END IF;
ELSE
CASE mstr_reg(11 DOWNTO 10) IS
WHEN AM_NON_DAT => vam_out <= "111001"; -- x39 A24 D32 non-privileged data access
WHEN AM_NON_PRO => vam_out <= "111010"; -- x3A A24 D32 non-privileged program access
WHEN AM_SUP_DAT => vam_out <= "111101"; -- x3D A24 D32 supervisory data access
WHEN OTHERS => vam_out <= "111110"; -- x3E A24 D32 supervisory program access
END CASE;
END IF;
iackn_out <= '1';
ma_d64 <= '0';
IF wbs_sel_i = "1111" THEN
IF vme_acc_type(5) = '1' THEN
ma_byte_routing <= '0';
ELSE
ma_byte_routing <= '1';
END IF;
mstr_cycle_int <= '0';
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
lwordn_mstr_int <= '0';
ELSE -- same as D16 access
lwordn_mstr_int <= '1';
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') AND (wbs_sel_i(2) = '1' OR wbs_sel_i(3) = '1') THEN
mstr_cycle_int <= '1'; -- there must be two master cycles in order to transmit 32bit in D16 mode
ELSE
mstr_cycle_int <= '0';
END IF;
IF second_word = '0' OR (second_word = '1' AND mstr_cycle_int = '0') THEN -- first word of two
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
END IF;
ELSE -- second word of two
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
vme_a1 <= '1';
END IF;
END IF;
-- A16 D32
WHEN "00110" =>
IF vme_acc_type(7) = '1' THEN -- DMA access
IF vme_acc_type(8) = '1' THEN
vam_out <= "101101"; -- x2D A16 D32 supervisory access
ELSE
vam_out <= "101001"; -- x29 A16 D32 non-privileged access
END IF;
ELSE
CASE mstr_reg(9 DOWNTO 8) IS -- A16_MODE
WHEN AM_NON_DAT => vam_out <= "101001"; -- x29 A16 D32 non-privileged access
WHEN OTHERS => vam_out <= "101101"; -- x2D A16 D32 supervisory access
END CASE;
END IF;
iackn_out <= '1';
ma_d64 <= '0';
IF wbs_sel_i = "1111" THEN
IF vme_acc_type(5) = '1' THEN
ma_byte_routing <= '0';
ELSE
ma_byte_routing <= '1';
END IF;
mstr_cycle_int <= '0';
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
lwordn_mstr_int <= '0';
ELSE -- same as D16 access
lwordn_mstr_int <= '1';
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') AND (wbs_sel_i(2) = '1' OR wbs_sel_i(3) = '1') THEN
mstr_cycle_int <= '1'; -- there must be two master cycles in order to transmitt 32bit in D16 mode
ELSE
mstr_cycle_int <= '0';
END IF;
IF second_word = '0' OR (second_word = '1' AND mstr_cycle_int = '0') THEN -- first word of two
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
END IF;
ELSE -- second word of two
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
vme_a1 <= '1';
END IF;
END IF;
-- A32 D32
WHEN "00101" =>
IF vme_acc_type(7) = '1' THEN -- DMA access
IF vme_acc_type(8) = '1' THEN
vam_out <= "001101"; -- x0D A32 D32 supervisory data access
ELSE
vam_out <= "001001"; -- x09 A32 D32 non-privileged data access
END IF;
ELSE
CASE mstr_reg(13 DOWNTO 12) IS -- A32_MODE
WHEN AM_NON_DAT => vam_out <= "001001"; -- x09 A32 D32 non-privileged data access
WHEN AM_NON_PRO => vam_out <= "001010"; -- x0A A32 D32 non-privileged program access
WHEN AM_SUP_DAT => vam_out <= "001101"; -- x0D A32 D32 supervisory data access
WHEN OTHERS => vam_out <= "001110"; -- x0E A32 D32 supervisory program access
END CASE;
END IF;
iackn_out <= '1';
ma_d64 <= '0';
IF wbs_sel_i = "1111" THEN
IF vme_acc_type(5) = '1' THEN
ma_byte_routing <= '0';
ELSE
ma_byte_routing <= '1';
END IF;
mstr_cycle_int <= '0';
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
lwordn_mstr_int <= '0';
ELSE -- same as D16 access
lwordn_mstr_int <= '1';
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') AND (wbs_sel_i(2) = '1' OR wbs_sel_i(3) = '1') THEN
mstr_cycle_int <= '1'; -- there must be two master cycles in order to transmit 32bit in D16 mode
ELSE
mstr_cycle_int <= '0';
END IF;
IF second_word = '0' OR (second_word = '1' AND mstr_cycle_int = '0') THEN -- first word of two
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
END IF;
ELSE -- second word of two
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
vme_a1 <= '1';
END IF;
END IF;
-- A24 D16 BLT
WHEN "10000" =>
IF vme_acc_type(8) = '0' THEN
vam_out <= "111011";-- x3b A24 D16 blt non-privileged
ELSE
vam_out <= "111111";-- x3f A24 D16 blt supervisory
END IF;
iackn_out <= '1';
ma_d64 <= '0';
lwordn_mstr_int <= '1';
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') AND (wbs_sel_i(2) = '1' OR wbs_sel_i(3) = '1') THEN
mstr_cycle_int <= '1'; -- there must be two master cycles in order to transmit 32bit in D16 mode
ELSE
mstr_cycle_int <= '0';
END IF;
IF second_word = '0' OR (second_word = '1' AND mstr_cycle_int = '0') THEN -- first word of two
IF (wbs_sel_i(0) = '1' OR wbs_sel_i(1) = '1') THEN
vme_a1 <= '0'; -- only low word will be transmitted
dsan_out_int <= NOT wbs_sel_i(1);
dsbn_out_int <= NOT wbs_sel_i(0);
ma_byte_routing <= '1';
ELSE
vme_a1 <= '1'; -- only high word will be transmitted
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
END IF;
ELSE -- second word of two
dsan_out_int <= NOT wbs_sel_i(3);
dsbn_out_int <= NOT wbs_sel_i(2);
ma_byte_routing <= '0';
vme_a1 <= '1';
END IF;
-- A32 D32 BLT
WHEN "10101" =>
IF vme_acc_type(8) = '0' THEN
vam_out <= "001011";-- x0b A32 D32 blt non-privileged
ELSE
vam_out <= "001111";-- x0f A32 D32 blt supervisory
END IF;
iackn_out <= '1';
IF vme_acc_type(5) = '1' THEN
ma_byte_routing <= '0';
ELSE
ma_byte_routing <= '1';
END IF;
mstr_cycle_int <= '0';
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
lwordn_mstr_int <= '0';
ma_d64 <= '0';
-- A24 D32 BLT
WHEN "10100" =>
IF vme_acc_type(8) = '0' THEN
vam_out <= "111011";-- x3b A24 D32 blt non-privileged
ELSE
vam_out <= "111111";-- x3f A24 D32 blt supervisory
END IF;
iackn_out <= '1';
IF vme_acc_type(5) = '1' THEN
ma_byte_routing <= '0';
ELSE
ma_byte_routing <= '1';
END IF;
mstr_cycle_int <= '0';
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
lwordn_mstr_int <= '0';
ma_d64 <= '0';
-- A24 D64 MBLT
WHEN "11100" =>
IF vme_acc_type(8) = '0' THEN
vam_out <= "111000";-- x38 A24 D64 mblt non-privileged
ELSE
vam_out <= "111100";-- x3c A24 D64 mblt supervisory
END IF;
lwordn_mstr_int <= '0';
ma_byte_routing <= '1';
mstr_cycle_int <= '1'; -- D64
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
iackn_out <= '1';
ma_d64 <= '1';
-- A32 D64 MBLT
WHEN "11101" =>
IF vme_acc_type(8) = '0' THEN
vam_out <= "001000";-- x08 A32 D64 mblt non-privileged
ELSE
vam_out <= "001100";-- x0c A32 D64 mblt supervisory
END IF;
lwordn_mstr_int <= '0';
ma_byte_routing <= '1';
mstr_cycle_int <= '1'; -- D64
dsan_out_int <= '0';
dsbn_out_int <= '0';
vme_a1 <= '0';
iackn_out <= '1';
ma_d64 <= '1';
WHEN OTHERS => -- A32 D64 MBLT
IF vme_acc_type(8) = '0' THEN
vam_out <= "001000";-- x08 A32 D64 mblt non-privileged
ELSE
vam_out <= "001100";-- x0c A32 D64 mblt supervisory
END IF;
ma_d64 <= '0';
iackn_out <= '1';
lwordn_mstr_int <= '0';
ma_byte_routing <= '0';
mstr_cycle_int <= '0';
dsan_out_int <= '1';
dsbn_out_int <= '1';
vme_a1 <= '0';
END CASE;
END IF;
END PROCESS vam_proc;
END vme_au_arch;
|
library ieee;
use ieee.std_logic_1164.all;
entity concat01 is
generic (a : std_logic_vector (7 downto 0) := x"ab";
b : std_logic_vector (7 downto 0) := x"9e");
port (res : out std_logic_vector (15 downto 0));
end concat01;
architecture behav of concat01 is
constant c : std_logic_vector := a & b;
begin
res <= c;
end behav;
|
-- File name: aes_top.vhd
-- Created: 2009-04-04
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: AES top level
use work.aes.all;
use work.pcie.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity top_top is
port (
clk : in std_logic;
nrst : in std_logic;
rx_data : in byte;
rx_data_k : in std_logic;
rx_status : in std_logic_vector(2 downto 0);
rx_elec_idle : in std_logic;
phy_status : in std_logic;
rx_valid : in std_logic;
tx_detect_rx : out std_logic;
tx_elec_idle : out std_logic;
tx_comp : out std_logic;
rx_pol : out std_logic;
power_down : out std_logic_vector(1 downto 0);
tx_data : out byte;
tx_data_k : out std_logic
);
end entity top_top;
architecture structural of top_top is
signal got_key : std_logic;
signal got_pt : std_logic;
signal send_ct : std_logic;
signal aes_done : std_logic;
signal tx_data_aes : byte;
signal last_rx_data : byte;
begin
pcie_top_b : entity work.pcie_top(structural) port map (
clk => clk, nrst => nrst, rx_data => rx_data,
rx_data_k => rx_data_k, rx_status => rx_status,
rx_elec_idle => rx_elec_idle, phy_status => phy_status,
rx_valid => rx_valid, tx_detect_rx => tx_detect_rx,
tx_elec_idle => tx_elec_idle, tx_comp => tx_comp,
rx_pol => rx_pol, power_down => power_down,
tx_data => tx_data, tx_data_k => tx_data_k,
tx_data_aes => tx_data_aes, aes_done => aes_done,
got_key => got_key, got_pt => got_pt, send_ct => send_ct
);
-- leda C_1406 off
process(clk)
begin
if rising_edge(clk) then
last_rx_data <= rx_data;
end if;
end process;
-- leda C_1406 on
aes_top_b : entity work.aes_top(structural) port map (
clk => clk, nrst => nrst, rx_data => last_rx_data,
got_key => got_key, got_pt => got_pt, send_ct => send_ct,
aes_done => aes_done, tx_data => tx_data_aes
);
end architecture structural;
architecture structural_p of top_top is
signal got_key : std_logic;
signal got_pt : std_logic;
signal send_ct : std_logic;
signal aes_done : std_logic;
signal tx_data_aes : byte;
signal last_rx_data : byte;
begin
pcie_top_b : entity work.pcie_top(structural) port map (
clk => clk, nrst => nrst, rx_data => rx_data,
rx_data_k => rx_data_k, rx_status => rx_status,
rx_elec_idle => rx_elec_idle, phy_status => phy_status,
rx_valid => rx_valid, tx_detect_rx => tx_detect_rx,
tx_elec_idle => tx_elec_idle, tx_comp => tx_comp,
rx_pol => rx_pol, power_down => power_down,
tx_data => tx_data, tx_data_k => tx_data_k,
tx_data_aes => tx_data_aes, aes_done => aes_done,
got_key => got_key, got_pt => got_pt, send_ct => send_ct
);
-- leda C_1406 off
process(clk)
begin
if rising_edge(clk) then
last_rx_data <= rx_data;
end if;
end process;
-- leda C_1406 on
aes_top_p_b : entity work.aes_top(structural_p) port map (
clk => clk, nrst => nrst, rx_data => last_rx_data,
got_key => got_key, got_pt => got_pt, send_ct => send_ct,
aes_done => aes_done, tx_data => tx_data_aes
);
end architecture structural_p;
|
library verilog;
use verilog.vl_types.all;
entity MUX8_1_Single is
port(
Sel : in vl_logic_vector(2 downto 0);
S0 : in vl_logic;
S1 : in vl_logic;
S2 : in vl_logic;
S3 : in vl_logic;
S4 : in vl_logic;
S5 : in vl_logic;
S6 : in vl_logic;
S7 : in vl_logic;
\out\ : out vl_logic
);
end MUX8_1_Single;
|
library verilog;
use verilog.vl_types.all;
entity PGRtest is
generic(
d : integer := 20
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of d : constant is 1;
end PGRtest;
|
-- i2c_slave.vhd
--
-- Created on: 08 Jun 2017
-- Author: Fabian Meyer
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity i2c_slave is
generic(RSTDEF: std_logic := '0';
ADDRDEF: std_logic_vector(6 downto 0) := "0100000");
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
swrst: in std_logic; -- software reset, RSTDEF active
en: in std_logic; -- enable, high active
tx_data: in std_logic_vector(7 downto 0); -- tx, data to send
tx_sent: out std_logic := '0'; -- tx was sent, high active
rx_data: out std_logic_vector(7 downto 0) := (others => '0'); -- rx, data received
rx_recv: out std_logic := '0'; -- rx received, high active
busy: out std_logic := '0'; -- busy, high active
sda: inout std_logic := 'Z'; -- serial data of I2C
scl: inout std_logic := 'Z'); -- serial clock of I2C
end entity;
architecture behavioral of i2c_slave is
component delay_bit
generic(RSTDEF: std_logic := '0';
DELAYLEN: natural := 8);
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
swrst: in std_logic; -- software reset, RSTDEF active
en: in std_logic; -- enable, high active
din: in std_logic; -- data in
dout: out std_logic); -- data out
end component;
-- states for FSM
type TState is (SIDLE, SADDR, SSEND_ACK1, SSEND_ACK2, SRECV_ACK, SREAD, SWRITE);
signal state: TState := SIDLE;
signal prev_state: TState := SIDLE;
-- constant to define cycles per time unit
constant CLKPERMS: natural := 24000;
-- counter for measuring time to timeout after 1ms
constant TIMEOUTLEN: natural := 15;
signal cnt_timeout: unsigned(TIMEOUTLEN-1 downto 0) := (others => '0');
-- data vector for handling traffic internally
constant DATALEN: natural := 8;
signal data: std_logic_vector(DATALEN-1 downto 0) := (others => '0');
-- determines if master reqested read (high) or write (low)
signal rwbit: std_logic := '0';
-- sda signal delayed by 1us
signal sda_del: std_logic := '0';
-- i2c vectors to store previous and current signal
signal scl_vec: std_logic_vector(1 downto 0) := (others => '0');
signal sda_vec: std_logic_vector(1 downto 0) := (others => '0');
-- counter to count bits received / sent
signal cnt_bit: unsigned(2 downto 0) := (others => '0');
signal tx_data_t: std_logic_vector(7 downto 0) := (others => '0');
begin
-- always let master handle scl
scl <= 'Z';
-- lsb is current scl
scl_vec(0) <= scl;
-- lsb is delayed sda
sda_vec(0) <= sda_del;
-- always busy if not in idle mode
busy <= '0' when state = SIDLE else '1';
-- always transform 1 to Z
-- never put a 1 on SDA
tx_data_transform: for i in 7 downto 0 generate
tx_data_t(i) <= 'Z' when tx_data(i) = '1' else '0';
end generate;
-- delay sda signal by 24 cylces (= 1us)
delay1: delay_bit
generic map(RSTDEF => RSTDEF,
DELAYLEN => 24)
port map(rst => rst,
clk => clk,
swrst => swrst,
en => en,
din => sda,
dout => sda_del);
process(clk, rst)
procedure reset is
begin
-- reset out ports
tx_sent <= '0';
rx_data <= (others => '0');
rx_recv <= '0';
-- release sda
sda <= 'Z';
-- go back to idle state
state <= SIDLE;
prev_state <= SIDLE;
-- reset timeout counter
cnt_timeout <= (others => '0');
data <= (others => '0');
rwbit <= '0';
-- reset scl / sda history
scl_vec(1) <= '0';
sda_vec(1) <= '0';
-- reset bit counter
cnt_bit <= (others => '0');
end procedure;
begin
if rst = RSTDEF then
reset;
elsif rising_edge(clk) then
if swrst = RSTDEF then
reset;
elsif en = '1' then
-- keep track of previous sda and scl (msb)
sda_vec(1) <= sda_vec(0);
scl_vec(1) <= scl_vec(0);
-- leave sent and recv signals high for only one cylce
tx_sent <= '0';
rx_recv <= '0';
-- keep track of previous state for timeout
prev_state <= state;
-- check for timeout
cnt_timeout <= cnt_timeout + 1;
if prev_state /= state or state = SIDLE then
-- reset timeout if states have changed or we are in idle mode
cnt_timeout <= (others => '0');
elsif to_integer(cnt_timeout) = CLKPERMS then
-- timeout is reached, reset and go into idle state
reset;
end if;
-- compute state machine for i2c slave
case state is
when SIDLE =>
-- do nothing, wait for start condition
when SADDR =>
if scl_vec = "01" then
-- set data bit depending on cnt_bit
data(7-to_integer(cnt_bit)) <= sda_vec(0);
cnt_bit <= cnt_bit + 1;
-- if cnt_bit is full then we have just received last bit
if cnt_bit = "111" then
rwbit <= sda_vec(0);
if data(DATALEN-1 downto 1) = ADDRDEF then
-- address matches ours, acknowledge
state <= SSEND_ACK1;
else
-- address doesn't match ours, ignore
state <= SIDLE;
end if;
end if;
end if;
when SSEND_ACK1 =>
if scl_vec = "10" then
state <= SSEND_ACK2;
sda <= '0';
end if;
when SSEND_ACK2 =>
if scl_vec = "10" then
-- check if master requested read or write
if rwbit = '1' then
-- master wants to read
-- write first bit on bus
sda <= tx_data_t(7);
data <= tx_data_t;
-- start from one because we already wrote first bit
cnt_bit <= "001";
state <= SREAD;
else
-- master wants to write
-- release sda
sda <= 'Z';
cnt_bit <= (others => '0');
state <= SWRITE;
end if;
end if;
when SRECV_ACK =>
if scl_vec = "01" then
if sda_vec(0) /= '0' then
-- received nack: master will send stop cond, but we
-- can simply jump right to idle state
state <= SIDLE;
end if;
elsif scl_vec = "10" then
-- continue read
sda <= tx_data_t(7); -- write first bit on bus
data <= tx_data_t;
-- start from 1 because we alreay transmit first bit
cnt_bit <= "001";
state <= SREAD;
end if;
when SREAD =>
if scl_vec = "10" then
sda <= data(7-to_integer(cnt_bit));
cnt_bit <= cnt_bit + 1;
-- if cnt_bit overflowed we finished transmitting last bit
-- note: data is not allowed to contain any 1, only Z or 0
if cnt_bit = "000" then
-- release sda, because we need to listen for ack
-- from master
sda <= 'Z';
state <= SRECV_ACK;
-- notify that we have sent the byte
tx_sent <= '1';
end if;
end if;
when SWRITE =>
if scl_vec = "01" then
data(7-to_integer(cnt_bit)) <= sda_vec(0);
cnt_bit <= cnt_bit + 1;
-- if cnt_bit is full we have just received the last bit
if cnt_bit = "111" then
state <= SSEND_ACK1;
-- apply received byte to out port
rx_data <= data(DATALEN-1 downto 1) & sda_vec(0);
-- notify that we have received a new byte
rx_recv <= '1';
end if;
end if;
end case;
if state = SWRITE or state = SREAD then
-- check for stop condition
if scl_vec = "11" and sda_vec = "01" then
-- i2c stop condition
state <= SIDLE;
sda <= 'Z';
end if;
end if;
if state = SIDLE or state = SWRITE or state = SREAD then
-- check for start condition
if scl_vec = "11" and sda_vec = "10" then
-- i2c start condition / repeated start condition
state <= SADDR;
cnt_bit <= (others => '0');
end if;
end if;
end if;
end if;
end process;
end architecture;
|
-------------------------------------------------------------------------------
-- Title : 16x2 LCD controller
-- Project :
-------------------------------------------------------------------------------
-- File : lcd16x2_ctrl.vhd
-- Author : <stachelsau@T420>
-- Company :
-- Created : 2012-07-28
-- Last update: 2012-11-28
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: The controller initializes the display when rst goes to '0'.
-- After that it writes the contend of the input signals
-- line1_buffer and line2_buffer continously to the display.
-------------------------------------------------------------------------------
-- Copyright (c) 2012
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-07-28 1.0 stachelsau Created
-------------------------------------------------------------------------------
-- This file is distributed under the LGPL
-- See http://opencores.org/project,16x2_lcd_controller
library ieee;
use ieee.std_logic_1164.all;
library work;
entity lcd16x2_ctrl is
generic (
CLK_PERIOD_NS : positive := 20); -- 50MHz
port (
clk : in std_logic;
rst : in std_logic;
lcd_e : out std_logic;
lcd_rs : out std_logic;
lcd_rw : out std_logic;
lcd_db : out std_logic_vector(7 downto 4);
line1_buffer : in std_logic_vector(127 downto 0); -- 16x8bit
line2_buffer : in std_logic_vector(127 downto 0));
end entity lcd16x2_ctrl;
architecture rtl of lcd16x2_ctrl is
constant DELAY_15_MS : positive := 15 * 10**6 / CLK_PERIOD_NS + 1;
constant DELAY_1640_US : positive := 1640 * 10**3 / CLK_PERIOD_NS + 1;
constant DELAY_4100_US : positive := 4100 * 10**3 / CLK_PERIOD_NS + 1;
constant DELAY_100_US : positive := 100 * 10**3 / CLK_PERIOD_NS + 1;
constant DELAY_40_US : positive := 40 * 10**3 / CLK_PERIOD_NS + 1;
constant DELAY_NIBBLE : positive := 10**3 / CLK_PERIOD_NS + 1;
constant DELAY_LCD_E : positive := 230 / CLK_PERIOD_NS + 1;
constant DELAY_SETUP_HOLD : positive := 40 / CLK_PERIOD_NS + 1;
constant MAX_DELAY : positive := DELAY_15_MS;
-- this record describes one write operation
type op_t is record
rs : std_logic;
data : std_logic_vector(7 downto 0);
delay_h : integer range 0 to MAX_DELAY;
delay_l : integer range 0 to MAX_DELAY;
end record op_t;
constant default_op : op_t := (rs => '1', data => X"00", delay_h => DELAY_NIBBLE, delay_l => DELAY_40_US);
constant op_select_line1 : op_t := (rs => '0', data => X"80", delay_h => DELAY_NIBBLE, delay_l => DELAY_40_US);
constant op_select_line2 : op_t := (rs => '0', data => X"C0", delay_h => DELAY_NIBBLE, delay_l => DELAY_40_US);
-- init + config operations:
-- write 3 x 0x3 followed by 0x2
-- function set command
-- entry mode set command
-- display on/off command
-- clear display
type config_ops_t is array(0 to 5) of op_t;
constant config_ops : config_ops_t
:= (5 => (rs => '0', data => X"33", delay_h => DELAY_4100_US, delay_l => DELAY_100_US),
4 => (rs => '0', data => X"32", delay_h => DELAY_40_US, delay_l => DELAY_40_US),
3 => (rs => '0', data => X"28", delay_h => DELAY_NIBBLE, delay_l => DELAY_40_US),
2 => (rs => '0', data => X"06", delay_h => DELAY_NIBBLE, delay_l => DELAY_40_US),
1 => (rs => '0', data => X"0C", delay_h => DELAY_NIBBLE, delay_l => DELAY_40_US),
0 => (rs => '0', data => X"01", delay_h => DELAY_NIBBLE, delay_l => DELAY_1640_US));
signal this_op : op_t;
type op_state_t is (IDLE,
WAIT_SETUP_H,
ENABLE_H,
WAIT_HOLD_H,
WAIT_DELAY_H,
WAIT_SETUP_L,
ENABLE_L,
WAIT_HOLD_L,
WAIT_DELAY_L,
DONE);
signal op_state : op_state_t := DONE;
signal next_op_state : op_state_t;
signal cnt : natural range 0 to MAX_DELAY;
signal next_cnt : natural range 0 to MAX_DELAY;
type state_t is (RESET,
CONFIG,
SELECT_LINE1,
WRITE_LINE1,
SELECT_LINE2,
WRITE_LINE2);
signal state : state_t := RESET;
signal next_state : state_t;
signal ptr : natural range 0 to 15 := 0;
signal next_ptr : natural range 0 to 15;
begin
proc_state : process(state, op_state, ptr, line1_buffer, line2_buffer) is
begin
case state is
when RESET =>
this_op <= default_op;
next_state <= CONFIG;
next_ptr <= config_ops_t'high;
when CONFIG =>
this_op <= config_ops(ptr);
next_ptr <= ptr;
next_state <= CONFIG;
if op_state = DONE then
next_ptr <= ptr - 1;
if ptr = 0 then
next_state <= SELECT_LINE1;
end if;
end if;
when SELECT_LINE1 =>
this_op <= op_select_line1;
next_ptr <= 15;
if op_state = DONE then
next_state <= WRITE_LINE1;
else
next_state <= SELECT_LINE1;
end if;
when WRITE_LINE1 =>
this_op <= default_op;
this_op.data <= line1_buffer(ptr*8 + 7 downto ptr*8);
next_ptr <= ptr;
next_state <= WRITE_LINE1;
if op_state = DONE then
next_ptr <= ptr - 1;
if ptr = 0 then
next_state <= SELECT_LINE2;
end if;
end if;
when SELECT_LINE2 =>
this_op <= op_select_line2;
next_ptr <= 15;
if op_state = DONE then
next_state <= WRITE_LINE2;
else
next_state <= SELECT_LINE2;
end if;
when WRITE_LINE2 =>
this_op <= default_op;
this_op.data <= line2_buffer(ptr*8 + 7 downto ptr*8);
next_ptr <= ptr;
next_state <= WRITE_LINE2;
if op_state = DONE then
next_ptr <= ptr - 1;
if ptr = 0 then
next_state <= SELECT_LINE1;
end if;
end if;
end case;
end process proc_state;
reg_state : process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
state <= RESET;
ptr <= 0;
else
state <= next_state;
ptr <= next_ptr;
end if;
end if;
end process reg_state;
-- we never read from the lcd
lcd_rw <= '0';
proc_op_state : process(op_state, cnt, this_op) is
begin
case op_state is
when IDLE =>
lcd_db <= (others => '0');
lcd_rs <= '0';
lcd_e <= '0';
next_op_state <= WAIT_SETUP_H;
next_cnt <= DELAY_SETUP_HOLD;
when WAIT_SETUP_H =>
lcd_db <= this_op.data(7 downto 4);
lcd_rs <= this_op.rs;
lcd_e <= '0';
if cnt = 0 then
next_op_state <= ENABLE_H;
next_cnt <= DELAY_LCD_E;
else
next_op_state <= WAIT_SETUP_H;
next_cnt <= cnt - 1;
end if;
when ENABLE_H =>
lcd_db <= this_op.data(7 downto 4);
lcd_rs <= this_op.rs;
lcd_e <= '1';
if cnt = 0 then
next_op_state <= WAIT_HOLD_H;
next_cnt <= DELAY_SETUP_HOLD;
else
next_op_state <= ENABLE_H;
next_cnt <= cnt - 1;
end if;
when WAIT_HOLD_H =>
lcd_db <= this_op.data(7 downto 4);
lcd_rs <= this_op.rs;
lcd_e <= '0';
if cnt = 0 then
next_op_state <= WAIT_DELAY_H;
next_cnt <= this_op.delay_h;
else
next_op_state <= WAIT_HOLD_H;
next_cnt <= cnt - 1;
end if;
when WAIT_DELAY_H =>
lcd_db <= (others => '0');
lcd_rs <= '0';
lcd_e <= '0';
if cnt = 0 then
next_op_state <= WAIT_SETUP_L;
next_cnt <= DELAY_SETUP_HOLD;
else
next_op_state <= WAIT_DELAY_H;
next_cnt <= cnt - 1;
end if;
when WAIT_SETUP_L =>
lcd_db <= this_op.data(3 downto 0);
lcd_rs <= this_op.rs;
lcd_e <= '0';
if cnt = 0 then
next_op_state <= ENABLE_L;
next_cnt <= DELAY_LCD_E;
else
next_op_state <= WAIT_SETUP_L;
next_cnt <= cnt - 1;
end if;
when ENABLE_L =>
lcd_db <= this_op.data(3 downto 0);
lcd_rs <= this_op.rs;
lcd_e <= '1';
if cnt = 0 then
next_op_state <= WAIT_HOLD_L;
next_cnt <= DELAY_SETUP_HOLD;
else
next_op_state <= ENABLE_L;
next_cnt <= cnt - 1;
end if;
when WAIT_HOLD_L =>
lcd_db <= this_op.data(3 downto 0);
lcd_rs <= this_op.rs;
lcd_e <= '0';
if cnt = 0 then
next_op_state <= WAIT_DELAY_L;
next_cnt <= this_op.delay_l;
else
next_op_state <= WAIT_HOLD_L;
next_cnt <= cnt - 1;
end if;
when WAIT_DELAY_L =>
lcd_db <= (others => '0');
lcd_rs <= '0';
lcd_e <= '0';
if cnt = 0 then
next_op_state <= DONE;
next_cnt <= 0;
else
next_op_state <= WAIT_DELAY_L;
next_cnt <= cnt - 1;
end if;
when DONE =>
lcd_db <= (others => '0');
lcd_rs <= '0';
lcd_e <= '0';
next_op_state <= IDLE;
next_cnt <= 0;
end case;
end process proc_op_state;
reg_op_state : process (clk) is
begin
if rising_edge(clk) then
if state = RESET then
op_state <= IDLE;
else
op_state <= next_op_state;
cnt <= next_cnt;
end if;
end if;
end process reg_op_state;
end architecture rtl;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 04.03.2016 11:22:26
-- Design Name:
-- Module Name: rem_testbench - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity asr64_testbench is
end asr64_testbench;
architecture Behavioural of asr64_testbench is
signal sig_i00, sig_i01, sig_i02, sig_i03, sig_r00, sig_r01, sig_r02, sig_r03,
sig_FP, sig_FPout,sig_MDAT : std_logic_vector(31 DOWNTO 0);
signal sig_reset, sig_CLK, sig_MWAIT : std_logic;
component ASR64CoreAndMemory is
PORT (
in0 : IN std_logic_vector(31 DOWNTO 0);
in1 : IN std_logic_vector(31 DOWNTO 0);
in2 : IN std_logic_vector(31 DOWNTO 0);
in3 : IN std_logic_vector(31 DOWNTO 0);
out0 : OUT std_logic_vector(31 DOWNTO 0);
out1 : OUT std_logic_vector(31 DOWNTO 0);
out2 : OUT std_logic_vector(31 DOWNTO 0);
out3 : OUT std_logic_vector(31 DOWNTO 0);
frame_pointer : IN std_logic_vector(31 DOWNTO 0);
frame_pointer_out : OUT std_logic_vector(31 DOWNTO 0);
rst : IN std_logic;
clck : IN std_logic;
mem_wait : IN std_logic;
mem_push : IN std_logic_vector(31 DOWNTO 0)
);
end component;
begin
uut: ASR64CoreAndMemory
port map (
in0 => sig_i00,
in1 => sig_i01,
in2 => sig_i02,
in3 => sig_i03,
out0 => sig_r00,
out1 => sig_r01,
out2 => sig_r02,
out3 => sig_r03,
frame_pointer => sig_FP,
frame_pointer_out => sig_FPout,
rst => sig_reset,
clck => sig_CLK,
mem_wait => sig_MWAIT,
mem_push => sig_MDAT
);
clock: process
constant clock_period:time := 40ns;
begin
wait for 200ns;
for I in 0 to 100 loop
sig_CLK <= '0';
wait for clock_period/2;
sig_CLK <= '1';
wait for clock_period/2;
end loop;
wait;
end process clock;
test: process begin
sig_MWAIT <= '1';
sig_reset <= '1';
wait for 100ns;
sig_reset <= '0';
wait for 100ns;
sig_i00 <= "00000000000000000000000000010100";
sig_i01 <= "00000000000000000000000000100010";
sig_i02 <= "00000000000000000000000001010101";
sig_i03 <= "00000000000000000000011101010000";
sig_MDAT <= "00010000010101010111000111000100";
sig_FP <= "00000000000000000000000001010000";
wait;
end process test;
end Behavioural;
|
-- *********************************************************************
-- Copyright 2008, Cypress Semiconductor Corporation.
--
-- This software is owned by Cypress Semiconductor Corporation (Cypress)
-- and is protected by United States copyright laws and international
-- treaty provisions. Therefore, you must treat this software like any
-- other copyrighted material (e.g., book, or musical recording), with
-- the exception that one copy may be made for personal use or
-- evaluation. Reproduction, modification, translation, compilation, or
-- representation of this software in any other form (e.g., paper,
-- magnetic, optical, silicon, etc.) is prohibited without the express
-- written permission of Cypress.
--
-- Disclaimer: Cypress makes no warranty of any kind, express or
-- implied, with regard to this material, including, but not limited to,
-- the implied warranties of merchantability and fitness for a particular
-- purpose. Cypress reserves the right to make changes without further
-- notice to the materials described herein. Cypress does not assume any
-- liability arising out of the application or use of any product or
-- circuit described herein. Cypress' products described herein are not
-- authorized for use as components in life-support devices.
--
-- This software is protected by and subject to worldwide patent
-- coverage, including U.S. and foreign patents. Use may be limited by
-- and subject to the Cypress Software License Agreement.
--
-- *********************************************************************
-- Author : $Author: gert.rijckbosch $ @ cypress.com
-- Department : MPD_BE
-- Date : $Date: 2011-05-13 10:06:42 +0200 (vr, 13 mei 2011) $
-- Revision : $Revision: 943 $
-- *********************************************************************
-- Description
--
-- *********************************************************************
-------------------
-- LIBRARY USAGE --
-------------------
--common:
---------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_signed.all;
--xilinx:
---------
--Library XilinxCoreLib;
library unisim;
use unisim.vcomponents.all;
-----------------------
-- ENTITY DEFINITION --
-----------------------
entity iserdes_clocks is
generic (
SIMULATION : integer := 0;
DATAWIDTH : integer := 10; -- can be 4, 6, 8 or 10 for DDR, can be 2, 3, 4, 5, 6, 7, or 8 for SDR.
DATA_RATE : string := "DDR"; -- DDR/SDR
CLKSPEED : integer := 50; -- APPCLK speed in MHz. Everything is generated from Appclk to be as sync as possible
--DATAWIDTH, DATARATE, and clockspeed are used to calculate high speed clk speed.
--SIM_DEVICE : string := "VIRTEX5"; --VIRTEX4/VIRTEX5, for BUFR
C_FAMILY : string := "virtex5";
DIFF_TERM : boolean := TRUE;
USE_INPLL : boolean := TRUE;
USE_OUTPLL : boolean := TRUE; --use output/multiplieng PLL instead of DCM
USE_HS_EXT_CLK_IN : boolean := FALSE; -- use external clock high speed clock in
-- YES -> use as CLK source, either via BUFG or BUFIO/BUFR,
-- -> when USE_HS_REGIONAL_CLK = YES
-- use BUFIO (only IOblock can be clocked)
-- -> when USE_HS_REGIONAL_CLK = NO
-- use BUFG
--
-- NO -> when use USE_LS_EXT_CLK_IN = YES
-- not supported
-- when use USE_LS_EXT_CLK_IN = NO
-- appclk combined with DCM as CLK source
-- use BUFG as CLK source
USE_LS_EXT_CLK_IN : boolean := FALSE; -- use external clock low speed clock in
-- YES -> use as CLKDIV source, either via BUFG or BUFIO/BUFR,
-- -> when USE_LS_REGIONAL_CLK = YES
-- use BUFR
-- -> when USE_LS_REGIONAL_CLK = NO
-- use BUFG
--
--
-- NO -> when USE_HS_EXT_CLK_IN = YES
-- -> when USE_HS_REGIONAL_CLK =YES and BUFR can divide
-- use BUFIO/BUFR to divide HS
-- -> when USE_HS_REGIONAL_CLK =YES and BUFR can not divide
-- use BUFIO/BUFR + DCM to divide HS
-- -> when USE_HS_EXT_CLK_IN = NO
-- use DCM (same as HS_EXT_CLK_IN) as clk source, sync with appclk
--
--
USE_DIFF_HS_CLK_IN : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer
USE_DIFF_LS_CLK_IN : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer
USE_HS_REGIONAL_CLK : boolean := FALSE; -- only used when USE_HS_EXT_CLK_IN = yes
USE_LS_REGIONAL_CLK : boolean := FALSE; -- only used when USE_LS_EXT_CLK_IN = yes
USE_HS_EXT_CLK_OUT : boolean := FALSE; -- use external clock high speed clock out
USE_LS_EXT_CLK_OUT : boolean := FALSE; -- use external clock low speed clock out
USE_DIFF_HS_CLK_OUT : boolean := FALSE; -- differential mode, automatically instantiates the correct buffer
USE_DIFF_LS_CLK_OUT : boolean := FALSE -- differential mode, automatically instantiates the correct buffer
);
port (
CLOCK : in std_logic; --appclock
RESET : in std_logic; --active high reset
CLK_RDY : out std_logic; --CLK status (locked)
CLK_STATUS : out std_logic_vector(15 downto 0); -- extended status
-- 8 LSBs: transmit clk (if any)
-- 8 MSBs: receive clk (if any)
EN_LS_CLK_OUT : in std_logic;
EN_HS_CLK_OUT : in std_logic;
--reset for synchronizer between clk_div and App_clk
CLK_DIV_RESET : out std_logic;
-- to iserdes
CLK : out std_logic;
CLKb : out std_logic;
CLKDIV : out std_logic;
-- to sensor (external)
LS_OUT_CLK : out std_logic;
LS_OUT_CLKb : out std_logic; -- only used in differential mode
HS_OUT_CLK : out std_logic;
HS_OUT_CLKb : out std_logic;
-- from sensor (only used when USED_EXT_CLK = YES)
LS_IN_CLK : in std_logic;
LS_IN_CLKb : in std_logic;
HS_IN_CLK : in std_logic;
HS_IN_CLKb : in std_logic
);
end iserdes_clocks;
architecture rtl of iserdes_clocks is
-- functions
function calcoutplldivider(
DATAWIDTH : integer;
DATA_RATE : string;
CLKSPEED : integer
)
return integer is
variable output : integer := 1;
variable a : integer := 1;
begin
a := 1000 / CLKSPEED;
if (DATA_RATE = "SDR") then
output := a / DATAWIDTH;
else
output := a / (DATAWIDTH/2);
end if;
return output;
end function;
function calcoutpllmultiplier(
DATAWIDTH : integer;
DATA_RATE : string;
CLKSPEED : integer
)
return integer is
variable output : integer := 1;
begin
output := 1000 / CLKSPEED;
if (DATA_RATE = "SDR") then
output := output / DATAWIDTH;
else
output := output / (DATAWIDTH/2);
end if;
if (DATA_RATE = "SDR") then
output := output * DATAWIDTH;
else
output := output * (DATAWIDTH/2);
end if;
return output;
end function;
function calcclockmultiplier(
DATAWIDTH : integer;
DATA_RATE : string;
CLKSPEED : integer
)
return integer is
variable output : integer := 0;
begin
if (DATA_RATE = "SDR") then
output := DATAWIDTH;
else
output := DATAWIDTH/2;
end if;
return output;
end function;
function checkBUFRdividable( clockmultiplier : integer
)
return boolean is
variable output : boolean := FALSE;
begin
if ( clockmultiplier = 2 or
clockmultiplier = 3 or
clockmultiplier = 4 or
clockmultiplier = 5 or
clockmultiplier = 6 or
clockmultiplier = 7 or
clockmultiplier = 8 ) then
output := TRUE;
else
output := FALSE;
end if;
return output;
end function;
function calcperiod(
CLKSPEED : integer;
MULTIPLIER : integer
)
return real is
variable output : real := 0.0;
begin
output := 1000.0/real(CLKSPEED*MULTIPLIER);
return output;
end function;
function setlocktime( USECLKFX : boolean;
USEPLL : boolean;
SIMULATION : integer;
CLKSPEED : integer
)
return std_logic_vector is
variable output : std_logic_vector(23 downto 0) := X"000000";
begin
if (SIMULATION > 0) then
output := X"000080";
else
if (USEPLL = TRUE) then --PLL lock time is always 100us
output := std_logic_vector(to_unsigned((CLKSPEED*100),24));
elsif (USECLKFX = TRUE) then --DFS locktime is always 10ms
output := std_logic_vector(to_unsigned((CLKSPEED*10000),24));
else --locktime is worst case for 30MHz; 5000us resulting in 150000 clocks
output := std_logic_vector(to_unsigned(150000,24));
end if;
end if;
return output;
end function;
function calcinpllmultiplier(
CLKSPEED : integer
)
return integer is
variable output : integer := 1;
begin
-- PLL frequency needs to be within 400MHz and 1000MHz
if (CLKSPEED > 500) then
output := 1;
elsif (CLKSPEED > 250) then
output := 2;
elsif (CLKSPEED > 125) then
output := 4;
else
output := 8;
end if;
return output;
end function;
--constants
constant clockmultiplier : integer := calcclockmultiplier(DATAWIDTH, DATA_RATE, CLKSPEED);
constant BUFR_dividable : boolean := checkBUFRdividable(clockmultiplier);
constant inpllmultiplier : integer := calcinpllmultiplier(CLKSPEED*clockmultiplier);
constant outpllmultiplier: integer := calcoutpllmultiplier(DATAWIDTH ,DATA_RATE,CLKSPEED);
constant outplldivider : integer := calcoutplldivider(DATAWIDTH ,DATA_RATE,CLKSPEED);
constant zero : std_logic := '0';
constant one : std_logic := '1';
constant zeros : std_logic_vector(31 downto 0) := X"00000000";
constant ones : std_logic_vector(31 downto 0) := X"FFFFFFFF";
constant LockTimeMULT : std_logic_vector(23 downto 0) := setlocktime(TRUE, USE_OUTPLL, SIMULATION, CLKSPEED);
constant LockTimeDIV : std_logic_vector(23 downto 0) := setlocktime(FALSE, USE_INPLL, SIMULATION, CLKSPEED);
constant ResetTime : std_logic_vector(23 downto 0) := X"000100";
--signals
type lockedmonitorstatetp is (
Idle,
AssertReset1,
WaitLocked1,
CheckLocked1,
AssertReset2,
WaitLocked2,
CheckLocked2,
AssertReset3,
WaitLocked3,
CheckLocked3
);
signal lockedmonitorstate : lockedmonitorstatetp;
signal Cntr : std_logic_vector(23 downto 0);
signal dcm_mult_gen : std_logic := '0';
signal dcm_div_gen : std_logic := '0';
signal lsoutclk : std_logic;
signal lsoddroutclk : std_logic;
signal hsinclk : std_logic;
signal lsinclk : std_logic;
signal lsdcmmultclk : std_logic;
signal hsdcmmultclk : std_logic;
signal hsoddroutclk : std_logic;
--signal lsdcmdivclk : std_logic;
--signal hsdcmdivclk : std_logic;
signal clk_tmp : std_logic;
signal MULT_CLK0 : std_logic;
signal MULT_CLK180 : std_logic;
signal MULT_CLK270 : std_logic;
signal MULT_CLK2X : std_logic;
signal MULT_CLK2X180 : std_logic;
signal MULT_CLK90 : std_logic;
signal MULT_CLKDV : std_logic;
signal MULT_CLKFX : std_logic;
signal MULT_CLKFX180 : std_logic;
signal MULT_LOCKED : std_logic;
signal MULT_CLKFB : std_logic;
signal MULT_CLKIN : std_logic;
signal MULT_RST : std_logic;
signal MULT_DO : std_logic_vector(15 downto 0);
signal DIV_CLK0 : std_logic;
signal DIV_CLK180 : std_logic;
signal DIV_CLK270 : std_logic;
signal DIV_CLK2X : std_logic;
signal DIV_CLK2X180 : std_logic;
signal DIV_CLK90 : std_logic;
signal DIV_CLKDV : std_logic;
signal DIV_CLKFX : std_logic;
signal DIV_CLKFX180 : std_logic;
signal DIV_LOCKED : std_logic;
signal DIV_CLKFB : std_logic;
signal DIV_CLKIN : std_logic;
signal DIV_RST : std_logic;
signal DIV_DO : std_logic_vector(15 downto 0);
--only for PLL
signal DIV_PLLFBI : std_logic;
signal DIV_PLLFBO : std_logic;
signal LOCKED : std_logic;
signal dividable_s : boolean := BUFR_dividable;
--signal clk_div
signal CLK_LOW : std_logic;
-- lock signals AND'ed with DRP DO(1)
signal multiplier_lock : std_logic;
signal divider_lock : std_logic;
signal divider_lock_r : std_logic;
signal divider_lock_r2 : std_logic;
-- output of reset sequencer
signal multiplier_status : std_logic;
signal divider_status : std_logic;
attribute syn_preserve : boolean;
attribute equivalent_register_removal : string;
attribute shreg_extract : string;
attribute equivalent_register_removal of divider_lock_r : signal is "no";
attribute syn_preserve of divider_lock_r : signal is true;
attribute shreg_extract of divider_lock_r : signal is "no";
attribute equivalent_register_removal of divider_lock_r2 : signal is "no";
attribute syn_preserve of divider_lock_r2 : signal is true;
attribute shreg_extract of divider_lock_r2 : signal is "no";
begin
-- DO bit assignment (DCM only)
-- DO[0]: Phase shift overflow
-- DO[1]: Clkin stopped
-- DO[2]: Clkfx stopped
-- DO[3]: Clkfb stopped
CLK_STATUS(7) <= '0';
CLK_STATUS(6) <= multiplier_lock;
CLK_STATUS(5) <= MULT_LOCKED;
CLK_STATUS(4 downto 1) <= MULT_DO(3 downto 0);
CLK_STATUS(0) <= multiplier_status;
CLK_STATUS(15) <= '0';
CLK_STATUS(14) <= divider_lock;
CLK_STATUS(13) <= DIV_LOCKED;
CLK_STATUS(12 downto 9) <= DIV_DO(3 downto 0);
CLK_STATUS(8) <= divider_status;
-- in 'normal' cases only one clock entity will be needed per project
-- DCM is needed: 1. when a high speed clock out is required, then HS clock is generated internally,
-- 2. when no high speed clock in is available and it needs to be generated internally
-- 3. when a high speed clock in needs to be divided
-- or when a only a low speed clock in is available
-- in the latter case a clock reconstruction algorithm is required that is applied on the data, which is not supported yet
gen_oserdes_multiplier_DCM: if (USE_HS_EXT_CLK_OUT = TRUE or USE_HS_EXT_CLK_IN = FALSE) generate
gen_oserdes_multiplier_v5 : if (C_FAMILY = "virtex5" ) generate
gen_dcm: if (USE_OUTPLL = FALSE) generate
DCM_ADV_inst : DCM_ADV
generic map (
CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
CLKFX_DIVIDE => 1, -- Can be any integer from 1 to 32
CLKFX_MULTIPLY => clockmultiplier, -- Can be any integer from 2 to 32
CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature
CLKIN_PERIOD => calcperiod(CLKSPEED,1), -- Specify period of input clock in ns from 1.25 to 1000.00
CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift mode of NONE, FIXED,
-- VARIABLE_POSITIVE, VARIABLE_CENTER or DIRECT
CLK_FEEDBACK => "1X", -- Specify clock feedback of NONE or 1X
DCM_AUTOCALIBRATION => TRUE, -- DCM calibration circuitry TRUE/FALSE
DCM_PERFORMANCE_MODE => "MAX_SPEED", -- Can be MAX_SPEED or MAX_RANGE
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or
-- an integer from 0 to 15
DFS_FREQUENCY_MODE => "HIGH", -- HIGH or LOW frequency mode for frequency synthesis
DLL_FREQUENCY_MODE => "LOW", -- LOW, HIGH, or HIGH_SER frequency mode for DLL
DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE
FACTORY_JF => X"F0F0", -- FACTORY JF Values Suggested to be set to X"F0F0"
PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 1023
--SIM_DEVICE => "VIRTEX5", -- Set target device, "VIRTEX4" or "VIRTEX5"
SIM_DEVICE => C_FAMILY,
STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE
)
port map (
CLK0 => MULT_CLK0, -- 0 degree DCM CLK output
CLK180 => MULT_CLK180, -- 180 degree DCM CLK output
CLK270 => MULT_CLK270, -- 270 degree DCM CLK output
CLK2X => MULT_CLK2X, -- 2X DCM CLK output
CLK2X180 => MULT_CLK2X180, -- 2X, 180 degree DCM CLK out
CLK90 => MULT_CLK90, -- 90 degree DCM CLK output
CLKDV => MULT_CLKDV, -- Divided DCM CLK out (CLKDV_DIVIDE)
CLKFX => MULT_CLKFX, -- DCM CLK synthesis out (M/D)
CLKFX180 => MULT_CLKFX180, -- 180 degree CLK synthesis out
DO => MULT_DO, -- 16-bit data output for Dynamic Reconfiguration Port (DRP)
DRDY => open, -- Ready output signal from the DRP
LOCKED => MULT_LOCKED, -- DCM LOCK status output
PSDONE => open, -- Dynamic phase adjust done output
CLKFB => MULT_CLKFB, -- DCM clock feedback
CLKIN => MULT_CLKIN, -- Clock input (from IBUFG, BUFG or DCM)
DADDR => zeros(6 downto 0), -- 7-bit address for the DRP
DCLK => CLOCK, -- Clock for the DRP
DEN => zero, -- Enable input for the DRP
DI => zeros(15 downto 0), -- 16-bit data input for the DRP
DWE => zero, -- Active high allows for writing configuration memory
PSCLK => zero, -- Dynamic phase adjust clock input
PSEN => zero, -- Dynamic phase adjust enable input
PSINCDEC => zero, -- Dynamic phase adjust increment/decrement
RST => MULT_RST -- DCM asynchronous reset input
);
-- lock status generation
-- required because of funny condition where DCM lock does not deassert when input clock operates outside allowed range
multiplier_lock <= MULT_LOCKED and not MULT_DO(1);
end generate; -- gen_dcm: if (USE_OUTPLL = FALSE) generate
gen_pll: if (USE_OUTPLL = TRUE) generate
PLL_ADV_INST : PLL_ADV
generic map( BANDWIDTH => "OPTIMIZED",
CLKIN1_PERIOD => calcperiod(CLKSPEED,1),
CLKIN2_PERIOD => 10.000,
CLKOUT0_DIVIDE => outplldivider,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
COMPENSATION => "SYSTEM_SYNCHRONOUS",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => outpllmultiplier,
CLKFBOUT_PHASE => 0.0,
REF_JITTER => 0.005000
)
port map (
CLKFBIN => MULT_CLKFB,
CLKINSEL => one,
CLKIN1 => MULT_CLKIN,
CLKIN2 => zero,
DADDR(4 downto 0) => zeros(4 downto 0),
DCLK => CLOCK,
DEN => zero,
DI(15 downto 0) => zeros(15 downto 0),
DWE => zero,
REL => zero,
RST => MULT_RST,
CLKFBDCM => open,
CLKFBOUT => MULT_CLK0, -- naming not ideal, matches DCM naming
CLKOUTDCM0 => open,
CLKOUTDCM1 => open,
CLKOUTDCM2 => open,
CLKOUTDCM3 => open,
CLKOUTDCM4 => open,
CLKOUTDCM5 => open,
CLKOUT0 => MULT_CLKFX, -- naming not ideal, matches DCM naming
CLKOUT1 => open,
CLKOUT2 => open,
CLKOUT3 => open,
CLKOUT4 => open,
CLKOUT5 => open,
DO => MULT_DO,
DRDY => open,
LOCKED => MULT_LOCKED
);
--unused signals
MULT_CLK180 <= '0';
MULT_CLK270 <= '0';
MULT_CLK2X <= '0';
MULT_CLK2X180 <= '0';
MULT_CLK90 <= '0';
MULT_CLKDV <= '0';
MULT_CLKFX180 <= '0';
multiplier_lock <= MULT_LOCKED;
end generate; -- gen_pll: if (USE_OUTPLL = TRUE) generate
end generate; --gen_oserdes_multiplier_v5 : if (C_FAMILY = "virtex5" ) generate
gen_oserdes_multiplier_v6 : if (C_FAMILY = "virtex6" or C_FAMILY = "kintex7" or C_FAMILY = "zynq" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate
mmcm_adv_inst : MMCM_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
CLOCK_HOLD => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT_F => 10.000,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 1.000,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => calcperiod(CLKSPEED,1),
REF_JITTER1 => 0.005000)
port map
-- Output clocks
(CLKFBOUT => MULT_CLK0, -- naming not ideal, matches DCM naming
CLKFBOUTB => open,
CLKOUT0 => MULT_CLKFX, -- naming not ideal, matches DCM naming
CLKOUT0B => open,
CLKOUT1 => open,
CLKOUT1B => open,
CLKOUT2 => open,
CLKOUT2B => open,
CLKOUT3 => open,
CLKOUT3B => open,
CLKOUT4 => open,
CLKOUT5 => open,
CLKOUT6 => open,
-- Input clock control
CLKFBIN => MULT_CLKFB,
CLKIN1 => MULT_CLKIN,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => open,
DRDY => open,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => MULT_LOCKED,
CLKINSTOPPED => open,
CLKFBSTOPPED => open,
PWRDWN => '0',
RST => MULT_RST);
--unused signals
MULT_CLK180 <= '0';
MULT_CLK270 <= '0';
MULT_CLK2X <= '0';
MULT_CLK2X180 <= '0';
MULT_CLK90 <= '0';
MULT_CLKDV <= '0';
MULT_CLKFX180 <= '0';
multiplier_lock <= MULT_LOCKED;
end generate; --gen_oserdes_multiplier_v6 : if (C_FAMILY = "virtex6" or C_FAMILY = "kintex7" or C_FAMILY = "zynq" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate
-- necessary BUFG instansiations
mult_feedback_BUFG_inst : BUFG
port map (
O => MULT_CLKFB, -- Clock buffer output
I => MULT_CLK0 -- Clock buffer input
);
--LSoutput_BUFG_inst : BUFG
--port map (
--O => lsdcmmultclk, -- Clock buffer output
--I => MULT_CLK0 -- Clock buffer input
--);
--
--HSoutput_BUFG_inst : BUFG
--port map (
--O => hsdcmmultclk, -- Clock buffer output
--I => MULT_CLKFX -- Clock buffer input
--);
--
--lsoutclk <= lsdcmmultclk;
--MULT_CLKIN <= CLOCK;
--
--end generate;
LSoutput_BUFGMUX_inst : BUFGMUX_CTRL
port map (
O => lsdcmmultclk, -- Clock buffer output
I0 => MULT_CLK0, -- Clock buffer input 0
I1 => CLK_LOW,
S => EN_LS_CLK_OUT
);
HSoutput_BUFGMUX_inst : BUFGMUX_CTRL
port map (
O => hsdcmmultclk, -- Clock buffer output
I0 => MULT_CLKFX, -- Clock buffer input
I1 => CLK_LOW,
S => EN_HS_CLK_OUT
);
lsoutclk <= lsdcmmultclk;
MULT_CLKIN <= CLOCK;
CLK_LOW <= '0';
end generate; -- gen_oserdes_multiplier_DCM
gen_no_iserdes_multiplier_DCM: if (USE_HS_EXT_CLK_OUT = FALSE) generate
LSoutput_BUFGMUX_inst : BUFGMUX_CTRL
port map (
O => lsoutclk, -- Clock buffer output
I0 => CLOCK, -- Clock buffer input 0
I1 => CLK_LOW,
S => EN_LS_CLK_OUT
);
-- lsoutclk <= CLOCK;
CLK_LOW <= '0';
lsdcmmultclk <= '0';
hsdcmmultclk <= '0';
multiplier_lock <= '1';
MULT_LOCKED <= '1';
MULT_DO <= (others => '0');
end generate; -- gen_no_iserdes_multiplier_DCM
gen_iserdes_divider: if ((USE_HS_EXT_CLK_IN = TRUE and USE_HS_REGIONAL_CLK = FALSE) or ( BUFR_dividable = FALSE and USE_HS_EXT_CLK_IN = TRUE and USE_HS_REGIONAL_CLK = TRUE)) generate
gen_iserdes_divider_v5 : if (C_FAMILY = "virtex5" ) generate
gen_pll: if (USE_INPLL = TRUE) generate
PLL_ADV_INST : PLL_ADV
generic map( BANDWIDTH => "OPTIMIZED",
CLKIN1_PERIOD => calcperiod(CLKSPEED,clockmultiplier),
CLKIN2_PERIOD => 10.000,
CLKOUT0_DIVIDE => clockmultiplier*inpllmultiplier,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => inpllmultiplier,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.500,
COMPENSATION => "SOURCE_SYNCHRONOUS",
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT => inpllmultiplier, --this could be wrong for other implementations
CLKFBOUT_PHASE => 0.0,
REF_JITTER => 0.005000
)
port map (
CLKFBIN => DIV_PLLFBO,
CLKINSEL => one,
CLKIN1 => DIV_CLKIN,
CLKIN2 => zero,
DADDR(4 downto 0) => zeros(4 downto 0),
DCLK => CLOCK,
DEN => zero,
DI(15 downto 0) => zeros(15 downto 0),
DWE => zero,
REL => zero,
RST => DIV_RST,
CLKFBDCM => open,
CLKFBOUT => DIV_PLLFBI, -- naming not ideal, matches DCM naming
CLKOUTDCM0 => open,
CLKOUTDCM1 => open,
CLKOUTDCM2 => open,
CLKOUTDCM3 => open,
CLKOUTDCM4 => open,
CLKOUTDCM5 => open,
CLKOUT0 => DIV_CLKDV, -- naming not ideal, matches DCM naming
CLKOUT1 => DIV_CLK0,
CLKOUT2 => open,
CLKOUT3 => open,
CLKOUT4 => open,
CLKOUT5 => open,
DO => DIV_DO,
DRDY => open,
LOCKED => DIV_LOCKED
);
DIV_CLKIN <= hsinclk;
divider_lock <= DIV_LOCKED;
CLK_DIV_RESET<= not DIV_LOCKED;
div_PLLfeedback_BUFG_inst : BUFG
port map (
O => DIV_PLLFBO, -- Clock buffer output
I => DIV_PLLFBI -- Clock buffer input
);
end generate;
gen_dcm: if (USE_INPLL = FALSE) generate
DCM_ADV_inst : DCM_ADV
generic map (
CLKDV_DIVIDE => real(clockmultiplier), -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5,7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
CLKFX_DIVIDE => 1, -- Can be any integer from 1 to 32
CLKFX_MULTIPLY => 2, -- Can be any integer from 2 to 32
CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by two feature
CLKIN_PERIOD => calcperiod(CLKSPEED,clockmultiplier), -- Specify period of input clock in ns from 1.25 to 1000.00
CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift mode of NONE, FIXED,
-- VARIABLE_POSITIVE, VARIABLE_CENTER or DIRECT
CLK_FEEDBACK => "1X", -- Specify clock feedback of NONE or 1X
DCM_AUTOCALIBRATION => TRUE, -- DCM calibration circuitry TRUE/FALSE
DCM_PERFORMANCE_MODE => "MAX_SPEED", -- Can be MAX_SPEED or MAX_RANGE
DESKEW_ADJUST => "SOURCE_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or
-- an integer from 0 to 15
DFS_FREQUENCY_MODE => "HIGH", -- HIGH or LOW frequency mode for frequency synthesis
-- HIGH: 25MHz < CLKIN < 350MHz
-- : 140MHz < CLKFX < 350MHz
DLL_FREQUENCY_MODE => "HIGH", -- LOW, HIGH, or HIGH_SER frequency mode for DLL
--
DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE
FACTORY_JF => X"F0F0", -- FACTORY JF Values Suggested to be set to X"F0F0"
PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 1023
--SIM_DEVICE => "VIRTEX5", -- Set target device, "VIRTEX4" or "VIRTEX5"
SIM_DEVICE => C_FAMILY,
STARTUP_WAIT => FALSE -- Delay configuration DONE until DCM LOCK, TRUE/FALSE
)
port map (
CLK0 => DIV_CLK0, -- 0 degree DCM CLK output
CLK180 => DIV_CLK180, -- 180 degree DCM CLK output
CLK270 => DIV_CLK270, -- 270 degree DCM CLK output
CLK2X => DIV_CLK2X, -- 2X DCM CLK output
CLK2X180 => DIV_CLK2X180, -- 2X, 180 degree DCM CLK out
CLK90 => DIV_CLK90, -- 90 degree DCM CLK output
CLKDV => DIV_CLKDV, -- Divided DCM CLK out (CLKDV_DIVIDE)
CLKFX => DIV_CLKFX, -- DCM CLK synthesis out (M/D)
CLKFX180 => DIV_CLKFX180, -- 180 degree CLK synthesis out
DO => DIV_DO, -- 16-bit data output for Dynamic Reconfiguration Port (DRP)
DRDY => open, -- Ready output signal from the DRP
LOCKED => DIV_LOCKED, -- DCM LOCK status output
PSDONE => open, -- Dynamic phase adjust done output
CLKFB => DIV_CLKFB, -- DCM clock feedback
CLKIN => DIV_CLKIN, -- Clock input (from IBUFG, BUFG or DCM)
DADDR => zeros(6 downto 0), -- 7-bit address for the DRP
DCLK => CLOCK, -- Clock for the DRP
DEN => zero, -- Enable input for the DRP
DI => zeros(15 downto 0), -- 16-bit data input for the DRP
DWE => zero, -- Active high allows for writing configuration memory
PSCLK => zero, -- Dynamic phase adjust clock input
PSEN => zero, -- Dynamic phase adjust enable input
PSINCDEC => zero, -- Dynamic phase adjust increment/decrement
RST => DIV_RST -- DCM asynchronous reset input
);
DIV_CLKIN <= hsinclk;
divider_lock <= DIV_LOCKED and not DIV_DO(1);
CLK_DIV_RESET<= not DIV_LOCKED and DIV_DO(1);
end generate;
end generate; --gen_iserdes_divider_v5 : if (C_FAMILY = "virtex5" ) generate
gen_iserdes_divider_v6 : if (C_FAMILY = "virtex6" or C_FAMILY = "kintex7" or C_FAMILY = "zynq" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate
mmcm_adv_inst : MMCM_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
CLOCK_HOLD => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 5,
CLKFBOUT_MULT_F => 5.0*real(inpllmultiplier), --this could be wrong for other implementations
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => real(clockmultiplier)*real(inpllmultiplier),
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKOUT1_DIVIDE => inpllmultiplier,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKOUT1_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => calcperiod(CLKSPEED,clockmultiplier),
REF_JITTER1 => 0.005000,
CLKIN2_PERIOD => calcperiod(CLKSPEED,clockmultiplier),
REF_JITTER2 => 0.005000
)
port map
(
-- Output clocks
CLKFBOUT => DIV_PLLFBI, -- naming not ideal, matches DCM naming
CLKFBOUTB => open,
CLKOUT0 => DIV_CLKDV, -- naming not ideal, matches DCM naming
CLKOUT0B => open,
CLKOUT1 => DIV_CLK0,
CLKOUT1B => open,
CLKOUT2 => open,
CLKOUT2B => open,
CLKOUT3 => open,
CLKOUT3B => open,
CLKOUT4 => open,
CLKOUT5 => open,
CLKOUT6 => open,
-- Input clock control
CLKFBIN => DIV_PLLFBO,
CLKIN1 => DIV_CLKIN,
--CLKIN2 => '0',
CLKIN2 => DIV_CLKIN,
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => CLOCK,
DEN => '0',
DI => (others => '0'),
DO => DIV_DO,
DRDY => open,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => DIV_LOCKED,
CLKINSTOPPED => open,
CLKFBSTOPPED => open,
PWRDWN => '0',
RST => DIV_RST
);
DIV_CLKIN <= hsinclk;
divider_lock <= DIV_LOCKED;
CLK_DIV_RESET<= not DIV_LOCKED;
div_PLLfeedback_BUFG_inst : BUFG
port map (
O => DIV_PLLFBO, -- Clock buffer output
I => DIV_PLLFBI -- Clock buffer input
);
end generate; --gen_iserdes_divider_v6 : if (C_FAMILY = "virtex6" or C_FAMILY = "kintex7" or C_FAMILY = "zynq" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate
div_feedback_BUFG_inst : BUFG
port map (
O => DIV_CLKFB, -- Clock buffer output
I => DIV_CLK0 -- Clock buffer input
);
LS_Input_BUFG_inst : BUFG
port map (
O => lsinclk, -- Clock buffer output
I => DIV_CLKDV -- Clock buffer input
);
end generate; -- gen_iserdes_divider
-- connect DCM input to appclock when used as a multiplier
-- connect DCM input to incoming hsclk when used as a divider
gen_no_iserdes_divider_DCM: if (USE_HS_EXT_CLK_IN = FALSE or USE_LS_EXT_CLK_IN = TRUE or (BUFR_dividable = TRUE and USE_LS_REGIONAL_CLK=TRUE)or (USE_HS_REGIONAL_CLK = TRUE and BUFR_dividable = TRUE)) generate
DIV_LOCKED <= '1';
divider_lock <= '1';
DIV_DO <= (others => '0');
CLK_DIV_RESET<= RESET; --FIXME should be in reset until a clock is comming from the device find a way to detect this.
end generate; -- gen_no_iserdes_divider_DCM
-- clocks out
-- high speed clock outs
gen_hs_clk_out: if (USE_HS_EXT_CLK_OUT = TRUE) generate
DataSampleClk : ODDR
generic map(
DDR_CLK_EDGE => "OPPOSITE_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE"
INIT => '0', -- Initial value for Q port (1 or 0)
SRTYPE => "SYNC") -- Reset Type ("ASYNC" or "SYNC")
port map (
Q => hsoddroutclk , -- 1-bit DDR output
C => hsdcmmultclk , -- 1-bit clock input
CE => '1' ,
D1 => '1' ,
D2 => '0' ,
R => '0' , -- 1-bit reset input
S => '0' -- 1-bit set input
);
--high speed output can only be made on FPGA
gen_diff_hs_clk_out: if (USE_DIFF_HS_CLK_OUT = TRUE) generate
hs_clk_out_obufds : OBUFDS
generic map (
IOSTANDARD => "DEFAULT")
port map (
O => HS_OUT_CLK , -- Diff_p output (connect directly to top-level port)
OB => HS_OUT_CLKb , -- Diff_n output (connect directly to top-level port)
I => hsoddroutclk -- Buffer input
);
end generate;
gen_no_diff_hs_clk_out: if (USE_DIFF_HS_CLK_OUT = FALSE) generate
HS_OUT_CLK <= hsoddroutclk;
HS_OUT_CLKb <= '0';
end generate;
end generate;
gen_no_hs_clk_out: if (USE_HS_EXT_CLK_OUT = FALSE) generate
HS_OUT_CLK <= '0';
HS_OUT_CLKb <= '0';
end generate;
-- low speed clock outs
gen_ls_clk_out: if (USE_LS_EXT_CLK_OUT = TRUE) generate
DataSampleClk : ODDR
generic map(
DDR_CLK_EDGE => "OPPOSITE_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE"
INIT => '0', -- Initial value for Q port (1 or 0)
SRTYPE => "SYNC") -- Reset Type ("ASYNC" or "SYNC")
port map (
Q => lsoddroutclk , -- 1-bit DDR output
C => lsoutclk , -- 1-bit clock input
CE => '1' ,
D1 => '1' ,
D2 => '0' ,
R => '0' , -- 1-bit reset input
S => '0' -- 1-bit set input
);
gen_diff_ls_clk_out: if (USE_DIFF_LS_CLK_OUT = TRUE) generate
ls_clk_out_obufds : OBUFDS
generic map (
IOSTANDARD => "DEFAULT")
port map (
O => LS_OUT_CLK , -- Diff_p output (connect directly to top-level port)
OB => LS_OUT_CLKb , -- Diff_n output (connect directly to top-level port)
I => lsoddroutclk -- Buffer input
);
end generate;
gen_no_diff_ls_clk_out: if (USE_DIFF_LS_CLK_OUT = FALSE) generate
LS_OUT_CLK <= lsoddroutclk;
LS_OUT_CLKb <= '0';
end generate;
end generate;
gen_no_ls_clk_out: if (USE_LS_EXT_CLK_OUT = FALSE) generate
LS_OUT_CLK <= '0';
LS_OUT_CLKb <= '0';
end generate;
-- clocks in
-- high speed clock in
gen_hs_clk_in: if (USE_HS_EXT_CLK_IN = TRUE) generate
--assume always differential
gen_diff_hs_clk_in :if (USE_DIFF_HS_CLK_IN = TRUE) generate
IBUFDS_inst : IBUFDS
generic map (
CAPACITANCE => "DONT_CARE" , -- "LOW", "NORMAL", "DONT_CARE" (Virtex-4 only)
DIFF_TERM => DIFF_TERM , -- Differential Termination (Virtex-4/5, Spartan-3E/3A)
IBUF_DELAY_VALUE => "0" , -- Specify the amount of added input delay for buffer, "0"-"16" (Spartan-3E/3A only)
IFD_DELAY_VALUE => "AUTO" , -- Specify the amount of added delay for input register, "AUTO", "0"-"8" (Spartan-3E/3A only)
IOSTANDARD => "DEFAULT"
)
port map (
O => hsinclk , -- Clock buffer output
I => HS_IN_CLK , -- Diff_p clock buffer input (connect directly to top-level port)
IB => HS_IN_CLKb -- Diff_n clock buffer input (connect directly to top-level port)
);
end generate;
gen_single_hs_clk_in :if (USE_DIFF_HS_CLK_IN = FALSE) generate
hsinclk <= HS_IN_CLK;
end generate;
-- gen_direct_connection: if (USE_HS_EXT_CLK_IN = TRUE) generate
-- CLK <= clk_tmp;
-- CLKb <= not clk_tmp;
gen_regional_hs_clk_in: if (USE_HS_REGIONAL_CLK = TRUE) generate
-- uses BUFIO because the only clocked instances with this clock are in the IO column
-- is limited to one clockregion
BUFIO_regional_hs_clk_in : BUFIO
port map (
O => clk_tmp, -- Clock buffer output
I => hsinclk -- Clock buffer input
);
CLK <= clk_tmp;
CLKb <= clk_tmp;
end generate;
-- gen_global_hs_clk_in: if (USE_HS_REGIONAL_CLK = FALSE) generate
-- -- uses BUFG
-- BUFG_regional_hs_clk_in : BUFG
-- port map (
-- O => clk_tmp, -- Clock buffer output
-- I => hsinclk -- Clock buffer input
-- );
--
-- CLK <= clk_tmp;
-- CLKb <= not clk_tmp;
-- end generate;
--end generate;
gen_no_direct_connection: if (USE_LS_EXT_CLK_IN = FALSE and USE_HS_REGIONAL_CLK = FALSE) generate --divider dcm is generated
CLK <= DIV_CLKFB;
CLKb <= DIV_CLKFB; --or DIV_CLK180
end generate;
end generate;
gen_no_hs_clk_in: if (USE_HS_EXT_CLK_IN = FALSE) generate
-- use DCM for high speed clocking
CLK <= hsdcmmultclk;
CLKb <= not hsdcmmultclk;
hsinclk <= hsdcmmultclk;
end generate;
--low speed clock in
gen_ls_clk_in: if (USE_LS_EXT_CLK_IN = TRUE) generate
gen_diff_ls_clk_in: if (USE_DIFF_LS_CLK_IN = TRUE) generate
IBUFDS_inst : IBUFDS
generic map (
CAPACITANCE => "DONT_CARE" , -- "LOW", "NORMAL", "DONT_CARE" (Virtex-4 only)
DIFF_TERM => DIFF_TERM , -- Differential Termination (Virtex-4/5, Spartan-3E/3A)
IBUF_DELAY_VALUE => "0" , -- Specify the amount of added input delay for buffer, "0"-"16" (Spartan-3E/3A only)
IFD_DELAY_VALUE => "AUTO" , -- Specify the amount of added delay for input register, "AUTO", "0"-"8" (Spartan-3E/3A only)
IOSTANDARD => "DEFAULT"
)
port map (
O => lsinclk , -- Clock buffer output
I => LS_IN_CLK , -- Diff_p clock buffer input (connect directly to top-level port)
IB => LS_IN_CLKb -- Diff_n clock buffer input (connect directly to top-level port)
);
end generate;
gen_single_ls_clk_in :if (USE_DIFF_LS_CLK_IN = FALSE) generate
lsinclk <= LS_IN_CLK;
end generate;
gen_regional_ls_clk_in: if (USE_LS_REGIONAL_CLK = TRUE) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "BYPASS" , -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV, -- Clock buffer output
CE => one ,
CLR => zero ,
I => lsinclk -- Clock buffer input
);
end generate;
gen_noregional_ls_clk_in: if (USE_LS_REGIONAL_CLK = FALSE) generate
BUFG_regional_hs_clk_in : BUFG
port map (
O => CLKDIV, -- Clock buffer output
I => lsinclk -- Clock buffer input
);
end generate;
end generate;
gen_no_ls_clk_in: if (USE_LS_EXT_CLK_IN = FALSE) generate
gen_regional_hs_clk_in: if (USE_HS_REGIONAL_CLK = TRUE) generate
-- use BUFR if it can divide
-- multiplier can be 2 or bigger
gen_multiplier_2: if (clockmultiplier = 2) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "2", -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV , -- Clock buffer output
CE => one ,
CLR => zero ,
I => hsinclk -- Clock buffer input
);
end generate;
gen_multiplier_3: if (clockmultiplier = 3) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "3", -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV , -- Clock buffer output
CE => one ,
CLR => zero ,
I => hsinclk -- Clock buffer input
);
end generate;
gen_multiplier_4: if (clockmultiplier = 4) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "4", -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV , -- Clock buffer output
CE => one ,
CLR => zero ,
I => hsinclk -- Clock buffer input
);
end generate;
gen_multiplier_5: if (clockmultiplier = 5) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "5", -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV , -- Clock buffer output
CE => one ,
CLR => zero ,
I => hsinclk -- Clock buffer input
);
end generate;
gen_multiplier_6: if (clockmultiplier = 6) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "6", -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV , -- Clock buffer output
CE => one ,
CLR => zero ,
I => hsinclk -- Clock buffer input
);
end generate;
gen_multiplier_7: if (clockmultiplier = 7) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "7", -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV , -- Clock buffer output
CE => one ,
CLR => zero ,
I => hsinclk -- Clock buffer input
);
end generate;
gen_multiplier_8: if (clockmultiplier = 8) generate
BUFR_regional_hs_clk_in : BUFR
generic map (
BUFR_DIVIDE => "8", -- "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
--SIM_DEVICE => SIM_DEVICE
SIM_DEVICE => C_FAMILY
)
port map (
O => CLKDIV , -- Clock buffer output
CE => one ,
CLR => zero ,
I => hsinclk -- Clock buffer input
);
end generate;
-- use DCM to divide when BUFR can't
gen_other_multiplier: if ( BUFR_dividable = FALSE ) generate
CLKDIV <= lsinclk;
end generate;
end generate;
-- use DCM to divide when global clocking is used (or PMCD)
gen_no_regional_hs_clk_in: if (USE_HS_REGIONAL_CLK = FALSE) generate
CLKDIV <= lsinclk;
end generate;
end generate;
-- only divider lock needs to be registered, multiplier lock is generated on same clock domain
register_process : process (RESET, CLOCK)
begin
if (RESET = '1') then
divider_lock_r <= '0';
divider_lock_r2 <= '0';
elsif (CLOCK = '1' and CLOCK'event) then
divider_lock_r <= divider_lock;
divider_lock_r2 <= divider_lock_r;
end if;
end process;
locked_monitor_process : process (RESET, CLOCK)
begin
if (RESET = '1') then
MULT_RST <= '1';
DIV_RST <= '1';
LOCKED <= '0';
multiplier_status <= '0';
divider_status <= '0';
CLK_RDY <= '0';
Cntr <= (others => '1');
lockedmonitorstate <= Idle;
elsif (CLOCK = '1' and CLOCK'event) then
LOCKED <= multiplier_status and divider_status;
CLK_RDY <= LOCKED;
case lockedmonitorstate is
when Idle =>
Cntr <= ResetTime; --reset should be asserted minimum one CLKDIV cycle
if (multiplier_lock = '0') then
multiplier_status <= '0';
divider_status <= '0';
MULT_RST <= '1';
DIV_RST <= '1';
lockedmonitorstate <= AssertReset1;
elsif (divider_lock_r2 = '0') then
divider_status <= '0';
MULT_RST <= '0';
DIV_RST <= '1';
lockedmonitorstate <= AssertReset2;
else
multiplier_status <= '1';
divider_status <= '1';
MULT_RST <= '0';
DIV_RST <= '0';
end if;
when AssertReset1 =>
If (Cntr(Cntr'high) = '1') then
MULT_RST <= '0';
DIV_RST <= '1';
Cntr <= LockTimeMULT; --Cntr should be as long as lock time
lockedmonitorstate <= WaitLocked1;
else
Cntr <= Cntr - '1';
end if;
when WaitLocked1 =>
if (Cntr(Cntr'high) = '1') then
MULT_RST <= '0';
DIV_RST <= '1';
lockedmonitorstate <= CheckLocked1;
else
Cntr <= Cntr - '1';
end if;
when CheckLocked1 =>
if (multiplier_lock = '1') then
multiplier_status <= '1';
MULT_RST <= '0';
DIV_RST <= '1';
Cntr <= ResetTime; --reset should be asserted minimum one CLKDIV cycle
lockedmonitorstate <= AssertReset2;
else
MULT_RST <= '1';
DIV_RST <= '1';
Cntr <= ResetTime;
lockedmonitorstate <= AssertReset1;
end if;
when AssertReset2 =>
If (Cntr(Cntr'high) = '1') then
MULT_RST <= '0';
DIV_RST <= '0';
Cntr <= LockTimeDIV; --Cntr should be as long as lock time
lockedmonitorstate <= WaitLocked2;
else
Cntr <= Cntr - '1';
end if;
when WaitLocked2 =>
if (Cntr(Cntr'high) = '1') then
MULT_RST <= '0';
DIV_RST <= '0';
lockedmonitorstate <= CheckLocked2;
else
Cntr <= Cntr - '1';
end if;
when CheckLocked2 =>
if (divider_lock_r2 = '1') then
--divider_status <= '1';
--lockedmonitorstate <= Idle;
DIV_RST <= '1';
Cntr <= ResetTime; --reset should be asserted minimum one CLKDIV cycle
lockedmonitorstate <= AssertReset3;
else
--check whether multiplier DCM did not get out of lock for some reason
if (multiplier_lock = '0') then
multiplier_status <= '0';
MULT_RST <= '1';
DIV_RST <= '1';
Cntr <= ResetTime;
lockedmonitorstate <= AssertReset1;
else
-- only reset divider DCM again in this state. Otherwise highspeedclock will not be available when no sensor is inserted (debug)
MULT_RST <= '0';
DIV_RST <= '1';
Cntr <= ResetTime;
lockedmonitorstate <= AssertReset2;
end if;
end if;
-- code needs to lock twice to avoid power up problems.
when AssertReset3 =>
If (Cntr(Cntr'high) = '1') then
MULT_RST <= '0';
DIV_RST <= '0';
Cntr <= LockTimeDIV; --Cntr should be as long as lock time
lockedmonitorstate <= WaitLocked3;
else
Cntr <= Cntr - '1';
end if;
when WaitLocked3 =>
if (Cntr(Cntr'high) = '1') then
MULT_RST <= '0';
DIV_RST <= '0';
lockedmonitorstate <= CheckLocked3;
else
Cntr <= Cntr - '1';
end if;
when CheckLocked3 =>
if (divider_lock_r2 = '1') then
divider_status <= '1';
lockedmonitorstate <= Idle;
else
--check whether multiplier DCM did not get out of lock for some reason
if (multiplier_lock = '0') then
multiplier_status <= '0';
MULT_RST <= '1';
DIV_RST <= '1';
Cntr <= ResetTime;
lockedmonitorstate <= AssertReset1;
else
-- only reset divider DCM again in this state. Otherwise highspeedclock will not be available when no sensor is inserted (debug)
MULT_RST <= '0';
DIV_RST <= '1';
Cntr <= ResetTime;
lockedmonitorstate <= AssertReset2;
end if;
end if;
when others =>
lockedmonitorstate <= Idle;
end case;
end if;
end process;
end rtl; |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_03_ch_03_09.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_03_09 is
end entity ch_03_09;
----------------------------------------------------------------
architecture test of ch_03_09 is
begin
process_3_2_d : process is
-- code from book:
variable N : integer := 1;
--
constant C : integer := 1;
-- end of code from book
constant expression : integer := 7;
begin
-- code from book:
-- error: Case choice must be a locally static expression
-- case expression is -- example of an illegal case statement
-- when N | N+1 => -- . . .
-- when N+2 to N+5 => -- . . .
-- when others => -- . . .
-- end case;
--
case expression is
when C | C+1 => -- . . .
when C+2 to C+5 => -- . . .
when others => -- . . .
end case;
-- end of code from book
wait;
end process process_3_2_d;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_03_ch_03_09.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_03_09 is
end entity ch_03_09;
----------------------------------------------------------------
architecture test of ch_03_09 is
begin
process_3_2_d : process is
-- code from book:
variable N : integer := 1;
--
constant C : integer := 1;
-- end of code from book
constant expression : integer := 7;
begin
-- code from book:
-- error: Case choice must be a locally static expression
-- case expression is -- example of an illegal case statement
-- when N | N+1 => -- . . .
-- when N+2 to N+5 => -- . . .
-- when others => -- . . .
-- end case;
--
case expression is
when C | C+1 => -- . . .
when C+2 to C+5 => -- . . .
when others => -- . . .
end case;
-- end of code from book
wait;
end process process_3_2_d;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_03_ch_03_09.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_03_09 is
end entity ch_03_09;
----------------------------------------------------------------
architecture test of ch_03_09 is
begin
process_3_2_d : process is
-- code from book:
variable N : integer := 1;
--
constant C : integer := 1;
-- end of code from book
constant expression : integer := 7;
begin
-- code from book:
-- error: Case choice must be a locally static expression
-- case expression is -- example of an illegal case statement
-- when N | N+1 => -- . . .
-- when N+2 to N+5 => -- . . .
-- when others => -- . . .
-- end case;
--
case expression is
when C | C+1 => -- . . .
when C+2 to C+5 => -- . . .
when others => -- . . .
end case;
-- end of code from book
wait;
end process process_3_2_d;
end architecture test;
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : component INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : component INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
|
architecture ARCH of ENTITY1 is
begin
U_INST1 : INST1
generic map (
G_GEN_1 => 3,
G_GEN_2 => 4,
G_GEN_3 => 5
)
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
-- Violations below
U_INST1 : component INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
U_INST1 : component INST1
port map (
PORT_1 => w_port_1,
PORT_2 => w_port_2,
PORT_3 => w_port_3
);
end architecture ARCH;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3195.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
library std;
use std.TEXTIO.all;
ENTITY c14s03b00x00p42n01i03195ent IS
END c14s03b00x00p42n01i03195ent;
ARCHITECTURE c14s03b00x00p42n01i03195arch OF c14s03b00x00p42n01i03195ent IS
BEGIN
TESTING: PROCESS
file F : TEXT open read_mode is "iofile.09";
variable L : LINE;
variable vbitvector : bit_vector(0 to 7);
variable fail : integer := 0;
BEGIN
for I in 1 to 100 loop
READLINE (F, L);
READ (L, vbitvector);
if (vbitvector /= "11000011") then
fail := 1;
end if;
end loop;
assert NOT(fail = 0)
report "***PASSED TEST: c14s03b00x00p42n01i03195"
severity NOTE;
assert (fail = 0)
report "***FAILED TEST: c14s03b00x00p42n01i03195 - procedure READLINE for bit_vector TEXT file test failed, plese check s010110.vhd file also."
severity ERROR;
wait;
END PROCESS TESTING;
END c14s03b00x00p42n01i03195arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3195.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
library std;
use std.TEXTIO.all;
ENTITY c14s03b00x00p42n01i03195ent IS
END c14s03b00x00p42n01i03195ent;
ARCHITECTURE c14s03b00x00p42n01i03195arch OF c14s03b00x00p42n01i03195ent IS
BEGIN
TESTING: PROCESS
file F : TEXT open read_mode is "iofile.09";
variable L : LINE;
variable vbitvector : bit_vector(0 to 7);
variable fail : integer := 0;
BEGIN
for I in 1 to 100 loop
READLINE (F, L);
READ (L, vbitvector);
if (vbitvector /= "11000011") then
fail := 1;
end if;
end loop;
assert NOT(fail = 0)
report "***PASSED TEST: c14s03b00x00p42n01i03195"
severity NOTE;
assert (fail = 0)
report "***FAILED TEST: c14s03b00x00p42n01i03195 - procedure READLINE for bit_vector TEXT file test failed, plese check s010110.vhd file also."
severity ERROR;
wait;
END PROCESS TESTING;
END c14s03b00x00p42n01i03195arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3195.vhd,v 1.3 2001-10-29 02:12:45 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
library std;
use std.TEXTIO.all;
ENTITY c14s03b00x00p42n01i03195ent IS
END c14s03b00x00p42n01i03195ent;
ARCHITECTURE c14s03b00x00p42n01i03195arch OF c14s03b00x00p42n01i03195ent IS
BEGIN
TESTING: PROCESS
file F : TEXT open read_mode is "iofile.09";
variable L : LINE;
variable vbitvector : bit_vector(0 to 7);
variable fail : integer := 0;
BEGIN
for I in 1 to 100 loop
READLINE (F, L);
READ (L, vbitvector);
if (vbitvector /= "11000011") then
fail := 1;
end if;
end loop;
assert NOT(fail = 0)
report "***PASSED TEST: c14s03b00x00p42n01i03195"
severity NOTE;
assert (fail = 0)
report "***FAILED TEST: c14s03b00x00p42n01i03195 - procedure READLINE for bit_vector TEXT file test failed, plese check s010110.vhd file also."
severity ERROR;
wait;
END PROCESS TESTING;
END c14s03b00x00p42n01i03195arch;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uS9Fi4wEl+hlOoAxATWz7JOEkR0NrTOAPXB71RDz/0sJ9oBkdyJcZqzmiJBSpJVLGXrHypKErbng
NIq2yEIKicsHE2U2q0TwmOX5SeBUf5ATfJiLQmZtyrgyJ/TKwJ5Nrg3HL+15E0oFzqZEKRQD0RV0
gUht+SMMiNU2xM6RPT7pKCsVb5W4nxZuUNAOyuABEDGRH8YW/kscyF5trBuA48XfiXtVpzBwqK6v
PeJ+bU10he4Sno6k9Dn4FGHEKjKtWs1EQPCyJM25dDSrh8kM7MRJepMfF7YseaGlTZntu/uKxJDR
ZL3LeAxQZMrU6BodVmaZalC+X5WBYD/UwSiWkQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19360)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uS9Fi4wEl+hlOoAxATWz7JOEkR0NrTOAPXB71RDz/0sJ9oBkdyJcZqzmiJBSpJVLGXrHypKErbng
NIq2yEIKicsHE2U2q0TwmOX5SeBUf5ATfJiLQmZtyrgyJ/TKwJ5Nrg3HL+15E0oFzqZEKRQD0RV0
gUht+SMMiNU2xM6RPT7pKCsVb5W4nxZuUNAOyuABEDGRH8YW/kscyF5trBuA48XfiXtVpzBwqK6v
PeJ+bU10he4Sno6k9Dn4FGHEKjKtWs1EQPCyJM25dDSrh8kM7MRJepMfF7YseaGlTZntu/uKxJDR
ZL3LeAxQZMrU6BodVmaZalC+X5WBYD/UwSiWkQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19360)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uS9Fi4wEl+hlOoAxATWz7JOEkR0NrTOAPXB71RDz/0sJ9oBkdyJcZqzmiJBSpJVLGXrHypKErbng
NIq2yEIKicsHE2U2q0TwmOX5SeBUf5ATfJiLQmZtyrgyJ/TKwJ5Nrg3HL+15E0oFzqZEKRQD0RV0
gUht+SMMiNU2xM6RPT7pKCsVb5W4nxZuUNAOyuABEDGRH8YW/kscyF5trBuA48XfiXtVpzBwqK6v
PeJ+bU10he4Sno6k9Dn4FGHEKjKtWs1EQPCyJM25dDSrh8kM7MRJepMfF7YseaGlTZntu/uKxJDR
ZL3LeAxQZMrU6BodVmaZalC+X5WBYD/UwSiWkQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19360)
`protect data_block
JctJrCQKbBQUufCK6XJX4eip1KZ+VeEW0nMlgZLGHJTHke2W3Niai7w7l3VHE6WICMh4hbNoPbW/
DYubtJOhPPDyu1WYcjojm3WR/o1DydHyJLuQ/G4vIhJAlOiy9GKMAdbWLZ7dQPpRz/w7bNBa8+BQ
96DrTUuWkmnwifp5K+FXEjVs1vrXjk8j5HeUYUEYQWHlrsnEgBzkTY5UTeCa1PTiVe/olLwSsfNc
7u8vs+JPueYOa99iToEoOZ/TcvEsjifK+9U1Pj6JLl5gn/GGvAsjRXmNKyAbXHD/1oeZ9UVTUIcM
L38Iv4x9jwi4lkWFhfcE2Qy25t0E51ivAIVarU36nurnr8Uxg9wjdaaxLD7jOqWcdzJtTF8d1xS8
ZC7CqyOWlf3tzg6HXbu707H8PI/kE0bVD9WzIJB/Yo5fChUyJDrz6mmTulel6V6ZsZYA94NiV1D2
1rvhE//QHaccJUWioknWKELqaWDfA3TZqHvTG6b+if2l6GtM+KUDLKs/smqKDDal6mNTlswUzzYB
XRd/bl/255AatsEyVEYoSkaVnlom2nS9Zbv8/CMFkVa/0o70+pNga6eVTDPXDZGziR/xUAWZx2fg
vxrpICMPHvhjSzF3hoGPY+RLXcaX02mP79EDJq83Nfp5LeMf+2MddyhY5M7XZsxQsfDzqf/9rsdP
jBewQ6bq2ayvEqcwdBbCPAG3IaaDHlcDAsadrWEtpbNHFcKI5Kfi+Mq6B1BPzGoxUV8EpL24kE2U
dGvXRQLcYSCiJGfCAb+UUFwPQRMdTodKFRGHkn7ZtYEw0jD952RBVphNOBWMaPSLjoQdtvENf+wI
5w8ewYNiPxb5S2Arqrv1aIoreEV3ouz8LdGbXeqBUA57Ej6BG7gPvk++4RzmAcGE2MG8xeUKl0qy
MDok8VbJ2HpTB4SBCI6HrHEo869KHPvuz7kPmz8mkBPcxuxmofl+lvmBlUnRgLHbnFUGOf/VBsPK
Gb0acMSiphDk/tE4SGwAJ5Nv3PuEX+BTSD/fJRQX08CVeeXuYjDhsQfGwm+N2YzSXqswC75oJvQ4
A7I7vzLmqvzjBYQOm9jtF9Q1c74Yti4Y1bddEmwg8TQxBfbmDUjwLmDz74EmKWuUE7Qz79msTH47
izcXIyntWavLwEO26yblCQuwnB8guX19puPx3Ob010uqnVibF7M0Ew6MJntUdN/8D7yNshbC0G5p
vSAEkD9DJUPCWRAoRS0Jae0VEtMB1L9zmlRUouPJ82wOHBLuPEWO9GDVV8GO7jxG7yaOcK8cnVB/
bAWMlC0r9ADXvmuVMksqjKp8PX6QHO0tOIeVh5OF5UhQcyrZbwyfGXz4Xi+tAEcxNV5gQ/ZbfDqK
GXuxa8UzaFN1s7wyJDraZhz8nDoWhgv+0ueyuiMWOc1F6mvJpLislmyKLv9MpDgtg7if8fgmZ3A/
4U9C+ozf+pdMlFFHUTTANxrFrNQXilr5rXSQxiT1hr5SIAM4BxdPR1y8ZjsgT2613xtpPXi8FrHa
Vgwt+o0obBlRBZ+/4D+LXlM1bPaUiDOGeYeibgvMUgBjAHH1nyS4IXC/h+DuZXS2VVt+RK8ztD7A
WVwNV6+YmGIu4Rej1DO4/wAMJD5p3w1YevnNj+4boibh3TdfiTkH9BBILr+yWXV0o5MhV9cxqjRh
XJnmEZisTJZjZ4DSV5y5rB9YZsEY0R2fx0lKjAvTZinYjrnZGCQuNxzgL0qLqQBdrvPigi7wRVdf
TibmXV/OF/jRzYO+kkcAU76j6GOD6YCP+nyuwZ1b5r85YmWBiGflJfd+mSIu9FcOO/sxHkcUtaRp
eBsPD6Pe1AtimO+4VWeOv41shrwUZzdyxsKL9UmeGthXyflpP+kxdqhRwEGwzrbc4Ce3lmRpH84i
Mz3YhwMCRxr63ihY4hcxw0OVhfShPzslUy1vH4SClXrrUC0/WMbw3ETvIWTDxcy2E3FKxU0LOsja
/2FexjjY0EiHo5z8PzMirRKSeiBRSTD/qt9UUq88KrnmIHg4gwDowyG1esSRuSPMPCE2nz223coR
ayF2McCF5LjG/Jthj2chLBpRdotsDYDYXXozTSCcYFMTDhOIv0Rp/hQ7nyV2BKb9nJxcox19IPoU
y7u19SmH42Sij/Q9+xyW2wG2pnRvctHb6wqfe7ZWRKwjTt0SpEDEbbfzYWJ88475iKXiWDF7qpRz
BFs7xZsX94eJgnE44LYRkbRNHxJSje/s2ZJ6sqoOHCHqFL7Dw4WxQZB2YTuFQo5WxgdAmqPKwd2G
fHNw8V+akuS0KyGZAiuPEkjFtSLxVziOGj6SfGD3p7r2bwGt1sq2lnp9HR4i1dVTYvihR8tI2/BY
Riwslx8+dRjXYbLBols0AxGnlx5Hv5lucKY6ohKh7TLDXeE0Quw1QZ1hc4MOXb/q8fRrnzDp03WH
GKQ7paAniwWqE/vkGB7TXDyfL+RX3DnNzYNgmudvbJGEGvLkUegmYjwyaPAlNfBg3sHIhCqSuNbM
+GxtTJ4nPZUKCCuwpCg0so7jQoVhZaGgbVJNtEKHCzZrDDEQ+Wcm/u979UvRLHLeF6/v28hfF3Bx
QZkoITmmn83+32W/zsGhxmj3FDzK7/noiA9j62NWAS9nB3DNjocLPnIKltG13vj51dOIv8ZJyNp5
vswVXOyqw5SINkn9MDEFVvv0ZwDAF3h4zB9GGk5GJnk29qpHPwpfjdW/rRffTBMSmHgosZ6cm9Lv
3M4nvhH4xQ6iQqt7a3LmXs9BGHvQWQD9BEjCRvOwJD7rJO02mw391k14qGqvvnBslnrXqGQCHARh
SOlzp4Swt+jis5i1fhIBCpu+4LwU9ePxT+/g+hVbENmM33iLQ0/7yoVyWEgSZ4D2s6z3cXQ/wYUF
NH0koPd8fl5x4uNEudfRt0BaEtaIZZlqBK/t/7qYa/LXK2jdtVfw/uRR3icL1bhcEQU5Smb2V756
kQJ8Vo+Lg3TTOm4RL2Nxa1qaU6Fypq4zOUZs+LcEJhpMVxoZuVf5W1OPWUlIbq+AmN3acC91aUUB
mGq7G5Uma4vcidNv6Vt6B7RsVW94piWOazhXKQls8UYUM1fNaxG9zzOG8p+7XcqixzFJudheybeY
v+Qfzbxzb/nnCpFExDfA+l69V6K/uG95gbQGQAc5//Rqr/nKqYvlSRJPbBMoNfiwYuAeknNW3Rif
825b7MJYj7hIDQKx0Ag2kAfXCrIZTfGubZctIpiYeaLTYYasTgI/vHEPQCMTL4W2O06nbdM5uPmD
LlPQwNt+RFYjextlc2uGIMp/7uOzcuxdSGWZw2gibPyEVQxnK74NyWvmhWRRZZ0/5Ejf7WsWebaa
DPLUQD9LomWYt6qalhXgnCJtMRWfvQTPpBptxBhDrSRlP4rM9JNuyGTjF5UU9/XyCyfb6iDpwsbT
G9oRKULvTjd48IP9IlZ25iJJZulwSDIU2o5lOKNwMEEGJhcy5bFQKIHqBqAO01bqLZWOIZH7wJ83
iM/RVGB/zKNlmxG25f/n5QNrSu/mu8VaqNZwup23afiJzLMBd/XMj33gxlHPAApqfKxbHduZThHx
Rbzvn5bvJp7h19aA+ZeeZgO+rSOyTC8CgTHcmvzNMYS1hBZCuWDjqDpU9XfoPEG4Jq7Y71YAgTTg
CtSktTXRYtp6z+OpqeWu0ofER65OMhBSHtbNKV5ogknJnEZaB3ULfw1U28VZWZwyE1Xnugarm+Xd
3CkErFeWlnUlNHJgaaQsARFz9EQ9KPdRzY6Mqrf7DNwCHbSpxq+JbCW3PRPlj9VWqg9IipHcY1wW
IHPDMkyuMqfAbLIXGQ+l6Lm2w+oQlPYzR2wbyVCZcS3XepXXRTg0/tbFIvxfRaWaIoibJRUMFjqD
TFHcKi1LG46+ptIEQjQg+cZTXYXaKwElxSBjkL5x+uqNAYIzZvXxz7xiBI/5iRvM/KAjAQIr+/sa
QrQsnWTd/TxNYSVTjpXsG1vYstoKoJsT3KEfGfIHttysR6u2f1VJbGxfCILiww0AUn3RwF4d2dJ+
+vEeqXsaJVWuXIy1krSePi8e9eouh2fqCK91OCnGcc3mzxedDFVwDZLbBMcUuOIC4vOtSYVIDqfj
qVUgukEM6FTlZIR5bOyIxyGlVuFrWW90prWK7Lefe28eiFGv/cWb7hfulXHOyZA29T3/aa68bR5F
6hEHWzRHU5jH94J/BLZH+xEzztOvDGOOdfTI7564lADAlSWU8AqvudSnEyvhTVTVPtEaYTnBvf38
4hJpAZ8Su4H0XouVBrNQwCAGZ+1s4P0DNasTYqDUFXvnANG4CF8iKn5t/iXXI1aA4ckICe+WtSPw
4y2cJF0GfV54+sMGwQkuldAH5kvJagFmqXJZO41M3TMmWFHOldbrbMxgnd36k48/xEtGRtFC4/Fe
nyNJ6qh95w1qgS92+Hziktf0tDZAgF+InZtS11dsBiXPtjYjGH2yO8G+loVVtaJD26yODeLg9pxM
jMGrdZW9AMk0IacJiksdd1cW/E7NY5Lroh9LLDxitYzl5A1JRxOnZBO59sw+ACyF9Y1Qhw3QNJfA
rKjY9A85vpKm4+Fyzaj0cM0tjflM3wxNeNYrW201BjufXAInxq7B8mw872QybWTVr/1aGeGLQ1Cr
cKV4aa25i3FrgVEJFoQIEi3yS2qxMIRGSfcLmPYUj/0C47d2afaPYuMwrGMXg3+ac3H6Ffp6RUL5
EAl2lwbz5+e9Rqu83sCcu1Z94Km3QvypBZ5v0knGPSoCO71eFr0N7Auli5JY9geBO5ChwuwXafqG
kUEPC9vIqKmQfrBAuUI3cJ2VP5SbsUgdz9rQMCMKW8n+R9et9RIOjy3/jRtOQ+Dm9zJ8P9wpFU8h
s7UC6H2kF8ZGO1pBJ9uOW949Ih9VPr3bytAUlI/nzQnlLZd28X2i4XUkCaYH+PHXmDkhkVX7RykE
Yke8oGXRr9SRX6ak7zu0QcVafSBuCgWK/LkyeqY9LbY6RBn6IYnaukbBM78/egFixqqcd138KMpg
r7gERyRLTp7oQizTKqxwoyCTCw3TS2oomrKzxT8DbpWXT6Rx44PgHY6eRC3OQr3rg5mztl0rHGiz
/A4pHYWtGLJYOdYaeb1s824SK5k3mf20jplP6kOKDZqHi7mdMu2iPuLjevQbhojv+ud0Fu3NoiLv
YUhnx+eGS6T1GXfu7ANS4+Bgk37mGoDLu+XDats4FrwciZe0bba2pvxPfp/+38RY+mGQmgOkOtuF
pYSn1oFbPS2I8S6yiXYdALslluKMrWPivHoZKWDnz0hsks2A7xwJjl+C8d3fvLf3/nPYJQYcbS6h
eATLKPodT1XN7u4gagORFRBVt2Pz7NIm6TWOgszynCxT4VT0ZvYcPaosS7aI5k4XZpR6oZAkeEUt
Uu4PnjZN+MrKuPh940NcCTNa5Bb1YTsx/uISbCtILWqObBBuobCBq39RS7aALnvk+UPqEBKyr+w7
GaNzqmIAnlu4poR9fZrz/9ryMBZWkyW3o2MRI1hamOFObGs4emAq0OFCGW0ja6JyrZXTc/wD1g6J
CyGeTpyfQUOhm2aourMUREu+7dzNbwiaJRv92eZm7Xg/VWx68XhskKZvCUxErFhvMW0i6ZJ74tCV
pjprr4s4pD3nTkk7WZERFW411npL2OF+xqgF/+6AAA/Ews6n6YdEkcY6T7Wi97jpFRV+EaUCb/Ef
Ae6fk8aRSDBo6Hqd4X/A2w44qsBeRkFGMWn9ned1DLHMkTrCtGazbM0vFmIgNX4XhTR3BOQsAZbe
IN1W62OLbg9sslCpHNEDfUH0sNOJi44s3HgDDwuQVYjQ9Aqc2iPI+ZB0ZybB1B6qj1+f9+ua9ULM
9JOOFmuX9vuJO36lrj8DgFI0gou71PJ0d83mkJvHHztrXeF7M8jZwy4WgvNCYEcMU050Ymk117I5
TSrpaAadHTVPDJJgPrYXEA0O9C8trUYl1zh43LLExa1QlAa45Cnr2ydJ7IStDS1bBAI/7Wo/VrfJ
/XiZlf1RkuGNHeGhGfNtoH1KMhnsgHgj1McLni3REXI71TKmtWTMRNvaxHAQnrZs2Dj27Bb3OlDe
46y1cn5C+1q7fxqBaWM8wH2Va1XB6i+YVseWPOy3NjYrufSz1V+B0CJ9b84H1sRafA6xpW3TEt6N
yuTlFXCSnfTRzhD22Cw+iTrcBDxnGaGcF87ECpufM1gjEzLSZPQGkD9FLf618vUnv4ALsMMI5hkb
bDNFf729WoFJq4sJMSsPQ4df24JFPKS+UxdNIf3DmbAHWZVjOkO0IXu178QxQOUwKPIP67fOD6u1
dI6txc7TCvYmF3arYq5EYW8yfgVZvkYFDIcQaVxzds6Axer9cC8xBfzlBSmV9eLqtTDCUGY9stZS
4UJ+t9jbqUeSPY90voh2/7patOLrjDwG84G7dIUOnZyDbuvLhezkOZEifZ1gNn/f1bxMI8Xb1wEO
O9eBgwTSs+6YntUQLIICz7A1Nlp5xDcSKKx5+6z1c21jM3+zmpOb+67/44ofInDyiaVSqscrXtXo
7SagdjbZMngDP4Q6/3+irY9pSdgaL+8hxiQ0Zia8aIvoLbScFALSBY9l9MAP61LFFc3QXMq+G76u
avIhfB6x24ibTY8Kp2Nr/e9y5KyN/R/HsoEpaxHAQaa+oDIaMGm8Ab1aMNG8vox1x3CY2Cvy/M6n
D/m8jOCOBWp8j94iZAMddby9FMXuvEcL0Gr573xwxeoDXMM3+7s7N088pT5S6faitOV5PCaP3w/5
QwsWTCXJVy6JKIapg5WYxgGWGN9Qej6wu2xa92G2stI2cGSlTM+QnPj0QWttU8zsh7FmzLOUykc5
JV0JyZZTFzQv+Cyp/LsUd7mBown12B8NH933jhR2uJ2g3F15kZFHaN+KbwnuYF3kjcOrxProKzPB
T7qGLH5B9GkfUPF3MQN/N5LdLgLUmwKtgPamUd+E5uYcK+GuU/2u6VPv+RSe/UWbGT5gUxh7Kgmu
bCCvPZOaICy9ugvbbkm9nNmD3F+Vsv9aP9qbnCpwmBjjtxJnmkb9czBZ6oK/HM2leteYRKM2mcXw
3fPEEMgY2f7iA81hmEOYefgRXZBcqPfFI7Ao5WAfAJQlXg2KrbCoByP5qkZctPd3RGru68fW4TuD
/40rwBZC4YcNDhC6MrvQE885Z3/oPAmcrUOwtNh2h5fCJqRMdQ9IOCiUhyfmkKB5jH81t7M09RC5
TX5HGzCawsNXxhkv8imy8u6mYg+0TL/sWs/2JF2ECukfwBBWrKJ5/uxFPNR+WK4WUd5xlN/VKoP0
sYDI0z4wb1bgeziewDzyeAjPTY8e9/rhQJMfHKj2/n2KfxOmJ1UuItQ+EEYNiqEB9ukwk0pxRtRL
Z4nHUW4qwXpeEsDz7C19Hz7zxXZ3ruU/HPHopVjXwq9EomrohwPYfd7Nmd9kiImLWguBn1D3ln5Z
MFpbpqDYoLK8LzUKmFkk3hJ8YLW5t50mXSASMBRbZxansWylv34RaWO1V/SKRCjdDLQkAx/+f5aI
4qO+kpMY4Q7SnJTEWK+NupOWMGRe1YpemktDAxtqRRE65tkzDTSEdCNffLhDtbbxXJc7S6oIl3zu
poiMbs6eLzziCC+uxXusl2E63pUu9UJTcPxrT2MyhUjLAKeChCvfWyVgoGibticl+YnMpjaJaYCf
08TcSVpDg7QZKXlQL9wiVraX38JEzIskJSxrcBL2NMsspcuODZqbJ+pY0774gWUqc07IrP44MK7j
QkeXoo3bC4BWuZWIWR7yWGkpAlUL/EXoOIOvn/Hg6NuF27Lt4pNrO6LHiFsXdBQjdt4051UZm9yq
ptT1yPZelQoPUONP9TN6CkBk4UUPnE29+JJSZItw1xx1r6VtAiC5MOjaRJ5M8+X7DIizzq+Rkihh
kL17HH58enzOW9eiKw7RxYydzZ8TPGBlOXVBg8rJE51/5bvZ65cOr7jhXi0y6b4em2+o8JW5HdZh
WSwjIgoBImRnn7NDoE+CitheWMC1AW6VI7QquEnrEq9EpFNwkpwworGH3XM6NvGS3sWniyfdG0Pj
iNV3pFTNfBo5jH87atyYhSHrhpvL7WRN2k6mkgFHT2cua437O9efkCjwa6sizMsYozQkM8J3SkeD
4FAd75SHzhOf9juLbEn4jPBvaWtD/DVWD77BfXjvgUo8dMqoPkdCBPQm2adiWkuSku+MpJ4EGQDk
ogVwcbRu3ENNM9clkmErC8oh98OZJ1hxZZI/SzjQGhkZ+D7aYjus1tufvwX7F5JFqi1aMYFBXF8S
nM3VJtuQvsvz9jfNTUYUmHj3C2gsjoXwowFtcWPejJCteZ+a89mxMBclLSoKy1gOys80ZqraOAsj
vt0noBVyvkWXy5Qdu16Z9aaPcyD7bdvCtJ6Wb1GNpZw1KfdRaeQwRfTid0L0KS482MWKVLE0jI7V
OsVTsVo+B4cL5suOLMwRxMz/W7XO1PWeIpAbxidbVApwwEZyraIIDlyGpAYV8Pb1oFQSWbAhEJz3
/J6bk3K0z0EmgiXW7OXhqnk+DroBrwHaqJtUrxB3QA6jpP3E0+e1y4w3dp7iAIaxtmiRR3rzOa+5
CVDjrRWF4KGGahACtMPS748DiHMg7fnW3znmJYiKsuEQWwvnq4mB9R30AcJQnfZTFd0vPwpbMrWV
erQq6rXsrPT/tLvaf12Sy3ubxPyFTjDQc0Bi1eOho1vCHPWDs/UC5xoC+cwSLQ2ASc3B1ZCJaslk
OIHGaSMIj7Vido34oWtNITNYbHbbLo19KVK0YklUJRcJuIiT5KWM2olFT41Wisc9dNd3f4YFvUac
DUEqkR7Tn1z1uYndB6R0eU6QftWbD+H//JZgTAjLtj2OdjAiZCswck/Cl2viYE0hbZMBnJ8WMd0I
F7NGxcmTfoSozDIPD9t3iTjn5LZsXNrkD4tXAcoLXAFdgkPLrtehwhCVXAjZaKgogPUQE6Qf+Mih
D7cruH/ry/dfb/C3oBt7ag7O49KFTOyzHFguJZYGyXlCD4DS42OegRGG+rgOD9YNQ/Lpsi2Auk2V
PL5ZS/pkm/uMvp4XZZLPYPhODvTDPi+jbXJGNXLFgXihG8h+xdeR9Xg4XpzbvyuSXDZXXMr2riAk
fGsngMAwAYPx0Pe9f+dMmYhLYp15nNab3nI9qEWRn/+UGwYWmqhXFjO8WldScvl5W8Bd2At/AK+b
can+DvOiPMurtdaud66UhSuoekRqPlTfDXQCtVuxZp2rMoNiRN3QMh81eh8h1aEHN08DteNoy9Zm
g1mz3VtOhXMJGEdo1S4pM08Og45Xuv3wyt8c7DBky/4DKnCSc+ddXkPEoCfO96srCqG7P5QOvw7n
rYXmeI9Xtyqrr+AeaQoKfoKB+soapcjIvF2sk1tsfoU9ok03H+t+LbGOKvE8xVDsY/gBKKudve48
XmgsVzTNqXVfloVkGeg6GSNfzFri/ADQbyZ2rdyIxKf5ygrR7RolIkfS6eaNZUjXF7c3sB5PTVYj
MxmBPl1Esmt4axPUFIi9IH1EylO9s126PHFM+VW9RxIOPe+VnT2faib/wFaj6uaOdqKI74G+ABTN
sutq3byyJxoikSQ09ymFzgCS/roF6A0GRf0VOA8SWrao7OfBEvPrHHojgN35izGOVg08HTVm+Fwp
QE9umJdK/t4NDO1r2906PUtdqf0/IV2KcxXGdOPgwVI9iQkhX044+OPCgTbupaoGorlEI6iiuHDV
BN5j6ggSlslUe0qvpMw7Fc2pxOGNfLrHc0tX9+Qju8hwFN4k99yu+Iuy7U7DI7kE8uyrz6QQWIr7
kpeYT3YnkDMvD8qaozhXdpVtmI8FVo1KnVkZ37LTOgQU/65MYFwnjrPrDDR0xPANEx5n0zjyY6Uc
JotODrp2R2x0iR3+1iQ33cw8ICVqGsEVUanbhz3bFSl4uQRLOGnchY8hcKRgEgC93YPXtolYEdeg
lFugnSGGfwm9Yoys/h259nTXhAVfHejT1was3cW/l65E9xLi7wVMz/s+LCc1QYOTurh8G1hKPpfU
B+a9hABYZ6ZVSkAm31+kbPA5hk4ZKRJkzs81jxsALtSLO4XjpS/nSTG2j0kKxSUbykvrOCLCuWxE
vEZNysL6BngKTELJxMHCMsjix+ushklfiRqbunMyVu72shu8KVC/1z3s7OHVALvOCmeHi6odZeuW
i0dqdp33NdUhxKggopPzgsk/8gCmtEmNP0iWR6Mebh9alQTDhJjjrHPaQgk25eNEn4YsUkCNuuZ4
ghlP4ytVqXKUGjVI0QfeQOkSAoOz5yVpzvv0y7kPbYX6ZMzoMuvJ/coS9GR8uPWGHjfak38R3kPf
otwn7MQLvO6Uu/kEafLAwhalaGsYKJUgUBg/zOr57R5trJO9UwB4jb6Ogu5RQM1PDVObjndOQGFK
Ky7sKwc8qwKwj3QIX3xUulqXns8UN4KHAm4fyQhHteEnFwyy1DFrCjUvnYV2tqYk/qrnIN0Pleu2
mV9l3eLr+Dmn++4DFPUvu9uT36rwSs0MBn+paY6aLn+X7E4wHUPpRhh6ydah72Fbp7vJb4xRJq6p
yaVpZp9p2Ib+kJtzbxT4D2M6BoE9235THKAWdVxkkT74piANF1VbuDZXoxNH3lN6Wa3BvEtgr18D
FlJhalDQ7XK7OSsbBDYCBYwVDbWXEIyuYX/AgkvrlstXOYYROLinpkv57vO5vJSusA86QSHscgLt
ac+hl+AMaaY0YuIuQ6FV5CzaB8bivzWmvVWzaiKUKTlpS5nZVDAS8o+LTMrMGMJ4z0lSgnsmj/II
VXMqx+q40/S7JItdlNKaQhy3wb7u841oSH2jjRwN8GJCfApB05/hFzh1yWIUTR4qxg+LOr/aV4l3
6zGZrsaiVXLxbEANAobOT8ExT/sv5Bf6d7ATZJuqIHhTDqknnCmTte7/BwmkQkPtIKLZsg2IDhHF
XqXKT0d6rHCXER95BhrniMvLBjb2w6oLUyR6rIiIW2V3A9TBOUHFg03TAAOAecJXcKLR2OP8Rd2U
xxB0WLjh9N4aMMStrsZn4tKLcC9wJvk1IPU3C5E+ogHfAum1RHom0bQITv64R2lSPFKvbEL9aumu
4Bg5pCQ03xJu2UMOJ4l2yW6O9Gi2ac8WqyDPKBiyy/k+JI+cauEBWL6kmL8wZzo00HwIyK8SwYyY
I+IV6ubfpGSpY+SXwvodRPAAgvipB+Cu7Eeah1JlttAeYBV7Vg0bz+9bOgMEPS6gUkcR+D4/J/Dn
aUj+3IovAlUD0MInsCkN5rcrmc2SlKmXgE5kkNJrVgcnCHpZKKRgQYxYzAXzKxbH2yoL8lI7XaYD
+DHFINho66s7UV8oB46vnaOT4QxHFWeZQ/XKlJC1LVaCvghMJmSuEFLPuxbc1A0hue0sCKVtyRK8
6MgomYzKKIrvAYuo/dSTTLahHS6SZcQSwaI/XgPatDdQUIu/B3rw82SDoqiRa08ZleaEHD1Zsipc
/VN2/BOAjIDJtafOJKIH6Hg88LFZTm+7D4DXUkd0J92WrupotgJXqLvfmrra2fy6aO7Fa8f6IcaG
QLuO2z49t7aW8urBS4eECMigf+ZHMAvxM2QQ15cDYJj1VMMvVm8IlO2KQIXGWVkronr6foH9YM40
CQ5HqB1a5muFBbIi+ubMgQfcYNIkPaXEj1WXeN9C83+wViRFefnTKlrDhW9hVndQJJlTdNs1vwRH
wvK882DtcheWTpO4ZDIN2ED/mUcFDeG0fwDPyjAVpOAxy8YSlodVN7r4+2eZv1UmVFGFBjO+Sytg
/UA0OUbHDpx4Kx2KSo+hn4jrbNT1ylSJA3QFN5MHM29fF/6ffDeLscwobY7uuyF01KrWf3aj1CUn
OykJXRk18Ltn7iCENIAAnLPFkDagBJ1RDUos4ObvLreivgxr80S5e1U3/dO+ge0cj0X9IYGNpwkj
dPLNTjGr9Eas9V9vqu1o8z1RARwyxih5+Zj0hjY1pDdkneLgGDdbG0ljSv2P4EA4+QfLA9vlLSLY
H1fG3/Vj31ef37evPOpEDLTBIXog+Wh6Fzviaw82JQVSKBLLWIcQXdpXO/ANBtSUPeUhAzaZxOO+
mvbtB0EeywoyfzW33bSJO124fHz42MMcxHqcD0keax3K9QsxYrOhxHSJMQHCfZ32Je+LeGMqLr7J
cwu0ZpdQVOMa/YjvQHZ3AZ5eElfYCWAxZB6UIzguvI4vtpGomXBf+l16DifxViyfRsV3pCHghWcH
v9KW4qK5LhY18L1n3PvamXs5C2YAzm3R5l490HLXSV0rPvrzdsnTA4GvtzIQFCCXsris+vbz0fy9
PyuN7iu0pmfOOrpjc3DIySnAqKbDPKxsopqmBNGifFd999ZMkh1wkYNhs3m1IlK1g9Dfas5JNc/M
//ycQ1fGtrXL/Co0wkdhoFD6CXG0ZEqT0qNGv+7h8Nndw1niGsTp+5pExz/LmAEEM+iJl4oXHSr2
UWGBB+LKvURi39Im9+GfAEOaI4WLfyjF67Yeut/CajdFNRYPNGbD73rIu/nhhuSuMxE9yrC1aV96
6WflphKfOweWi9crwULURc1GFlixmxsoO16tOJHe5O+OcWa0u3WRKOKGotjBSddoCCweGWYMUFZE
s7k19+DIqDnYsiIxwih2Qd8Kqp+HdkhqFsOuCg8BWCR7qclzhXPs9ERhnzFIndM6ZV6f95fz6d3a
9ZTVQirbWb6C/sAcYWff5l6r5CPILWv2WUuvYajMLkMBgDguwV7CLPRbkysR1IlGq6mttDSEX/dx
ERO/MIHn+fTmjz4ltOnFEcY8D9BPIMxtdUkLnqhWfQiVGCf1cTeK6x3uxk/rAMD3e6lJu3iSTSXY
uzbor9U8Za1GxKASCqlfBpORUMSW6rMRY2N1f8ZnfnLx/ZV6C8IdFLC+L4JY7cAPRAJ/QpfhsmCU
xifKY8unYIdLh8yomNmJSwOqEaP2mBfi++2TmPB1tJa6mCwahpT2Fcup5sD0RhFuO/tk2pSi/X7Z
qDJJRZPHiYPdCTWOOkBXepatNQ+nEdV/dyw6nMMiwZ48qXVEhFD+YngUZpYGYNaXyiAVOeAm9ekA
MfVOd1k5YPgc4jB8nRj0qru1lweBA5pn5G65of3QcGjeH+KCs/OXwfeD6pD20IQFfNOXUSIJtDlD
XkKIkWZ7hnoUqKv1LwBO69wmmppUZMGW8gxrNQ5Fb2ewb7z6hSMhEXSurUtzbR87fG3Cz7y/MmNa
tZLjGUL6X/zBqCy7vnCEhJ+19EjsA2JG0DdzZ/0JbMp/FDXHJMmkLkYbcN4OsWDBHjikSW2Paxxs
uwOsYstEYCPkwItpQvd/0ZPWwWuXSyisD9ByhuBGsXXVXyasa036Nzh4CjEGlPVMTiQakXOEgJDb
BZzK6dCJuYHckuJhm2DF1/awK+wdCFxvQE4An/bQmDEgXMGRdJU8Q6JFR4AsEMvgLj3Wev4iff+m
M7kUqLJs09UtfIO0pxDQcCBKkosVj1zR0Bcw3mnwoBh+mJSMJVYZ6YmCpXG/AfBs3w/c05M/1EOt
9oSa65dLJoaLzJI1GoeM18JxCtu6C1QhXesAtJcpEx+OuJOPuzBJmXrc/PgwmEv6Kl+2jzb6Hgcz
O7Ylo5kQwuqApEaENd8u7ntds+5yJ4b7BmUN+r1GXR4jmKzkchpSC/bn62QzF/eh+jyL0zZXdSPF
pMtLsNvXWElgyLxdoCk4BCcd26Q1dMN4FK3rUF1KR5yutXq/Kuhxre3WaxLwiymHyJtYSMcI19MO
MkJ6soMbEg5Z2nooGvynKNGrNCgdEVcw7MGNnPNIBk9La3kAeqyswzDGqSLhWtw8KIJrtK5bm/Ee
QYWoLyex2F0ygq+uGfJUZbgqJQhOeMav1dBek6opFsAjNFLVeuRGzAO4SzXTku7/TjlnXELBG2uL
VSsqD4JCQzwG+MjlaHUd9rsxk9klOKNOgd7EFwIP/ihR0xQYQ5kt1CFxj7vtz95KXYzAlsMoLOdi
Nj63hkAfVIZm4GPDFjoGA2466qWE8njZPJCHK3OizR9VuCKy3u76K1prHGDaS9spHqNavYMu5PJQ
VmTPhA2eW/rha2WWTVXbu3KMw4fOtdAWtJrYeGmWMRK3mlbk1NgQF2CesmQBfp6Nx6c1xCNTUm/F
mQHyOHOiRymBimqbcbT5w4qS0xxs/rW7Tqw3tdMQ+aa2/C2sSUQfq+A/0dWnsqc2wuKG+y48fffr
L/h8vSu4EZ9K+whERFnfP+c5olo0vF7WQclZtSwOFynezK56xxQR7B6WoloZUX2SsQ3JnDDI3m7p
E1lw8zOqKnifIPwn0C/ME96AcwR/hQBP1cnfGRCZf/9v1JQOJmnB2QcQCdA9Ttt/rXwW/i4hFOwG
ZfMLx+7roQqaq0il7b8c+hsAObURZ2kns5FKfJpET45ux+KqH6U6duw3oSPgKHEnFXDbLMcUoJE3
KgL0bnwpvMg2Evq4TIiF3uD8Bmywq+bOr9aTSPRSePKcgFmEz67Clr1trHnxzpAmg1f9vmOxZdN0
c+FEXVeyfO+H694u+6/P03Ht5iG4uSL6mKJjfJxlFXzogWQSqKAradJIJU3+ydEmqvUrRfjJdktQ
kHgJU4Bxg/i7GQRzby6aCiPz/tqWij21W/Lm8IoOf+A1MKpcPZEWtvf22z6iz3WREL7q4v/3CQSu
2uKwyYlRhxrfLtGuucqk6aOS+LF5ZEzHNxbOWyE1ZpxYUEStDR6ZuokkmFay+kA3qgiTEXx6dqxo
CKXccIORW3tot/r+G2JofBGPhRDo2jWFg8eNjoXpmV8pXmerpv6v2OLQSJQb/3+IzpwgoZJQPo2G
IhybuYve6bb20ViWslip9OdpIuORKz+turPkWZZ64RmxCaCpXmawUVG/rbMrz2rWPQl4nboyoQ6H
V4FkxP2CrKQMNtc/QQRG76NeBPq9a9y2/esq/GZwizNdrjJQFuCxsTCu4P8bSOWauEQyBTqhPlmc
1SYqyGF+SyyAW7vxDx3Y07pOqMaGlWU55LWpVdgPon8cmD0MzlcISQVhLlFU8PaP0vWyZl+t+Ywv
GtMBytXliR05/nQv7sKNr5MEgRgMHDU/0yzE+2K7NYMex3IOE4qiBkCSuGm/OLGKnRJPXbbUKFFm
1JBBx+4QO/IT6jiSB5dxt9EGPlz517/5PvSPNCGwfdjykpmXPseEpslH0Qbby7wkkr5/aH9m/gOp
c+PWOOo//3TKW87iVa4eDpmLzRtDMiNkhOE2ZA8vtiUG/VUDVEZMWDCRPtEPGq9u2XnjpvKncGpE
dJgzwDbKZBrrHk0blFB7ps9WwM2vx9sBITpiRN1Lgqzi21jWoCaNwapqFVqAcsa/ytfsPWwrFhC8
B5sudu6yQQ9mUDQvi3/Z45sYZWfAi1Z1c8ARV6nDFpyS6Z6tReO81iVe1e/XebyLPO21GQtHgCbA
6OnZ+kvxH0sM5iSqb2OFqEUWKUIIMLQ/ygVFzq5Fun6sMO6aJAlkKggpHiu3FjtBYb0KFRfPhMw0
r+jJcdXXB4kEZ/QRjnvEVvaaUWGYBlBP+FtnjN08QG6o8tZwb8+kgztE6ADgSAmUYBuDdliYw6vA
N6ANZ9wp8q3AfVb8U9UgpY99/IcAOL0yxleCE2q1+10wYT2+vqsip3/Gn75pQxsLA/yqEfBxzD50
EjClHKYgNvb2CKO+wJlMLbuTOBVLvSGhJKNYevX4q/LnL/cYKIwXbX3SW097W+0ffR2maf+mbxpT
s9NC+fEkV2HaUvZb+4ALwQ+EoOmL7r+6+10jWU27J391XoxXHITaJJB6bIcctY+Yc3kBR1Uplu0X
MXvkR75yPI0ElE8cekq+sf6e9Jn3CQxcAEDVMPjiaMhER0SOLg9te3ZYDKhvrfhmXUUm4+3qR1qm
ZdsnDCMfu/xIL2WEZ3aanwM5UlseNzBB+sfhZPqlijqTRsLreB6jO4EFss8wCPAzdvASevKK7yug
SZ4qoF72GzE+gO24JGX+LKzqgTRWKHRMZ2wI8rK3amopt5+Ja9GnF2q/nVIM6VgtUXjb/Sr9ZhQx
hZZbWmffPd5CQfM7SNPi2co1FsGD9650noAq3qc4curnPBDEjKksF7Rf7nfzppm6mb4XivLXLvcC
h5RegGyX1r/OBHitkFIY5gidSuy4SfuA3rv1wSmEYex5LIi/uu1EJJsD3Q+D4LtrZxQzrpQWpvsc
YXaua//nFvF1AXVRf4UtXQcW30eOntNhdsjyqFOGzkIYXYsOlONauQGV8zA4CDWx07P4e5BibRnj
JbHEOOH9u0luzA0bn1gD6s+sKZ3REb9oeYPQrE2KdurNNqV/SfGPsTJsHz+lhbY8YG25P6hflEGH
dK2yxGHEr4d6KMr20Flf8IW2MDxPJ55y9SDr6pt3Q3yVrxzKfJwwx3W5Vu79SvzfRK2D4ohHeueS
C+YVnhKXk4vNBMd2BZ+WG9V5g5wglYlfjD9wR/Yut46GFG0z68LQM4jQjHxw2raa/ZALmua20xI6
z/JD18u58TOQfgFdh01F6W5AWUtS2OHyUF74hiid8xmC6TfivpUtowa8BEp6Oqn8ecyHrBCGTfk1
Uc8mW7RRNIXxsB5iSoZy25qpD3lXYKoNcgAQtnbwPQMa3X86Ptq96HvxQzr97SeBIJWRk9Qq8j1S
1wCBXsFKLp7OzVzNCuMmKecw4o9hlLS2DJ6nWoJjpEde18GpuU7MkXpGydjz+uAaqAK1ypZ1r0xt
skwkjqHdVTdgMQNz6qJftmYvQa0PHYwXzBwgdyr6Rt6oN57vFqp+LaqXDuqlrZ5Qb2aaAg+trbvJ
KyKi7VrzL1B48dpY9rP8yNRlYuy068tS5Vaw5f5Csuzl5siMczY0s8YkVEMhkFtqawJw/VQn5ZhD
kXQEkf75/5o6XLkLWydJSDk+GyTxqcL+OVPGKtpKA6mTxsrXXzQhJwiwe6zB1NKYVsw3cNHQVE/M
p+MrNowxmB+1jlnVZ2oHjteZDbSOdaP+qDwQmB4hQmOxNqquMH2LnaZ4iDf/bUc48KjPAQrEFrS0
sAcpBW8d1aCTykBO79ZWeu4wKlKQzOPI4aazvDlowjKpzaw7cHmUtlBFfx3nWxUhKkd0rJhe0EWP
74hxxOFz7D5HKi93PNZucaP3K23U3l7IoJYWzzj+Ffoc8beprkH1DfJUtif501kaf4NfraY9493p
s87D2/ahYLgu61hjebBv612bGSuU8AM+dYR8uXCOBUXEs5Zs5XugviI5wUMDHFxuq9PLAXKsMa23
JWuXKNOWh2uJYkN3Yr92QG/9M2pduR7n3bMlPgO7TU9J3cUffU7glnTxVzUXsW4SCK/m6ZrPUJlb
W55qN6m8wd7DQZQ4vaKb28DTJo+wL8zJoUFCKPSmLzAgaz/pJ8sILxnbe/eK/wBSapONKube2RSW
9NcOUDhAUuF4HhbOGdjKTvYdnOfklwUi+wDEl86knFgXqt/L33SJQqPLxF6ASd9DDX62AFU/XYYm
wCqM8l4LrXMD4S8ApYtr8YKQHpPuSkd3JZaY7tlQHHnRuLvfK8rsmRsP9O0FhE9uGyvwVNArgRNB
b+olJ5KrY/Xi/NVwH3DRjtaBs1sPMmmi9v2+o0wO79Io0fRyxlH2611riZzk6ukL0Dajj69Tdkr4
c7oLvyjTjgmGbvkoQ8h1cvnzazXifqusVrdg4xaLxvWpx9ThAN/W+pKPstpo6u052cE6Wh8ne+/f
VuyrEOxNphOcij1Ri4113pX8bVS8arkMqMK0JZfr5/buM4O/6i3TiCIbU88q+6/mgEsiI+W0cXD+
fffdnK9ogOt9YC+gWilqMHb3fwEzbvQV5e3bnsLzNrSr+svXa9EVFjTjS0Jn3ZaPUEtkYl64FKc4
2rqbdt6CI3U72hdF9UZofKKJp17E0zTKMZ4NY5y6VU6LUsdCKIuNZysHsyKYyCEs8W9lo3ejyoYB
wb+rFUusDveeaerZH/jBT1aSw9gZCdvAAb4vYbHp8fW519G4BrtCMP/GEaWBhrLjF4Kr9Yeo7qnT
6uUGrJivimJ+5NjXu/bRTzAYtHEFOeZub1sxEL80nPqRjIcGUnoMhJhY5bOsFXuNMNhZzfETwC13
Zrv9Qnd4pFQ5abJzEn9z8idKO6i0Jz6IfisEWcb/x4Au5tLiCoaQz+JU4bVGgBHvRrZj/d1NPpar
duAZCE0uDYsj+VM58YPIRJxShN+cvOx2/jFr49SXKaqOn+hEnICeInObuE+VHmfb5gXPjGFO9ASy
LWNXahwIVAyU1M9NhZCkzN6v/ujzLZXHjLor0J2u6Lb9o6wyRekswTeWMRMWqUc/Gnp4YYvjPLij
7BxH9N/6kOospbnqIbCTMP21ZI8ncpWpJ3Zkzn51eQJx9YtXQ5FqTHQW3b9VBVpKDEkiQKzcKsjz
CULxExo5qV1D7Rznqdi9qmts6TlzptFKqhRqhX1+B6Tmp+o3uRaXe4ItRQlGwOGIOR5zefMAgW/U
uKztvEfPiffKe2PqkyMMoIO3CwQJgv/+UJ2wb6iPmiVux8Ydkz76v7LIy4GhQz8uIgn2W7TTajrj
+fm8j38n87ptytoI4F2DN06rzjnc2V6HHGExc2d+5tIqNEhcPe8oV4IiJQ8kDUNh8ixbpZ0mEnI0
6TsdiQIYphOn9gQBc3T2C7W6XOq9NlBpAgMSsdBs+RXfskNeK8kWwJh7KOtFprEMz3/ocaaG5fsl
V8quhYCd3VtTQff/895LtO0qrwkjaznOUMOufaCXHOwBTO8bs+P0Rsn4vEXIsmUj4/NeOggQcbsr
b+xqTApx2xP4gyLXgkHx4Obo5I/znZxgeJr31Ue181JpQ2pgf3l1UhP1C+j6mZow16ltnrnS08Xt
bGzmCd8+d4Yj/zWwvWP7dkDHFMecL9l7m82iTZcF2fba0VQ+27Cd00NFeOEJ3/5rJCvMTZeDPgt4
CiwQUe2wGzFjd6RtvFDFZ79OXKvZG2kATwFLBJ9xsnCGQEIsyMR9F8BA9hpdxPV8lxk5NbCFCNSo
3WR+SS/1p+WpAubmph6OppGywNNcn63PIp6weXGEFVPCPn1/0H5jOEIMuPd+3LjU+wAD4Lu2nP2Y
bjaGfwwu8vlQS97YQ2VXdsztCKRqQLsTf3k2KkO3E5MZUjskyH6UghZ8cyNYSyclNDXhs4EVbSHy
XlwZQbyvmwdiKLpeCHz7y8dsfUi5mf27Og13fNVCvggXb2344rfFRoLMG6ujWzCFNpKJFb3q+N9w
8q+WODynBXkpcogSC3uih8+Te+MFctmWdCTv0lpguMHufzSR4CQi7+B+pskniAX38iblp3+ZirOa
WWqdNDaDUcRwJYKfKSMoIyk7EksYSjqHcwtnebDZbeBPTv31u1AzmZRgxbKjWsqiTg4hFlks2J2I
G9/ahFSTvMxpsDUcOcuCil5cpme3NkFocST1IGGsnuPJblaTrdeZ/R1em6/gnviQOzZf3ZTIfH/D
8VlNuNXLu9uAMo57SSi8kNuUjmEwHwtoj8bDGXxwGh75YwYnGX+WayP8HGjg8GnijT9KwH84qHAq
OrnRVK32e5orVvDqNdc1amryMnZjCYued0fpWgDoGthMgmylTsRSqCTgbf+4kt9GImCWOK1UFTKc
FcvONtNuwYLSJviFDdDXurINxMlVgJbNGjbymdYEA2HoQb98bRmrNeb+TW0iflSm2QshKJmZIIFB
cCd4zI4lm1zECZAaDHKDkkFoI6USNojkSNU4dpjKR0bUfOvq5Z6ahaBSfvfqTQo1OGwiizHs9rkW
GrAnv6VMa4t5HOUBOFG6bt1SMouUa5WNq+ExxgCdXSclQK+1DDQzWxO4yPomnrVy5Pu1Hkdq2nQr
GEKdN/rpRJykc06oXdseJsDHJJTdxr1LIr+8/v6U/cpyfJqwJzYr1PO+iLngIezPcwTC/wCruobU
VnUcOojkgp+LI/DKkpgRmS/XTqPFSxpKnnPwHX/dWt5AtlMQncQFuHIxcOWkmOqJeYnE9dFbQZF4
XrX4gWx0fk6lGr05m0JA6SyQDSWE4mzjnY667MU9VOrnfna/SYcx4Lv0KBMkWcqr41uvymrWu889
2CvtK5bhxSZFFRHaKn5sQ6TLhJ5z8ylx3rrQhHpzYy0iUowDkF+KOC74esoisvTbFi+ssXSoeslo
sILEAk7WcHMa9cUp51+DrtOwYPz4olsN3Jt4Cj06v3IfyxxR05E57Kxu0HZepS8bLVrsNKSkYlaC
uCNwFxdx1G2JEsnvuAX//CchLNIpYTmYmBTQj5oPTDJt2vBZ7kEGRxuUHpu5A+YxEu0wTzjl4mLm
XazNBo63gpTWPcSLW6TGWb7dpCvvXEDWzJ+SOSrfiAurNBdIlOk4GB/B3gTnxrqaWho6zQxJoN0X
UJFmnsndVv2zT0r7W+fCLDKmH/akFoK8wNmEV1V5lLis6NueeA8vkfWyN+X6kdFuVH2JZrvsd2fc
PlnTX4UY2hXdcj6qzfaSsOOPkRVkg1PhX/t4G3Ju7+IQN5DyNauSifgK/MbBnctp7RUG9UH/rMbv
Ng45Qjv2JZkViXli3exp88XxBeYkGqEpbP74WsKNbr1JUPVYdWRqwaPkCIpI45byG3OtT++P6A+A
R6dPfmdfNMaNhqV7h9qKYrb/HvgL+dohI09HxNeXGKhlpbH4plfY5lkuMWLZ145spoQeCHdbOy3D
ZaEFXbadLr7Aeo6995xRUlJzNvUiYgn2nBaVH6cyZOLu3yBpZqRBGXy5zp6W0GXXC4rm/anlihz4
A1c/czyLv74+OTz8fuaiCPfFMSvbmSJBdeUCQ+FXIP+lnDThN94Mg0jrR6cCDnSLDtYGUpN7Azia
XCs0ZaNDoXirs2wxGKJIXYM9iQ1hLnmj4fZEk6b+Mqar19Ai60K7OQpSaBgkUpP/fYdFGECXSwGN
WdEkDDNzPG88SQGyY8FHGXJZC6EfWH8fCmabEadu0Dvjsb0zATJCrIGMMhtsOfx3kKKZ08toIKcJ
MDn0PTSeIoLFXcoJqFwkIEm7qbXUYUDTFIkIgr1nveMetub3saEBUh7GQw0FNwGzHHvPXEZV1AKL
DZ8lPI63Nf8epoguxCy8rbVuph07jybtELgbrHvPrcvZHZXbLsODHKtmFULe3Euzsk5xhd7Nf5x3
to/xmC8h2N/Eh9tSUfMMGAOM8qPZDhQVGPToh9UVOMztshbaKXPBIfpAy46Iw2qfPfzXTA69oVXU
xxF6FjaGtDPjrerx9O5gu+wQCiaIPJeqYy77VvU5Bxi8uCax8LjEqnsZyUyDp6VeK8awE4ZHVPsr
LleAZymxZExex0r33chAyWb+uqpXQ/Kob4h5oTwtXv+t/GEhoqPimGTPF082s6p+sFeV/sngqCgA
V3H+/WgKbQga6YwVkfFJKHtpwNr/PXrFTR09qjTlSl3/dY4yR7btv5pmomYI5uJUWGFvgjJeMUWU
fbuQxK9J9gWJZDziS7UOjb9PzHiJsadjCxS0tet2jt5jZg+0o92kfmbtyY0D54FWnovTHYaa2cJc
YsVb0dGMSezXgyntJm1ahJULC0J+wqgEmKTCu4NVeM/95RGnvTs1hOlRYqYKpiBQEM8nMcP3eqWZ
ETdGGA24j2fA2KOtQDIj22ArQ7QdPEFCzyR/vYRkrrjUSxaH6Im2UOfFlZYKgn9jqHuqXpjMmeSk
3d18kHOiAkEYtbsD7GXs7jwnJmVrhfSZcHlB/eoUBcWZ6egH1Wg3Pcrp9bpLC0ZnMrvAbAvtm3Ma
knMyYmmut6sG2lrkpyDbJvcIhIV8yTGiKpMKUVfQqkKGseW2pUEG5n8S8tkzqLdSFhJUDCcZzBLA
nMijCRZHTVtqwoBUAFcHQCGBuDZc93uo0w8v6bO9+rRz6hMGHBytuq5xiccIXYkKJdHjpKlp+Nbw
4A0WEtoZWJuiaEfal+2I9ObV2K/SeKJNGzeylUlnjeM47YTfHozD12iGcaFh0oYM3wGlnf3B6CZK
Hp4IZE5OheGBR/AtMw4xMBcVAq9U03S/C4NeoQUZ54eK2E71yOgPZtYnDOGjFYtkAK7pGeqHVJwP
NxV/k2Efu0b3UoMMSHqRm4tt/nijCNITd6lDFSLX6DOEwmGAb8IrmTxhZqnCDMSXkLcSdhqYVWIV
rvD80AOTQvnzyWCdAWpU9UmqX0XprX62sgCfrQ1O/I1X+9djvx6QK96gv2xgNx4mQAjXhEdcKPR5
MC3d9Eo58VQMKyqL8XC+buTj0Nl7pYtPoPKoPg/xfs3K+N+0lXJf4t/w+zcy4UbHoSbVCtLaOURQ
RLEXwv7Y0cu99Soci7YhlPhN2HQzs1rnIeNo9ltc2d9xlF6HU7AIiV2zAGpJWlP8tkf0rMCTVtYL
46pHuyOu69kNvYKIQbLc5IGr9eyqfMAlAS284o/rIfSuR3gxA07Cvtu7Gdt2X+tFo9ulqajfk2wc
N0QvtBePlskJ3WW+XhBZYt4cNtChBcZP/5UfzgsW2/pRRV0UDOs3X1P7GfYLnwghrZr44w7Z8+eX
4vigyUz069a1g6iTuSiz+LtzDqlWqe0Ra/xIpQu5bjrOJjs6kG7Zie4YOI+NCHbo94Z2TwoTphC0
lbxmd/yNNoNpIqwkysHR7ZSz/oceVjBbDQaknDL0QD9Q9+1O7vCGpRxAkRq5l3P64C37JZ9pBdej
ck6XfTYkQ25/aj3AhitazQqvhs2pJRknapjuy87N+bFRMX9Q8y3IYGc2niv7oY+1j8hxxLvbq7ac
B8PgOf3XRE3z+7TerD83jOymBlZ1/umQuCKWKvZ7ltNjuADx11DvCngQUfxe2Axg1VhMIzdf3cd2
JeJoDY/iy6KflsX5JJpBUm2vVPGIRt5pcPjVWmrfMwyr0ylTqKlRyPuHOBvrAAa8XV2dAMnAqqft
Z+qZFK7EPu1HzJGVLbMRNJZr/w37MqHfGOSu+GegF0sE2W9Iw0Dpx25hBH5eeFB6RMXmYExEZs6q
6j1FzFZVu/eceWcclDaizuVhLVU7qrQqtaIxXCrD5dK+PZRBaipVjenQOVfhanvOFivlXnqJRyLF
foFIITnhlVEOv7sGswV3gq2ld/B5UwAZ9w3MiYcGmpWZ3qlfR4L9XhkGovVtXKXJ+PdfAjXFT09m
V7UHyMjggbov3ioP+aP414UBE0grB2IlYJLZjX3V/0jTG8OozWwg7TaQUqcygHjeMXo9n8s3lssv
zgc2xTbmgm3A5+awUAwYz3zeSeNT3FDqIWt9BAHsDDM1pearCzG2LH17sKck2QMzWyWeeoKDxnQp
7pNRiFPKeiSNQ9xL5jWHTxdS2YY2S5pjJ+O55vbYpHr04p2I71+wkQMCtFrlQRwjJMJldIts3Bm+
8qY6AjYO+HW+VwWXFXLYWXxbEGOnct+4vVDD6LavOb36+DhgGQwaOXCI9eHNqI35DZ9Ig3uadddP
SUxdfgx/V23CqGweAkK/J5dafx4+x0X3iBNyQpXRATArnIJN3Ase+gKW8lN2Udo7GaVk4SbXLpBT
Xu/o5iAgQ8hCe3WQluFPvMGCGnG4W4NKkusyElPWQpoF25hc4oY19a6OoQ/0HAXI1Rg0OJ0dYQuB
Co0y0Id4VBxrEfcLXrpwqvnPYyZOKFkLfmBXthCs2zYOMwek5EtmfvhHGDg/MVupxStwhJoSb6hX
b8Fn8u0kzrIYdmKlD7fpG3YXWrml1qK0R6fMyt7Ln04g1eT2CjLZ65CTBin7DWEHoZn7SIL0TvNL
ML3QgFYyIgNMRdeZdY7BowOHi4sPznOMdGsj9morOYMcPUqRYHUmcw9fUFfYgfwOZXq+fiBqaB/h
mfcS8jEFqOFQbImh3VrlloE7cpqJ1ky6e757BfmPUxOvsb971c3eVJwT8qGkdl7tFewXMRxMDw40
gHJ0V+uvU5gJGjZ62HqJw9cZli+IDiTStwF4WHxCTvi0HYVC5XY0xhQhTsT+qaavI+eRqHR4hte1
yyDtA93xlDaCGE8qWQxgHqvL1U8U/Tw6hvtRyx/Rr4JP1XnemC2X2ImMQsyEnupjVJp/+scVjtt2
To37cntzMxqxh+TPuzOht5Olt5BEWGwASJ0qe5wuHvy1GV57OgzGcUR43sJNUScNkRj0VTdmvSgR
BzmMh4XF4dc1R1fILN2v+lOVf+8yYVKPh4i5ik2hEYnAXBjSbMZvexp3+QDLHhVLBPcAgj0glbKD
GPxLmxFGz8x2wlIoueHdjQtMA0WEU7dVmsYmPmfias0xc+TDS3TFuqE1V+1xDY0HaDaYg2jFwQD0
pjPGVsXKOka3E+1sq/EbBFwNC5ffQCGVmzE7K7sO3p1eiVt8XSWOdWl3WYn8i0a374eFWU9N/QwX
fuY6pTBAks5EifdNiHUqXhQ8QfpMRFqJd0xYTH1TkMZWGDR1YXdHfTRr//fnFxAoOS5hRnlLXsdS
/cyOulD8GoAYYzxnwwNFA/Qv/GZ1FoMXAa5hNce4YvukUTJdULp31dfuH1NPvO8ShX1s8wHKAeFX
6/Djuc4j0PtWbErAxq3Pit0y+mwL4WqEtnEO25k3OS7/qKYuIjpY1gCOlVRo0ownVDNw1Ov+XmHr
pn59RK1vOd1Elnof3JRrX+ZAqvtfaNSZA9omtxTGfCThXpW9tsegZyIYC4JWOEhLP7t4lOdRzED7
q4a/Fflesk1s5Lar73HDZzxxX2JeXtb10r5c/2wJ54i42eXUCIqicGhzBBtu8SFM9zvUptL+51gG
yZ7EyMHaJLbJ/wTvB5bEcLVzAl04rsdKJjpbHVdx2zaRRhUruS+2HWkOprW3X906TlmJA4m6Nj6N
HLGIWI4LVz/n+t/Vz7TTjNA2Q5UdRAfA19InWtWpPeNEEwn/MSOOMtUWnU+Or6gCmSA6RfKJwA/U
x1e81BTslDd2hOb+M9K5PbIl6yMocxYROMSerAyVfiI6gZPvkdkPD6wEhjhdDACrDvepD355IPTO
Pw0wsfFzzNhqJRy3nznd9TetKbkX6GAlUrpPTl7NvV10IHWR72a6Ccgrzuo6/WwZ5x8G71fXgVU8
5j0US5PljUrhLKz5jFxc+Ub+1OPrUWfS/e0ICKUV7DSlcNevCSVQSlownyLd52QGEPfWnQE+XHMY
TY+x6Gt2nf68e/t6c4MWHu+S3DTs/AoBL5HfiVWv9McN7LGuPVC9x+fmm8ZPRtqqs8tPJVvz4gPo
je/q6lFPd4DGsJKrj1uKQpb8qYUOcW5BUWZs3D8hsOH5s0eKv1Q59Fbto4j1T/dM3doPJSaTengX
vF23MzEEXeS2CZmQ5PvvFfQq7dP3cO2JvJTjWrrgvxKZ8Zftsnw+PCS+QWyjHF2zOpOF8yNdRcza
7x3y0rmXKqR5Z0DHF7V4zkwNVIOVZT/H9g0yU0r79MAJR2jM/vceE4nXKZ94h5x9qy4CMP7Gougd
9DflFcknhDpvXGROUgV05/J3UA/5y1gp7R8u+LY18YpF/++f9UA3S87Jcj9iBh/YLgDs08Vkngbp
fLtuvAG98iVp2kRsRVThCvFW4yZatzFVtSvuxt3NQuOR6UgWDPrUCxTglUZ1/Kqpgiuxw9kExWMy
ZZv29PbACeIgLR+VqUI2Oe5df7xjCRkqZo+2hrn34oKImlbBfaSw+Q35SfgbJOzAkljRMcK+aqkA
I1SVUCEB4fkYdvMV9lxDwswKxPR8Hp7atDdHeDHroBbOGevSZd6WcEUjpm86DCMnz28NGNz/qquS
rg0X7mA7t5Z31B2vQ8gBXXjANTU99cXvUmvUZCCFfHOiefsG8gL+m9mGDhEkkJbKdOB4t3WJMuyK
ANOG5ewO8/sl+zfbqgYw+h0277s7I56dWZz5yQZn2Gi1SyswDA==
`protect end_protected
|
-- Gray_Processing_GN_Gray_Processing_Gray_Processing_Module.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.12.11:11:33
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
port (
data_out : out std_logic_vector(23 downto 0); -- data_out.wire
sop : in std_logic := '0'; -- sop.wire
eop : in std_logic := '0'; -- eop.wire
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
data_in : in std_logic_vector(23 downto 0) := (others => '0') -- data_in.wire
);
end entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module;
architecture rtl of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_cast_GNKXX25S2S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNKXX25S2S;
component alt_dspbuilder_cast_GN6OMCQQS7 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN6OMCQQS7;
component alt_dspbuilder_cast_GN7IAAYCSZ is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN7IAAYCSZ;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_barrelshifter_GNV5DVAGHT is
generic (
DISTANCE_WIDTH : natural := 3;
NDIRECTION : natural := 0;
SIGNED : integer := 1;
use_dedicated_circuitry : string := "false";
PIPELINE : natural := 0;
WIDTH : natural := 8
);
port (
a : in std_logic_vector(WIDTH-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
direction : in std_logic := 'X'; -- wire
distance : in std_logic_vector(DISTANCE_WIDTH-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
r : out std_logic_vector(WIDTH-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_barrelshifter_GNV5DVAGHT;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_multiply_add_GNKLXFKAO3 is
generic (
family : string := "Stratix";
direction : string := "AddAdd";
data3b_const : string := "00000000";
data2b_const : string := "00000000";
representation : string := "SIGNED";
dataWidth : integer := 8;
data4b_const : string := "00000000";
number_multipliers : integer := 2;
pipeline_register : string := "NoRegister";
use_dedicated_circuitry : integer := 0;
data1b_const : string := "00000000";
use_b_consts : natural := 0
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
data1a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data2a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data3a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(17 downto 0); -- wire
user_aclr : in std_logic := 'X'; -- wire
ena : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_multiply_add_GNKLXFKAO3;
component alt_dspbuilder_multiplexer_GNCALBUTDR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
use_one_hot_select_bus : natural := 0;
width : positive := 8;
pipeline : natural := 0;
number_inputs : natural := 4
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
sel : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(23 downto 0); -- wire
ena : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X'; -- wire
in0 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
in1 : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_multiplexer_GNCALBUTDR;
component alt_dspbuilder_cast_GNJGR7GQ2L is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(17 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNJGR7GQ2L;
component alt_dspbuilder_constant_GNZEH3JAKA is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNZEH3JAKA;
component alt_dspbuilder_if_statement_GN7VA7SRUP is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GN7VA7SRUP;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_bus_concat_GN55ETJ4VI is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GN55ETJ4VI;
component alt_dspbuilder_delay_GNHYCSAEGT is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNHYCSAEGT;
component alt_dspbuilder_bus_concat_GNIIOZRPJD is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNIIOZRPJD;
component alt_dspbuilder_constant_GNNKZSYI73 is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNNKZSYI73;
component alt_dspbuilder_delay_GNUECIBFDH is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNUECIBFDH;
component alt_dspbuilder_constant_GNPXZ5JSVR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNPXZ5JSVR;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_cast_GNSB3OXIQS is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_cast_GNSB3OXIQS;
component alt_dspbuilder_cast_GN46N4UJ5S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic := 'X'; -- wire
output : out std_logic_vector(0 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN46N4UJ5S;
signal barrel_shifteruser_aclrgnd_output_wire : std_logic; -- Barrel_Shifteruser_aclrGND:output -> Barrel_Shifter:user_aclr
signal barrel_shifterenavcc_output_wire : std_logic; -- Barrel_ShifterenaVCC:output -> Barrel_Shifter:ena
signal multiply_adduser_aclrgnd_output_wire : std_logic; -- Multiply_Adduser_aclrGND:output -> Multiply_Add:user_aclr
signal multiply_addenavcc_output_wire : std_logic; -- Multiply_AddenaVCC:output -> Multiply_Add:ena
signal multiplexeruser_aclrgnd_output_wire : std_logic; -- Multiplexeruser_aclrGND:output -> Multiplexer:user_aclr
signal multiplexerenavcc_output_wire : std_logic; -- MultiplexerenaVCC:output -> Multiplexer:ena
signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr
signal delay1enavcc_output_wire : std_logic; -- Delay1enaVCC:output -> Delay1:ena
signal delay2sclrgnd_output_wire : std_logic; -- Delay2sclrGND:output -> Delay2:sclr
signal delay2enavcc_output_wire : std_logic; -- Delay2enaVCC:output -> Delay2:ena
signal bus_concatenation_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation:output -> Bus_Concatenation1:b
signal barrel_shifter_r_wire : std_logic_vector(17 downto 0); -- Barrel_Shifter:r -> Bus_Conversion:input
signal bus_conversion_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion:output -> [Bus_Concatenation1:a, Bus_Concatenation:a, Bus_Concatenation:b]
signal data_in_0_output_wire : std_logic_vector(23 downto 0); -- data_in_0:output -> [Bus_Conversion1:input, Bus_Conversion2:input, Bus_Conversion3:input, If_Statement1:a, Multiplexer:in0]
signal constant1_output_wire : std_logic_vector(3 downto 0); -- Constant1:output -> Barrel_Shifter:distance
signal delay2_output_wire : std_logic_vector(0 downto 0); -- Delay2:output -> [Delay:input, cast1:input]
signal constant3_output_wire : std_logic_vector(23 downto 0); -- Constant3:output -> If_Statement1:b
signal constant4_output_wire : std_logic_vector(23 downto 0); -- Constant4:output -> If_Statement1:c
signal if_statement1_true_wire : std_logic; -- If_Statement1:true -> Logical_Bit_Operator:data0
signal sop_0_output_wire : std_logic; -- sop_0:output -> Logical_Bit_Operator:data1
signal eop_0_output_wire : std_logic; -- eop_0:output -> Logical_Bit_Operator1:data0
signal delay_output_wire : std_logic_vector(0 downto 0); -- Delay:output -> [Multiplexer:sel, cast3:input]
signal bus_concatenation1_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation1:output -> Multiplexer:in1
signal bus_conversion3_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion3:output -> Multiply_Add:data1a
signal bus_conversion2_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion2:output -> Multiply_Add:data2a
signal bus_conversion1_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion1:output -> Multiply_Add:data3a
signal multiply_add_result_wire : std_logic_vector(17 downto 0); -- Multiply_Add:result -> Barrel_Shifter:a
signal multiplexer_result_wire : std_logic_vector(23 downto 0); -- Multiplexer:result -> data_out_0:input
signal delay1_output_wire : std_logic_vector(0 downto 0); -- Delay1:output -> cast0:input
signal cast0_output_wire : std_logic; -- cast0:output -> Delay:sclr
signal cast1_output_wire : std_logic; -- cast1:output -> Delay:ena
signal logical_bit_operator_result_wire : std_logic; -- Logical_Bit_Operator:result -> cast2:input
signal cast2_output_wire : std_logic_vector(0 downto 0); -- cast2:output -> Delay2:input
signal cast3_output_wire : std_logic; -- cast3:output -> Logical_Bit_Operator1:data1
signal logical_bit_operator1_result_wire : std_logic; -- Logical_Bit_Operator1:result -> cast4:input
signal cast4_output_wire : std_logic_vector(0 downto 0); -- cast4:output -> Delay1:input
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Barrel_Shifter:aclr, Bus_Concatenation1:aclr, Bus_Concatenation:aclr, Delay1:aclr, Delay2:aclr, Delay:aclr, Multiplexer:aclr, Multiply_Add:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Barrel_Shifter:clock, Bus_Concatenation1:clock, Bus_Concatenation:clock, Delay1:clock, Delay2:clock, Delay:clock, Multiplexer:clock, Multiply_Add:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
bus_conversion1 : component alt_dspbuilder_cast_GNKXX25S2S
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion1_output_wire -- output.wire
);
bus_conversion2 : component alt_dspbuilder_cast_GN6OMCQQS7
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion2_output_wire -- output.wire
);
bus_conversion3 : component alt_dspbuilder_cast_GN7IAAYCSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion3_output_wire -- output.wire
);
logical_bit_operator : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator_result_wire, -- result.wire
data0 => if_statement1_true_wire, -- data0.wire
data1 => sop_0_output_wire -- data1.wire
);
barrel_shifter : component alt_dspbuilder_barrelshifter_GNV5DVAGHT
generic map (
DISTANCE_WIDTH => 4,
NDIRECTION => 1,
SIGNED => 0,
use_dedicated_circuitry => "false",
PIPELINE => 0,
WIDTH => 18
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => multiply_add_result_wire, -- a.wire
r => barrel_shifter_r_wire, -- r.wire
distance => constant1_output_wire, -- distance.wire
ena => barrel_shifterenavcc_output_wire, -- ena.wire
user_aclr => barrel_shifteruser_aclrgnd_output_wire -- user_aclr.wire
);
barrel_shifteruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => barrel_shifteruser_aclrgnd_output_wire -- output.wire
);
barrel_shifterenavcc : component alt_dspbuilder_vcc_GN
port map (
output => barrel_shifterenavcc_output_wire -- output.wire
);
multiply_add : component alt_dspbuilder_multiply_add_GNKLXFKAO3
generic map (
family => "Cyclone V",
direction => "AddAdd",
data3b_const => "00011110",
data2b_const => "10010110",
representation => "UNSIGNED",
dataWidth => 8,
data4b_const => "01001100",
number_multipliers => 3,
pipeline_register => "NoRegister",
use_dedicated_circuitry => 1,
data1b_const => "01001100",
use_b_consts => 1
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data1a => bus_conversion3_output_wire, -- data1a.wire
data2a => bus_conversion2_output_wire, -- data2a.wire
data3a => bus_conversion1_output_wire, -- data3a.wire
result => multiply_add_result_wire, -- result.wire
user_aclr => multiply_adduser_aclrgnd_output_wire, -- user_aclr.wire
ena => multiply_addenavcc_output_wire -- ena.wire
);
multiply_adduser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiply_adduser_aclrgnd_output_wire -- output.wire
);
multiply_addenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiply_addenavcc_output_wire -- output.wire
);
multiplexer : component alt_dspbuilder_multiplexer_GNCALBUTDR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
use_one_hot_select_bus => 0,
width => 24,
pipeline => 0,
number_inputs => 2
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
sel => delay_output_wire, -- sel.wire
result => multiplexer_result_wire, -- result.wire
ena => multiplexerenavcc_output_wire, -- ena.wire
user_aclr => multiplexeruser_aclrgnd_output_wire, -- user_aclr.wire
in0 => data_in_0_output_wire, -- in0.wire
in1 => bus_concatenation1_output_wire -- in1.wire
);
multiplexeruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiplexeruser_aclrgnd_output_wire -- output.wire
);
multiplexerenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiplexerenavcc_output_wire -- output.wire
);
bus_conversion : component alt_dspbuilder_cast_GNJGR7GQ2L
generic map (
round => 0,
saturate => 0
)
port map (
input => barrel_shifter_r_wire, -- input.wire
output => bus_conversion_output_wire -- output.wire
);
constant4 : component alt_dspbuilder_constant_GNZEH3JAKA
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000001111",
width => 24
)
port map (
output => constant4_output_wire -- output.wire
);
if_statement1 : component alt_dspbuilder_if_statement_GN7VA7SRUP
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "(a=b) and (a /= c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement1_true_wire, -- true.wire
a => data_in_0_output_wire, -- a.wire
b => constant3_output_wire, -- b.wire
c => constant4_output_wire -- c.wire
);
sop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => sop, -- input.wire
output => sop_0_output_wire -- output.wire
);
bus_concatenation1 : component alt_dspbuilder_bus_concat_GN55ETJ4VI
generic map (
widthB => 16,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_concatenation_output_wire, -- b.wire
output => bus_concatenation1_output_wire -- output.wire
);
delay1 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast4_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay1_output_wire, -- output.wire
sclr => delay1sclrgnd_output_wire, -- sclr.wire
ena => delay1enavcc_output_wire -- ena.wire
);
delay1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay1sclrgnd_output_wire -- output.wire
);
delay1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay1enavcc_output_wire -- output.wire
);
bus_concatenation : component alt_dspbuilder_bus_concat_GNIIOZRPJD
generic map (
widthB => 8,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_conversion_output_wire, -- b.wire
output => bus_concatenation_output_wire -- output.wire
);
constant3 : component alt_dspbuilder_constant_GNNKZSYI73
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000000",
width => 24
)
port map (
output => constant3_output_wire -- output.wire
);
delay2 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay2_output_wire, -- output.wire
sclr => delay2sclrgnd_output_wire, -- sclr.wire
ena => delay2enavcc_output_wire -- ena.wire
);
delay2sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay2sclrgnd_output_wire -- output.wire
);
delay2enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay2enavcc_output_wire -- output.wire
);
delay : component alt_dspbuilder_delay_GNUECIBFDH
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0",
width => 1
)
port map (
input => delay2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay_output_wire, -- output.wire
sclr => cast0_output_wire, -- sclr.wire
ena => cast1_output_wire -- ena.wire
);
constant1 : component alt_dspbuilder_constant_GNPXZ5JSVR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "1000",
width => 4
)
port map (
output => constant1_output_wire -- output.wire
);
data_out_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => multiplexer_result_wire, -- input.wire
output => data_out -- output.wire
);
data_in_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => data_in, -- input.wire
output => data_in_0_output_wire -- output.wire
);
eop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => eop, -- input.wire
output => eop_0_output_wire -- output.wire
);
logical_bit_operator1 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator1_result_wire, -- result.wire
data0 => eop_0_output_wire, -- data0.wire
data1 => cast3_output_wire -- data1.wire
);
cast0 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast0_output_wire -- output.wire
);
cast1 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay2_output_wire, -- input.wire
output => cast1_output_wire -- output.wire
);
cast2 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator_result_wire, -- input.wire
output => cast2_output_wire -- output.wire
);
cast3 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay_output_wire, -- input.wire
output => cast3_output_wire -- output.wire
);
cast4 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator1_result_wire, -- input.wire
output => cast4_output_wire -- output.wire
);
end architecture rtl; -- of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module
|
-- Gray_Processing_GN_Gray_Processing_Gray_Processing_Module.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.12.11:11:33
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
port (
data_out : out std_logic_vector(23 downto 0); -- data_out.wire
sop : in std_logic := '0'; -- sop.wire
eop : in std_logic := '0'; -- eop.wire
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
data_in : in std_logic_vector(23 downto 0) := (others => '0') -- data_in.wire
);
end entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module;
architecture rtl of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_cast_GNKXX25S2S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNKXX25S2S;
component alt_dspbuilder_cast_GN6OMCQQS7 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN6OMCQQS7;
component alt_dspbuilder_cast_GN7IAAYCSZ is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN7IAAYCSZ;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_barrelshifter_GNV5DVAGHT is
generic (
DISTANCE_WIDTH : natural := 3;
NDIRECTION : natural := 0;
SIGNED : integer := 1;
use_dedicated_circuitry : string := "false";
PIPELINE : natural := 0;
WIDTH : natural := 8
);
port (
a : in std_logic_vector(WIDTH-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
direction : in std_logic := 'X'; -- wire
distance : in std_logic_vector(DISTANCE_WIDTH-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
r : out std_logic_vector(WIDTH-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_barrelshifter_GNV5DVAGHT;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_multiply_add_GNKLXFKAO3 is
generic (
family : string := "Stratix";
direction : string := "AddAdd";
data3b_const : string := "00000000";
data2b_const : string := "00000000";
representation : string := "SIGNED";
dataWidth : integer := 8;
data4b_const : string := "00000000";
number_multipliers : integer := 2;
pipeline_register : string := "NoRegister";
use_dedicated_circuitry : integer := 0;
data1b_const : string := "00000000";
use_b_consts : natural := 0
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
data1a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data2a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data3a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(17 downto 0); -- wire
user_aclr : in std_logic := 'X'; -- wire
ena : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_multiply_add_GNKLXFKAO3;
component alt_dspbuilder_multiplexer_GNCALBUTDR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
use_one_hot_select_bus : natural := 0;
width : positive := 8;
pipeline : natural := 0;
number_inputs : natural := 4
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
sel : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(23 downto 0); -- wire
ena : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X'; -- wire
in0 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
in1 : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_multiplexer_GNCALBUTDR;
component alt_dspbuilder_cast_GNJGR7GQ2L is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(17 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNJGR7GQ2L;
component alt_dspbuilder_constant_GNZEH3JAKA is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNZEH3JAKA;
component alt_dspbuilder_if_statement_GN7VA7SRUP is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GN7VA7SRUP;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_bus_concat_GN55ETJ4VI is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GN55ETJ4VI;
component alt_dspbuilder_delay_GNHYCSAEGT is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNHYCSAEGT;
component alt_dspbuilder_bus_concat_GNIIOZRPJD is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNIIOZRPJD;
component alt_dspbuilder_constant_GNNKZSYI73 is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNNKZSYI73;
component alt_dspbuilder_delay_GNUECIBFDH is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNUECIBFDH;
component alt_dspbuilder_constant_GNPXZ5JSVR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNPXZ5JSVR;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_cast_GNSB3OXIQS is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_cast_GNSB3OXIQS;
component alt_dspbuilder_cast_GN46N4UJ5S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic := 'X'; -- wire
output : out std_logic_vector(0 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN46N4UJ5S;
signal barrel_shifteruser_aclrgnd_output_wire : std_logic; -- Barrel_Shifteruser_aclrGND:output -> Barrel_Shifter:user_aclr
signal barrel_shifterenavcc_output_wire : std_logic; -- Barrel_ShifterenaVCC:output -> Barrel_Shifter:ena
signal multiply_adduser_aclrgnd_output_wire : std_logic; -- Multiply_Adduser_aclrGND:output -> Multiply_Add:user_aclr
signal multiply_addenavcc_output_wire : std_logic; -- Multiply_AddenaVCC:output -> Multiply_Add:ena
signal multiplexeruser_aclrgnd_output_wire : std_logic; -- Multiplexeruser_aclrGND:output -> Multiplexer:user_aclr
signal multiplexerenavcc_output_wire : std_logic; -- MultiplexerenaVCC:output -> Multiplexer:ena
signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr
signal delay1enavcc_output_wire : std_logic; -- Delay1enaVCC:output -> Delay1:ena
signal delay2sclrgnd_output_wire : std_logic; -- Delay2sclrGND:output -> Delay2:sclr
signal delay2enavcc_output_wire : std_logic; -- Delay2enaVCC:output -> Delay2:ena
signal bus_concatenation_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation:output -> Bus_Concatenation1:b
signal barrel_shifter_r_wire : std_logic_vector(17 downto 0); -- Barrel_Shifter:r -> Bus_Conversion:input
signal bus_conversion_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion:output -> [Bus_Concatenation1:a, Bus_Concatenation:a, Bus_Concatenation:b]
signal data_in_0_output_wire : std_logic_vector(23 downto 0); -- data_in_0:output -> [Bus_Conversion1:input, Bus_Conversion2:input, Bus_Conversion3:input, If_Statement1:a, Multiplexer:in0]
signal constant1_output_wire : std_logic_vector(3 downto 0); -- Constant1:output -> Barrel_Shifter:distance
signal delay2_output_wire : std_logic_vector(0 downto 0); -- Delay2:output -> [Delay:input, cast1:input]
signal constant3_output_wire : std_logic_vector(23 downto 0); -- Constant3:output -> If_Statement1:b
signal constant4_output_wire : std_logic_vector(23 downto 0); -- Constant4:output -> If_Statement1:c
signal if_statement1_true_wire : std_logic; -- If_Statement1:true -> Logical_Bit_Operator:data0
signal sop_0_output_wire : std_logic; -- sop_0:output -> Logical_Bit_Operator:data1
signal eop_0_output_wire : std_logic; -- eop_0:output -> Logical_Bit_Operator1:data0
signal delay_output_wire : std_logic_vector(0 downto 0); -- Delay:output -> [Multiplexer:sel, cast3:input]
signal bus_concatenation1_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation1:output -> Multiplexer:in1
signal bus_conversion3_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion3:output -> Multiply_Add:data1a
signal bus_conversion2_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion2:output -> Multiply_Add:data2a
signal bus_conversion1_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion1:output -> Multiply_Add:data3a
signal multiply_add_result_wire : std_logic_vector(17 downto 0); -- Multiply_Add:result -> Barrel_Shifter:a
signal multiplexer_result_wire : std_logic_vector(23 downto 0); -- Multiplexer:result -> data_out_0:input
signal delay1_output_wire : std_logic_vector(0 downto 0); -- Delay1:output -> cast0:input
signal cast0_output_wire : std_logic; -- cast0:output -> Delay:sclr
signal cast1_output_wire : std_logic; -- cast1:output -> Delay:ena
signal logical_bit_operator_result_wire : std_logic; -- Logical_Bit_Operator:result -> cast2:input
signal cast2_output_wire : std_logic_vector(0 downto 0); -- cast2:output -> Delay2:input
signal cast3_output_wire : std_logic; -- cast3:output -> Logical_Bit_Operator1:data1
signal logical_bit_operator1_result_wire : std_logic; -- Logical_Bit_Operator1:result -> cast4:input
signal cast4_output_wire : std_logic_vector(0 downto 0); -- cast4:output -> Delay1:input
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Barrel_Shifter:aclr, Bus_Concatenation1:aclr, Bus_Concatenation:aclr, Delay1:aclr, Delay2:aclr, Delay:aclr, Multiplexer:aclr, Multiply_Add:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Barrel_Shifter:clock, Bus_Concatenation1:clock, Bus_Concatenation:clock, Delay1:clock, Delay2:clock, Delay:clock, Multiplexer:clock, Multiply_Add:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
bus_conversion1 : component alt_dspbuilder_cast_GNKXX25S2S
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion1_output_wire -- output.wire
);
bus_conversion2 : component alt_dspbuilder_cast_GN6OMCQQS7
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion2_output_wire -- output.wire
);
bus_conversion3 : component alt_dspbuilder_cast_GN7IAAYCSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion3_output_wire -- output.wire
);
logical_bit_operator : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator_result_wire, -- result.wire
data0 => if_statement1_true_wire, -- data0.wire
data1 => sop_0_output_wire -- data1.wire
);
barrel_shifter : component alt_dspbuilder_barrelshifter_GNV5DVAGHT
generic map (
DISTANCE_WIDTH => 4,
NDIRECTION => 1,
SIGNED => 0,
use_dedicated_circuitry => "false",
PIPELINE => 0,
WIDTH => 18
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => multiply_add_result_wire, -- a.wire
r => barrel_shifter_r_wire, -- r.wire
distance => constant1_output_wire, -- distance.wire
ena => barrel_shifterenavcc_output_wire, -- ena.wire
user_aclr => barrel_shifteruser_aclrgnd_output_wire -- user_aclr.wire
);
barrel_shifteruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => barrel_shifteruser_aclrgnd_output_wire -- output.wire
);
barrel_shifterenavcc : component alt_dspbuilder_vcc_GN
port map (
output => barrel_shifterenavcc_output_wire -- output.wire
);
multiply_add : component alt_dspbuilder_multiply_add_GNKLXFKAO3
generic map (
family => "Cyclone V",
direction => "AddAdd",
data3b_const => "00011110",
data2b_const => "10010110",
representation => "UNSIGNED",
dataWidth => 8,
data4b_const => "01001100",
number_multipliers => 3,
pipeline_register => "NoRegister",
use_dedicated_circuitry => 1,
data1b_const => "01001100",
use_b_consts => 1
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data1a => bus_conversion3_output_wire, -- data1a.wire
data2a => bus_conversion2_output_wire, -- data2a.wire
data3a => bus_conversion1_output_wire, -- data3a.wire
result => multiply_add_result_wire, -- result.wire
user_aclr => multiply_adduser_aclrgnd_output_wire, -- user_aclr.wire
ena => multiply_addenavcc_output_wire -- ena.wire
);
multiply_adduser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiply_adduser_aclrgnd_output_wire -- output.wire
);
multiply_addenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiply_addenavcc_output_wire -- output.wire
);
multiplexer : component alt_dspbuilder_multiplexer_GNCALBUTDR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
use_one_hot_select_bus => 0,
width => 24,
pipeline => 0,
number_inputs => 2
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
sel => delay_output_wire, -- sel.wire
result => multiplexer_result_wire, -- result.wire
ena => multiplexerenavcc_output_wire, -- ena.wire
user_aclr => multiplexeruser_aclrgnd_output_wire, -- user_aclr.wire
in0 => data_in_0_output_wire, -- in0.wire
in1 => bus_concatenation1_output_wire -- in1.wire
);
multiplexeruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiplexeruser_aclrgnd_output_wire -- output.wire
);
multiplexerenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiplexerenavcc_output_wire -- output.wire
);
bus_conversion : component alt_dspbuilder_cast_GNJGR7GQ2L
generic map (
round => 0,
saturate => 0
)
port map (
input => barrel_shifter_r_wire, -- input.wire
output => bus_conversion_output_wire -- output.wire
);
constant4 : component alt_dspbuilder_constant_GNZEH3JAKA
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000001111",
width => 24
)
port map (
output => constant4_output_wire -- output.wire
);
if_statement1 : component alt_dspbuilder_if_statement_GN7VA7SRUP
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "(a=b) and (a /= c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement1_true_wire, -- true.wire
a => data_in_0_output_wire, -- a.wire
b => constant3_output_wire, -- b.wire
c => constant4_output_wire -- c.wire
);
sop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => sop, -- input.wire
output => sop_0_output_wire -- output.wire
);
bus_concatenation1 : component alt_dspbuilder_bus_concat_GN55ETJ4VI
generic map (
widthB => 16,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_concatenation_output_wire, -- b.wire
output => bus_concatenation1_output_wire -- output.wire
);
delay1 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast4_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay1_output_wire, -- output.wire
sclr => delay1sclrgnd_output_wire, -- sclr.wire
ena => delay1enavcc_output_wire -- ena.wire
);
delay1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay1sclrgnd_output_wire -- output.wire
);
delay1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay1enavcc_output_wire -- output.wire
);
bus_concatenation : component alt_dspbuilder_bus_concat_GNIIOZRPJD
generic map (
widthB => 8,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_conversion_output_wire, -- b.wire
output => bus_concatenation_output_wire -- output.wire
);
constant3 : component alt_dspbuilder_constant_GNNKZSYI73
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000000",
width => 24
)
port map (
output => constant3_output_wire -- output.wire
);
delay2 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay2_output_wire, -- output.wire
sclr => delay2sclrgnd_output_wire, -- sclr.wire
ena => delay2enavcc_output_wire -- ena.wire
);
delay2sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay2sclrgnd_output_wire -- output.wire
);
delay2enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay2enavcc_output_wire -- output.wire
);
delay : component alt_dspbuilder_delay_GNUECIBFDH
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0",
width => 1
)
port map (
input => delay2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay_output_wire, -- output.wire
sclr => cast0_output_wire, -- sclr.wire
ena => cast1_output_wire -- ena.wire
);
constant1 : component alt_dspbuilder_constant_GNPXZ5JSVR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "1000",
width => 4
)
port map (
output => constant1_output_wire -- output.wire
);
data_out_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => multiplexer_result_wire, -- input.wire
output => data_out -- output.wire
);
data_in_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => data_in, -- input.wire
output => data_in_0_output_wire -- output.wire
);
eop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => eop, -- input.wire
output => eop_0_output_wire -- output.wire
);
logical_bit_operator1 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator1_result_wire, -- result.wire
data0 => eop_0_output_wire, -- data0.wire
data1 => cast3_output_wire -- data1.wire
);
cast0 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast0_output_wire -- output.wire
);
cast1 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay2_output_wire, -- input.wire
output => cast1_output_wire -- output.wire
);
cast2 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator_result_wire, -- input.wire
output => cast2_output_wire -- output.wire
);
cast3 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay_output_wire, -- input.wire
output => cast3_output_wire -- output.wire
);
cast4 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator1_result_wire, -- input.wire
output => cast4_output_wire -- output.wire
);
end architecture rtl; -- of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module
|
--! @file logic_pkg.vhd
--! @brief Package containing all logic entities
--! @author Scott Teal ([email protected])
--! @date 2013-09-30
--! @copyright
--! Copyright 2013 Richard Scott Teal, Jr.
--!
--! Licensed under the Apache License, Version 2.0 (the "License"); you may not
--! use this file except in compliance with the License. You may obtain a copy
--! of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS, WITHOUT
--! WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the
--! License for the specific language governing permissions and limitations
--! under the License.
--! Standard IEEE library
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package logic_pkg is
component reset_sequencer is
generic (
clk_period : time; --! Period of clk signal
--! Vector of times to wait/timeout for each reset signal
wait_times : time_vector;
retry_time : time; --! Time to keep reset high while retrying
move_fast : std_logic_vector; --! If '1', go to next once check_good = '1'
debounce_time : time --! Time to wait before rst can change again
);
port (
clk : in std_logic; --! Reference clock
rst : in std_logic; --! Asynchronous reset
check_good : in std_logic_vector; --! Signals showing subsystems are ready
rst_vector : out std_logic_vector; --! Reset signals to subsystems
done : out std_logic --! Indicates sequencer is finished
);
end component reset_sequencer;
end package logic_pkg;
package body logic_pkg is
end package body;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:15:45 10/30/2009
-- Design Name:
-- Module Name: MemControlTest - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity MemControlTest is
Port ( clock : in STD_LOGIC;
address_out : out STD_LOGIC_VECTOR (23 downto 0);
data_bus : inout STD_LOGIC_VECTOR (15 downto 0);
output_e : out STD_LOGIC;
write_e : out STD_LOGIC;
check_out : out STD_LOGIC);
end MemControlTest;
architecture Behavioral of MemControlTest is
begin
main: process (clock) is
variable gone : bit := '0';
begin
if rising_edge(clock) then
if gone = '0' then
gone := '1';
address_out <= x"000001";
data_bus <= x"101F";
write_e <= '1';
else
write_e <= '0';
output_e <= '0';
data_bus <= x"0000";
end if;
end if;
if data_bus = x"101F" then
check_out <= '1';
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:15:45 10/30/2009
-- Design Name:
-- Module Name: MemControlTest - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity MemControlTest is
Port ( clock : in STD_LOGIC;
address_out : out STD_LOGIC_VECTOR (23 downto 0);
data_bus : inout STD_LOGIC_VECTOR (15 downto 0);
output_e : out STD_LOGIC;
write_e : out STD_LOGIC;
check_out : out STD_LOGIC);
end MemControlTest;
architecture Behavioral of MemControlTest is
begin
main: process (clock) is
variable gone : bit := '0';
begin
if rising_edge(clock) then
if gone = '0' then
gone := '1';
address_out <= x"000001";
data_bus <= x"101F";
write_e <= '1';
else
write_e <= '0';
output_e <= '0';
data_bus <= x"0000";
end if;
end if;
if data_bus = x"101F" then
check_out <= '1';
end if;
end process;
end Behavioral;
|
-- $Id: sys_conf_sim.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2011-2016 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Package Name: sys_conf
-- Description: Definitions for sys_tst_rlink_n3 (for simulation)
--
-- Dependencies: -
-- Tool versions: xst 13.1-14.7; ghdl 0.29-0.33
-- Revision History:
-- Date Rev Version Comment
-- 2013-10-06 538 1.1 pll support, use clksys_vcodivide ect
-- 2011-11-26 433 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
package sys_conf is
-- configure clocks --------------------------------------------------------
constant sys_conf_clksys_vcodivide : positive := 1;
constant sys_conf_clksys_vcomultiply : positive := 1; -- dcm 100 MHz
constant sys_conf_clksys_outdivide : positive := 1; -- sys 100 MHz
constant sys_conf_clksys_gentype : string := "DCM";
-- configure rlink and hio interfaces --------------------------------------
constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim
constant sys_conf_hio_debounce : boolean := false; -- no debouncers
-- derived constants =======================================================
constant sys_conf_clksys : integer :=
((100000000/sys_conf_clksys_vcodivide)*sys_conf_clksys_vcomultiply) /
sys_conf_clksys_outdivide;
constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
end package sys_conf;
|
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
--Date : Tue May 09 02:12:18 2017
--Host : GILAMONSTER running 64-bit major release (build 9200)
--Command : generate_target system.bd
--Design : system
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
gclk : in STD_LOGIC;
hsync : out STD_LOGIC;
vga_b : out STD_LOGIC_VECTOR ( 3 downto 0 );
vga_g : out STD_LOGIC_VECTOR ( 3 downto 0 );
vga_r : out STD_LOGIC_VECTOR ( 3 downto 0 );
vsync : out STD_LOGIC
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of system : entity is "system,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=6,numReposBlks=6,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_board_cnt=1,da_ps7_cnt=2,synth_mode=OOC_per_IP}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of system : entity is "system.hwdef";
end system;
architecture STRUCTURE of system is
component system_vga_color_test_0_0 is
port (
clk_25 : in STD_LOGIC;
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
rgb : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
end component system_vga_color_test_0_0;
component system_rgb888_to_rgb565_0_0 is
port (
rgb_888 : in STD_LOGIC_VECTOR ( 23 downto 0 );
rgb_565 : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
end component system_rgb888_to_rgb565_0_0;
component system_vga_sync_0_0 is
port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
active : out STD_LOGIC;
hsync : out STD_LOGIC;
vsync : out STD_LOGIC;
xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
end component system_vga_sync_0_0;
component system_zed_vga_0_0 is
port (
clk : in STD_LOGIC;
active : in STD_LOGIC;
rgb565 : in STD_LOGIC_VECTOR ( 15 downto 0 );
vga_r : out STD_LOGIC_VECTOR ( 3 downto 0 );
vga_g : out STD_LOGIC_VECTOR ( 3 downto 0 );
vga_b : out STD_LOGIC_VECTOR ( 3 downto 0 )
);
end component system_zed_vga_0_0;
component system_xlconstant_0_0 is
port (
dout : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component system_xlconstant_0_0;
component system_processing_system7_0_0 is
port (
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end component system_processing_system7_0_0;
signal clk_wiz_0_clk_out1 : STD_LOGIC;
signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 );
signal processing_system7_0_DDR_BA : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_DDR_CAS_N : STD_LOGIC;
signal processing_system7_0_DDR_CKE : STD_LOGIC;
signal processing_system7_0_DDR_CK_N : STD_LOGIC;
signal processing_system7_0_DDR_CK_P : STD_LOGIC;
signal processing_system7_0_DDR_CS_N : STD_LOGIC;
signal processing_system7_0_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_DDR_DQS_N : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQS_P : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_ODT : STD_LOGIC;
signal processing_system7_0_DDR_RAS_N : STD_LOGIC;
signal processing_system7_0_DDR_RESET_N : STD_LOGIC;
signal processing_system7_0_DDR_WE_N : STD_LOGIC;
signal processing_system7_0_FCLK_CLK0 : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRN : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRP : STD_LOGIC;
signal processing_system7_0_FIXED_IO_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC;
signal rgb888_to_rgb565_0_rgb_565 : STD_LOGIC_VECTOR ( 15 downto 0 );
signal vdd_dout : STD_LOGIC_VECTOR ( 0 to 0 );
signal vga_color_test_0_rgb : STD_LOGIC_VECTOR ( 23 downto 0 );
signal vga_sync_0_active : STD_LOGIC;
signal vga_sync_0_hsync : STD_LOGIC;
signal vga_sync_0_vsync : STD_LOGIC;
signal vga_sync_0_xaddr : STD_LOGIC_VECTOR ( 9 downto 0 );
signal vga_sync_0_yaddr : STD_LOGIC_VECTOR ( 9 downto 0 );
signal zed_vga_0_vga_b : STD_LOGIC_VECTOR ( 3 downto 0 );
signal zed_vga_0_vga_g : STD_LOGIC_VECTOR ( 3 downto 0 );
signal zed_vga_0_vga_r : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_FCLK_RESET0_N_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_M_AXI_GP0_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_M_AXI_GP0_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_M_AXI_GP0_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_M_AXI_GP0_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_M_AXI_GP0_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_M_AXI_GP0_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_M_AXI_GP0_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_processing_system7_0_M_AXI_GP0_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
clk_wiz_0_clk_out1 <= gclk;
hsync <= vga_sync_0_hsync;
vga_b(3 downto 0) <= zed_vga_0_vga_b(3 downto 0);
vga_g(3 downto 0) <= zed_vga_0_vga_g(3 downto 0);
vga_r(3 downto 0) <= zed_vga_0_vga_r(3 downto 0);
vsync <= vga_sync_0_vsync;
processing_system7_0: component system_processing_system7_0_0
port map (
DDR_Addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_ba(2 downto 0),
DDR_CAS_n => DDR_cas_n,
DDR_CKE => DDR_cke,
DDR_CS_n => DDR_cs_n,
DDR_Clk => DDR_ck_p,
DDR_Clk_n => DDR_ck_n,
DDR_DM(3 downto 0) => DDR_dm(3 downto 0),
DDR_DQ(31 downto 0) => DDR_dq(31 downto 0),
DDR_DQS(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_DRSTB => DDR_reset_n,
DDR_ODT => DDR_odt,
DDR_RAS_n => DDR_ras_n,
DDR_VRN => FIXED_IO_ddr_vrn,
DDR_VRP => FIXED_IO_ddr_vrp,
DDR_WEB => DDR_we_n,
FCLK_CLK0 => processing_system7_0_FCLK_CLK0,
FCLK_RESET0_N => NLW_processing_system7_0_FCLK_RESET0_N_UNCONNECTED,
MIO(53 downto 0) => FIXED_IO_mio(53 downto 0),
M_AXI_GP0_ACLK => processing_system7_0_FCLK_CLK0,
M_AXI_GP0_ARADDR(31 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP0_ARID(11 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP0_ARREADY => '0',
M_AXI_GP0_ARSIZE(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP0_ARVALID => NLW_processing_system7_0_M_AXI_GP0_ARVALID_UNCONNECTED,
M_AXI_GP0_AWADDR(31 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP0_AWREADY => '0',
M_AXI_GP0_AWSIZE(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP0_AWVALID => NLW_processing_system7_0_M_AXI_GP0_AWVALID_UNCONNECTED,
M_AXI_GP0_BID(11 downto 0) => B"000000000000",
M_AXI_GP0_BREADY => NLW_processing_system7_0_M_AXI_GP0_BREADY_UNCONNECTED,
M_AXI_GP0_BRESP(1 downto 0) => B"00",
M_AXI_GP0_BVALID => '0',
M_AXI_GP0_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP0_RID(11 downto 0) => B"000000000000",
M_AXI_GP0_RLAST => '0',
M_AXI_GP0_RREADY => NLW_processing_system7_0_M_AXI_GP0_RREADY_UNCONNECTED,
M_AXI_GP0_RRESP(1 downto 0) => B"00",
M_AXI_GP0_RVALID => '0',
M_AXI_GP0_WDATA(31 downto 0) => NLW_processing_system7_0_M_AXI_GP0_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => NLW_processing_system7_0_M_AXI_GP0_WID_UNCONNECTED(11 downto 0),
M_AXI_GP0_WLAST => NLW_processing_system7_0_M_AXI_GP0_WLAST_UNCONNECTED,
M_AXI_GP0_WREADY => '0',
M_AXI_GP0_WSTRB(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP0_WVALID => NLW_processing_system7_0_M_AXI_GP0_WVALID_UNCONNECTED,
PS_CLK => FIXED_IO_ps_clk,
PS_PORB => FIXED_IO_ps_porb,
PS_SRSTB => FIXED_IO_ps_srstb,
TTC0_WAVE0_OUT => NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED
);
rgb888_to_rgb565_0: component system_rgb888_to_rgb565_0_0
port map (
rgb_565(15 downto 0) => rgb888_to_rgb565_0_rgb_565(15 downto 0),
rgb_888(23 downto 0) => vga_color_test_0_rgb(23 downto 0)
);
vdd: component system_xlconstant_0_0
port map (
dout(0) => vdd_dout(0)
);
vga_color_test_0: component system_vga_color_test_0_0
port map (
clk_25 => clk_wiz_0_clk_out1,
rgb(23 downto 0) => vga_color_test_0_rgb(23 downto 0),
xaddr(9 downto 0) => vga_sync_0_xaddr(9 downto 0),
yaddr(9 downto 0) => vga_sync_0_yaddr(9 downto 0)
);
vga_sync_0: component system_vga_sync_0_0
port map (
active => vga_sync_0_active,
clk => clk_wiz_0_clk_out1,
hsync => vga_sync_0_hsync,
rst => vdd_dout(0),
vsync => vga_sync_0_vsync,
xaddr(9 downto 0) => vga_sync_0_xaddr(9 downto 0),
yaddr(9 downto 0) => vga_sync_0_yaddr(9 downto 0)
);
zed_vga_0: component system_zed_vga_0_0
port map (
active => vga_sync_0_active,
clk => clk_wiz_0_clk_out1,
rgb565(15 downto 0) => rgb888_to_rgb565_0_rgb_565(15 downto 0),
vga_b(3 downto 0) => zed_vga_0_vga_b(3 downto 0),
vga_g(3 downto 0) => zed_vga_0_vga_g(3 downto 0),
vga_r(3 downto 0) => zed_vga_0_vga_r(3 downto 0)
);
end STRUCTURE;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity tb_bit_vector_signed_arithmetic is
end entity tb_bit_vector_signed_arithmetic;
architecture test of tb_bit_vector_signed_arithmetic is
begin
stimulus : process is
use work.bit_vector_signed_arithmetic.all;
use std.textio.all;
variable L : line;
begin
write(L, X"0002" + X"0005");
writeline(output, L);
write(L, X"0002" + X"FFFE");
writeline(output, L);
write(L, - X"0005");
writeline(output, L);
write(L, - X"FFFE");
writeline(output, L);
write(L, X"0002" * X"0005");
writeline(output, L);
write(L, X"0002" * X"FFFD");
writeline(output, L);
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity tb_bit_vector_signed_arithmetic is
end entity tb_bit_vector_signed_arithmetic;
architecture test of tb_bit_vector_signed_arithmetic is
begin
stimulus : process is
use work.bit_vector_signed_arithmetic.all;
use std.textio.all;
variable L : line;
begin
write(L, X"0002" + X"0005");
writeline(output, L);
write(L, X"0002" + X"FFFE");
writeline(output, L);
write(L, - X"0005");
writeline(output, L);
write(L, - X"FFFE");
writeline(output, L);
write(L, X"0002" * X"0005");
writeline(output, L);
write(L, X"0002" * X"FFFD");
writeline(output, L);
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
entity tb_bit_vector_signed_arithmetic is
end entity tb_bit_vector_signed_arithmetic;
architecture test of tb_bit_vector_signed_arithmetic is
begin
stimulus : process is
use work.bit_vector_signed_arithmetic.all;
use std.textio.all;
variable L : line;
begin
write(L, X"0002" + X"0005");
writeline(output, L);
write(L, X"0002" + X"FFFE");
writeline(output, L);
write(L, - X"0005");
writeline(output, L);
write(L, - X"FFFE");
writeline(output, L);
write(L, X"0002" * X"0005");
writeline(output, L);
write(L, X"0002" * X"FFFD");
writeline(output, L);
wait;
end process stimulus;
end architecture test;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity signext_tb is
end signext_tb;
architecture TB of signext_tb is
component signext
port(
in0 : in std_logic_vector(15 downto 0);
out0 : out std_logic_vector(31 downto 0));
end component;
signal in0 : std_logic_vector(15 downto 0);
signal out0 : std_logic_vector(31 downto 0);
begin -- TB
UUT: entity work.signext
port map(
in0 => in0,
out0 => out0);
process
begin
in0 <= x"7FFF";
wait for 20 ns;
in0 <= x"FFFF";
wait for 20 ns;
report "SIMULATION FINISHED!";
wait;
end process;
end TB;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fifo8to32_tb.vhd
--
-- Description:
-- This is the demo testbench top file for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
LIBRARY std;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.std_logic_misc.ALL;
USE ieee.numeric_std.ALL;
USE ieee.std_logic_textio.ALL;
USE std.textio.ALL;
LIBRARY work;
USE work.fifo8to32_pkg.ALL;
ENTITY fifo8to32_tb IS
END ENTITY;
ARCHITECTURE fifo8to32_arch OF fifo8to32_tb IS
SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
SIGNAL wr_clk : STD_LOGIC;
SIGNAL rd_clk : STD_LOGIC;
SIGNAL reset : STD_LOGIC;
SIGNAL sim_done : STD_LOGIC := '0';
SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
-- Write and Read clock periods
CONSTANT wr_clk_period_by_2 : TIME := 200 ns;
CONSTANT rd_clk_period_by_2 : TIME := 100 ns;
-- Procedures to display strings
PROCEDURE disp_str(CONSTANT str:IN STRING) IS
variable dp_l : line := null;
BEGIN
write(dp_l,str);
writeline(output,dp_l);
END PROCEDURE;
PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS
variable dp_lx : line := null;
BEGIN
hwrite(dp_lx,hex);
writeline(output,dp_lx);
END PROCEDURE;
BEGIN
-- Generation of clock
PROCESS BEGIN
WAIT FOR 400 ns; -- Wait for global reset
WHILE 1 = 1 LOOP
wr_clk <= '0';
WAIT FOR wr_clk_period_by_2;
wr_clk <= '1';
WAIT FOR wr_clk_period_by_2;
END LOOP;
END PROCESS;
PROCESS BEGIN
WAIT FOR 200 ns;-- Wait for global reset
WHILE 1 = 1 LOOP
rd_clk <= '0';
WAIT FOR rd_clk_period_by_2;
rd_clk <= '1';
WAIT FOR rd_clk_period_by_2;
END LOOP;
END PROCESS;
-- Generation of Reset
PROCESS BEGIN
reset <= '1';
WAIT FOR 4200 ns;
reset <= '0';
WAIT;
END PROCESS;
-- Error message printing based on STATUS signal from fifo8to32_synth
PROCESS(status)
BEGIN
IF(status /= "0" AND status /= "1") THEN
disp_str("STATUS:");
disp_hex(status);
END IF;
IF(status(7) = '1') THEN
assert false
report "Data mismatch found"
severity error;
END IF;
IF(status(1) = '1') THEN
END IF;
IF(status(5) = '1') THEN
assert false
report "Empty flag Mismatch/timeout"
severity error;
END IF;
IF(status(6) = '1') THEN
assert false
report "Full Flag Mismatch/timeout"
severity error;
END IF;
END PROCESS;
PROCESS
BEGIN
wait until sim_done = '1';
IF(status /= "0" AND status /= "1") THEN
assert false
report "Simulation failed"
severity failure;
ELSE
assert false
report "Test Completed Successfully"
severity failure;
END IF;
END PROCESS;
PROCESS
BEGIN
wait for 400 ms;
assert false
report "Test bench timed out"
severity failure;
END PROCESS;
-- Instance of fifo8to32_synth
fifo8to32_synth_inst:fifo8to32_synth
GENERIC MAP(
FREEZEON_ERROR => 0,
TB_STOP_CNT => 2,
TB_SEED => 36
)
PORT MAP(
WR_CLK => wr_clk,
RD_CLK => rd_clk,
RESET => reset,
SIM_DONE => sim_done,
STATUS => status
);
END ARCHITECTURE;
|
library verilog;
use verilog.vl_types.all;
entity dp512x32 is
generic(
word_width : integer := 32;
word_depth : integer := 512;
nb_address : integer := 9;
MEMORYFILE : string := ""
);
port(
AA : in vl_logic_vector;
DIA : in vl_logic_vector;
DOA : out vl_logic_vector;
WIBA : in vl_logic_vector;
CLKA : in vl_logic;
CSBA : in vl_logic;
RWBA : in vl_logic;
AB : in vl_logic_vector;
DIB : in vl_logic_vector;
DOB : out vl_logic_vector;
WIBB : in vl_logic_vector;
CLKB : in vl_logic;
CSBB : in vl_logic;
RWBB : in vl_logic
);
end dp512x32;
|
library verilog;
use verilog.vl_types.all;
entity dp512x32 is
generic(
word_width : integer := 32;
word_depth : integer := 512;
nb_address : integer := 9;
MEMORYFILE : string := ""
);
port(
AA : in vl_logic_vector;
DIA : in vl_logic_vector;
DOA : out vl_logic_vector;
WIBA : in vl_logic_vector;
CLKA : in vl_logic;
CSBA : in vl_logic;
RWBA : in vl_logic;
AB : in vl_logic_vector;
DIB : in vl_logic_vector;
DOB : out vl_logic_vector;
WIBB : in vl_logic_vector;
CLKB : in vl_logic;
CSBB : in vl_logic;
RWBB : in vl_logic
);
end dp512x32;
|
library verilog;
use verilog.vl_types.all;
entity dp512x32 is
generic(
word_width : integer := 32;
word_depth : integer := 512;
nb_address : integer := 9;
MEMORYFILE : string := ""
);
port(
AA : in vl_logic_vector;
DIA : in vl_logic_vector;
DOA : out vl_logic_vector;
WIBA : in vl_logic_vector;
CLKA : in vl_logic;
CSBA : in vl_logic;
RWBA : in vl_logic;
AB : in vl_logic_vector;
DIB : in vl_logic_vector;
DOB : out vl_logic_vector;
WIBB : in vl_logic_vector;
CLKB : in vl_logic;
CSBB : in vl_logic;
RWBB : in vl_logic
);
end dp512x32;
|
-- ========== Copyright Header Begin =============================================
-- AmgPacman File: nueva_pos_rand_async.vhd
-- Copyright (c) 2015 Alberto Miedes Garcés
-- DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
--
-- The above named program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- The above named program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with Foobar. If not, see <http://www.gnu.org/licenses/>.
-- ========== Copyright Header End ===============================================
----------------------------------------------------------------------------------
-- Engineer: Alberto Miedes Garcés
-- Correo: [email protected]
-- Create Date: January 2015
-- Target Devices: Spartan3E - XC3S500E - Nexys 2 (Digilent)
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity nueva_pos_rand_async is
Port ( up_pos : in STD_LOGIC_VECTOR (2 downto 0);
dw_pos : in STD_LOGIC_VECTOR (2 downto 0);
rg_pos : in STD_LOGIC_VECTOR (2 downto 0);
lf_pos : in STD_LOGIC_VECTOR (2 downto 0);
my_x : in STD_LOGIC_VECTOR (2 downto 0);
my_y : in STD_LOGIC_VECTOR (2 downto 0);
new_x : out STD_LOGIC_VECTOR (2 downto 0);
new_y : out STD_LOGIC_VECTOR (2 downto 0);
bt_rand: in std_logic_vector(1 downto 0)
);
end nueva_pos_rand_async;
architecture arq of nueva_pos_rand_async is
signal rand_num: std_logic_vector(1 downto 0);
signal new_pos_in: std_logic_vector(5 downto 0);
signal pos_valida: std_logic;
signal my_y_add1: std_logic_vector(2 downto 0);
signal my_x_add1: std_logic_vector(2 downto 0);
signal my_y_sub1: std_logic_vector(2 downto 0);
signal my_x_sub1: std_logic_vector(2 downto 0);
COMPONENT incrCuenta3bits
PORT(
num_in : IN std_logic_vector(2 downto 0);
num_out : OUT std_logic_vector(2 downto 0)
);
END COMPONENT;
COMPONENT decrCuenta3bits
PORT(
num_in : IN std_logic_vector(2 downto 0);
num_out : OUT std_logic_vector(2 downto 0)
);
END COMPONENT;
begin
Inst_incrCuenta3bits_x: incrCuenta3bits PORT MAP(
num_in => my_x,
num_out => my_x_add1
);
Inst_incrCuenta3bits_y: incrCuenta3bits PORT MAP(
num_in => my_y,
num_out => my_y_add1
);
Inst_decrCuenta3bits_x: decrCuenta3bits PORT MAP(
num_in => my_x,
num_out => my_x_sub1
);
Inst_decrCuenta3bits_y: decrCuenta3bits PORT MAP(
num_in => my_y,
num_out => my_y_sub1
);
-- Conexión de señales
---------------------------------------------------------
rand_num <= bt_rand;
new_x <= new_pos_in(2 downto 0);
new_y <= new_pos_in(5 downto 3);
-- Equivalencias entre los números aleatorios y la dirección de desplazamiento:
-- 00 -> Mueve hacia arriba
-- 01 -> Mueve hacia abajo
-- 10 -> Mueve hacia la derecha
-- 11 -> Mueve hacia la izquierda
-- ¿Es válida la dirección de desplazamiento aleatoria generada?
--------------------------------------------------------
p_pos_valida: process(rand_num, up_pos, dw_pos, rg_pos, lf_pos)
begin
-- Si me muevo hacia arriba y no hay pared:
if rand_num = "00" and up_pos = "000" then
pos_valida <= '1';
-- Si me muevo hacia abajo y no hay pared:
elsif rand_num = "01" and dw_pos = "000" then
pos_valida <= '1';
-- Si me muevo hacia la derecha y no hay pared:
elsif rand_num = "10" and rg_pos = "000" then
pos_valida <= '1';
-- Si me muevo hacia la izquierda y no hay pared:
elsif rand_num = "11" and lf_pos = "000" then
pos_valida <= '1';
-- En cualquier otro caso la dirección de desplazamiento no es válida:
else
pos_valida <= '0';
end if;
end process p_pos_valida;
-- Traducimos el número aleatorio en la posicion equivalente dentro del mapa:
---------------------------------------------------------
p_traduce: process(rand_num, my_x, my_y, pos_valida, my_y_add1, my_y_sub1, my_x_add1, my_x_sub1)
begin
if pos_valida = '1' then
if rand_num = "00" then --arriba
new_pos_in <= my_y_add1 & my_x;
elsif rand_num = "01" then --abajo
new_pos_in <= my_y_sub1 & my_x;
elsif rand_num = "10" then --der
new_pos_in <= my_y & my_x_add1;
else --izq
new_pos_in <= my_y & my_x_sub1;
end if;
else
new_pos_in <= my_y & my_x; -- Si no es valida nos mantenemos donde estamos.
end if;
end process p_traduce;
end arq;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj/hdlsrc/hdl_ofdm_tx/RADIX22FFT_SDNF1_1_block5.vhd
-- Created: 2018-02-27 13:25:18
--
-- Generated by MATLAB 9.3 and HDL Coder 3.11
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_1_block5
-- Source Path: hdl_ofdm_tx/ifft/RADIX22FFT_SDNF1_1
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE work.hdl_ofdm_tx_pkg.ALL;
ENTITY RADIX22FFT_SDNF1_1_block5 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb_1_16_0 : IN std_logic;
twdlXdin_13_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_13_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_14_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_14_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_13_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_13_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_14_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_14_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En13
dout_13_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_1_block5;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_1_block5 IS
-- Signals
SIGNAL twdlXdin_13_re_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL twdlXdin_13_im_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL twdlXdin_14_re_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL twdlXdin_14_im_signed : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(17 DOWNTO 0); -- sfix18
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(17 DOWNTO 0); -- sfix18_En13
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_13_re_tmp : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL dout_13_im_tmp : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL dout_14_re_tmp : signed(16 DOWNTO 0); -- sfix17_En13
SIGNAL dout_14_im_tmp : signed(16 DOWNTO 0); -- sfix17_En13
BEGIN
twdlXdin_13_re_signed <= signed(twdlXdin_13_re);
twdlXdin_13_im_signed <= signed(twdlXdin_13_im);
twdlXdin_14_re_signed <= signed(twdlXdin_14_re);
twdlXdin_14_im_signed <= signed(twdlXdin_14_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 18);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_13_re_signed,
twdlXdin_13_im_signed, twdlXdin_14_re_signed, twdlXdin_14_im_signed,
twdlXdin_1_vld)
VARIABLE add_cast : signed(17 DOWNTO 0);
VARIABLE add_cast_0 : signed(17 DOWNTO 0);
VARIABLE sub_cast : signed(17 DOWNTO 0);
VARIABLE sub_cast_0 : signed(17 DOWNTO 0);
VARIABLE add_cast_1 : signed(17 DOWNTO 0);
VARIABLE add_cast_2 : signed(17 DOWNTO 0);
VARIABLE sub_cast_1 : signed(17 DOWNTO 0);
VARIABLE sub_cast_2 : signed(17 DOWNTO 0);
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
add_cast := resize(twdlXdin_13_re_signed, 18);
add_cast_0 := resize(twdlXdin_14_re_signed, 18);
Radix22ButterflyG1_NF_btf1_re_reg_next <= add_cast + add_cast_0;
sub_cast := resize(twdlXdin_13_re_signed, 18);
sub_cast_0 := resize(twdlXdin_14_re_signed, 18);
Radix22ButterflyG1_NF_btf2_re_reg_next <= sub_cast - sub_cast_0;
add_cast_1 := resize(twdlXdin_13_im_signed, 18);
add_cast_2 := resize(twdlXdin_14_im_signed, 18);
Radix22ButterflyG1_NF_btf1_im_reg_next <= add_cast_1 + add_cast_2;
sub_cast_1 := resize(twdlXdin_13_im_signed, 18);
sub_cast_2 := resize(twdlXdin_14_im_signed, 18);
Radix22ButterflyG1_NF_btf2_im_reg_next <= sub_cast_1 - sub_cast_2;
END IF;
dout_13_re_tmp <= Radix22ButterflyG1_NF_btf1_re_reg(16 DOWNTO 0);
dout_13_im_tmp <= Radix22ButterflyG1_NF_btf1_im_reg(16 DOWNTO 0);
dout_14_re_tmp <= Radix22ButterflyG1_NF_btf2_re_reg(16 DOWNTO 0);
dout_14_im_tmp <= Radix22ButterflyG1_NF_btf2_im_reg(16 DOWNTO 0);
dout_13_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_13_re <= std_logic_vector(dout_13_re_tmp);
dout_13_im <= std_logic_vector(dout_13_im_tmp);
dout_14_re <= std_logic_vector(dout_14_re_tmp);
dout_14_im <= std_logic_vector(dout_14_im_tmp);
END rtl;
|
---------------------------------------------------------------------------
-- Copyright © 2010 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: cpu.vhd
-- Creation Date: 22:15:23 2010-06-30
-- Description:
-- Top level of the CPU proper, combining all the various modules
-- including Processor, Storage, Multiplexor and (eventually) Selector(s)
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2010-07-09
-- Initial Release
--
--
---------------------------------------------------------------------------
library IEEE;
library UNISIM;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
USE work.Buses_package.all;
use UNISIM.vcomponents.all;
use work.all;
entity cpu is
Port (
WX_IND : OUT std_logic_vector(0 to 12);
W_IND_P : OUT std_logic;
X_IND_P : OUT std_logic;
IND_SALS : OUT SALS_Bus;
IND_EX,IND_CY_MATCH,IND_ALLOW_WR,IND_1050_INTRV,IND_1050_REQ,IND_MPX,IND_SEL_CHNL : OUT STD_LOGIC;
IND_MSDR : OUT STD_LOGIC_VECTOR(0 to 7);
IND_MSDR_P : OUT STD_LOGIC;
IND_OPNL_IN : OUT STD_LOGIC;
IND_ADDR_IN : OUT STD_LOGIC;
IND_STATUS_IN : OUT STD_LOGIC;
IND_SERV_IN : OUT STD_LOGIC;
IND_SEL_OUT : OUT STD_LOGIC;
IND_ADDR_OUT : OUT STD_LOGIC;
IND_CMMD_OUT : OUT STD_LOGIC;
IND_SERV_OUT : OUT STD_LOGIC;
IND_SUPPR_OUT : OUT STD_LOGIC;
IND_FO : OUT STD_LOGIC_VECTOR(0 to 7);
IND_FO_P: OUT STD_LOGIC;
IND_A : OUT STD_LOGIC_VECTOR(0 to 8);
IND_B : OUT STD_LOGIC_VECTOR(0 to 8);
IND_ALU : OUT STD_LOGIC_VECTOR(0 to 8);
IND_M : OUT STD_LOGIC_VECTOR(0 to 8);
IND_N : OUT STD_LOGIC_VECTOR(0 to 8);
IND_MAIN_STG : OUT STD_LOGIC;
IND_LOC_STG : OUT STD_LOGIC;
IND_COMP_MODE : OUT STD_LOGIC;
IND_CHK_A_REG : OUT STD_LOGIC;
IND_CHK_B_REG : OUT STD_LOGIC;
IND_CHK_STOR_ADDR : OUT STD_LOGIC;
IND_CHK_CTRL_REG : OUT STD_LOGIC;
IND_CHK_ROS_SALS : OUT STD_LOGIC;
IND_CHK_ROS_ADDR : OUT STD_LOGIC;
IND_CHK_STOR_DATA : OUT STD_LOGIC;
IND_CHK_ALU : OUT STD_LOGIC;
IND_SYST : OUT STD_LOGIC;
IND_MAN : OUT STD_LOGIC;
IND_WAIT : OUT STD_LOGIC;
IND_TEST : OUT STD_LOGIC;
IND_LOAD : OUT STD_LOGIC;
SW_START,SW_LOAD,SW_SET_IC,SW_STOP,SW_POWER_OFF : IN std_logic;
SW_INH_CF_STOP,SW_PROC,SW_SCAN : IN std_logic;
SW_SINGLE_CYCLE,SW_INSTRUCTION_STEP,SW_RATE_SW_PROCESS : IN std_logic;
SW_LAMP_TEST,SW_DSPLY,SW_STORE,SW_SYS_RST : IN STD_LOGIC;
SW_CHK_RST,SW_ROAR_RST,SW_CHK_RESTART,SW_DIAGNOSTIC : IN STD_LOGIC;
SW_CHK_STOP,SW_CHK_SW_PROCESS,SW_CHK_SW_DISABLE,SW_ROAR_RESTT_STOR_BYPASS : IN STD_LOGIC;
SW_ROAR_RESTT,SW_ROAR_RESTT_WITHOUT_RST,SW_EARLY_ROAR_STOP,SW_ROAR_STOP : IN STD_LOGIC;
SW_ROAR_SYNC,SW_ADDR_COMP_PROC,SW_SAR_DLYD_STOP,SW_SAR_STOP,SW_SAR_RESTART : IN STD_LOGIC;
SW_INTRP_TIMER, SW_CONS_INTRP : IN STD_LOGIC;
SW_A,SW_B,SW_C,SW_D,SW_F,SW_G,SW_H,SW_J : IN STD_LOGIC_VECTOR(0 to 3);
SW_AP,SW_BP,SW_CP,SW_DP,SW_FP,SW_GP,SW_HP,SW_JP : IN STD_LOGIC;
E_SW : E_SW_BUS_Type;
MPX_BUS_O : OUT STD_LOGIC_VECTOR(0 to 8);
MPX_BUS_I : IN STD_LOGIC_VECTOR(0 to 8);
MPX_TAGS_O : OUT MPX_TAGS_OUT;
MPX_TAGS_I : IN MPX_TAGS_IN;
DEBUG : OUT STD_LOGIC;
USE_MAN_DECODER_PWR : OUT STD_LOGIC;
N60_CY_TIMER_PULSE : IN STD_LOGIC;
M_CONV_OSC : OUT STD_LOGIC;
SwSlow : in std_logic;
clk : in std_logic);
end cpu;
architecture FMD of cpu is
-- Outputs from UDC1 (5-01 through 5-05)
signal sSALS : SALS_Bus;
signal CTRL : CTRL_REG;
signal T1,T2,T3,T4 : std_logic;
signal SEL_T1, SEL_T3, SEL_T4 : std_logic;
signal P1,P2,P3,P4 : std_logic;
signal A_BUS, B_BUS : std_logic_vector(0 to 8);
signal CLOCK_START : std_logic;
signal CLOCK_ON : std_logic;
signal STORE_S_REG_RST : std_logic; -- 03DC2
signal CTRL_REG_RST : std_logic; -- 01CB2
signal TO_KEY_SW : std_logic;
signal METERING_OUT : std_logic;
signal GT_1050_TAGS : std_logic;
signal GT_1050_BUS : std_logic;
signal SET_IND_ROSAR : STD_LOGIC;
signal GT_LOCAL_STORAGE : STD_LOGIC;
signal GT_T_REG_TO_MN : STD_LOGIC;
signal GT_CK_TO_MN : STD_LOGIC;
signal N_STACK_MEM_SELECT : STD_LOGIC;
signal WX_CHK : STD_LOGIC;
-- Outputs from UDC2 (5-06 through 5-09C)
signal Z_BUS,R : std_logic_vector(0 to 8);
signal MN : std_logic_vector(0 to 15);
signal CLOCK_OFF : std_logic;
signal A_REG_PC : std_logic;
signal MN_PC : std_logic;
signal Z0_BUS_0 : std_logic;
signal Z_0 : std_logic;
signal N_CTRL_N : std_logic;
signal ALU_CHK_LCH : std_logic;
signal SELECT_CPU_BUMP : std_logic;
signal sMPX_BUS_O : std_logic_vector(0 to 8);
-- Outputs from UDC3 (5-10A through 5-14D)
signal SEL_WR_CALL : STD_LOGIC := '0';
signal SX1_SHARE_CYCLE : STD_LOGIC := '0';
signal SX2_SHARE_CYCLE : STD_LOGIC := '0';
signal SEL_AUX_WR_CALL : STD_LOGIC := '0';
signal SEL_AUX_RD_CALL : STD_LOGIC := '0';
signal SEL_CONV_OSC : STD_LOGIC;
signal SEL_BASIC_CLOCK_OFF : STD_LOGIC;
signal SEL_SHARE_HOLD : STD_LOGIC := '0';
signal SEL_SHARE_CYCLE : STD_LOGIC := '0';
signal SEL_CHNL_DATA_XFER : STD_LOGIC := '0';
signal SEL_ROS_REQ : STD_LOGIC := '0';
signal SEL_READ_CALL : STD_LOGIC := '0';
signal SEL_RD_WR_CTRL : STD_LOGIC := '0';
signal SEL_RD_CALL_TO_STP : STD_LOGIC := '0';
signal SEL_CC_ROS_REQ : STD_LOGIC := '0';
signal MAN_DSPLY_GUV_HUV : STD_LOGIC := '0';
signal HSMPX_TRAP : STD_LOGIC := '0';
-- Inputs to UDC3
signal SEL_DATA_READY : STD_LOGIC;
signal SEL_CHNL_CPU_CLOCK_STOP : STD_LOGIC;
signal RST_SEL_CHNL_DIAG_LCHS : STD_LOGIC;
signal LOAD_REQ_LCH : STD_LOGIC;
signal USE_GR_OR_HR : STD_LOGIC;
signal SX_CHAIN_PULSE_1 : STD_LOGIC;
signal CHK_RST_SW : STD_LOGIC;
signal S : std_logic_vector(0 to 7);
signal sM_CONV_OSC,P_CONV_OSC,M_CONV_OSC_2 : std_logic;
signal MACH_RST_2A,MACH_RST_2B,MACH_RST_3, MACH_RST_6 : std_logic;
signal CARRY_0 : STD_LOGIC;
signal COMPLEMENT,NTRUE : STD_LOGIC;
signal FT0,FT1,FT2,FT3,FT5,FT6,FT7 : STD_LOGIC;
signal M_ASSM_BUS1, N_ASSM_BUS1 : STD_LOGIC_VECTOR(0 to 8);
signal M_ASSM_BUS2, N_ASSM_BUS2 : STD_LOGIC_VECTOR(0 to 8);
signal M_ASSM_BUS3, N_ASSM_BUS3 : STD_LOGIC_VECTOR(0 to 8) := "000000000";
signal N1050_INTRV_REQ : STD_LOGIC := '0';
signal TT6_POS_ATTN : STD_LOGIC := '0';
signal FT2_MPX_OPNL : STD_LOGIC := '0';
signal MPX_METERING_IN,METER_IN_SX1,METER_IN_SX2 : STD_LOGIC;
signal KEY_SW : STD_LOGIC;
signal GT_SWS_TO_WX_PWR : STD_LOGIC;
signal GT_MAN_SET_MN : STD_LOGIC;
signal EXT_TRAP_MASK_ON : STD_LOGIC;
signal MANUAL_STORE,MAN_STOR_OR_DSPLY : STD_LOGIC;
signal RECYCLE_RST : STD_LOGIC;
signal T_REQUEST : STD_LOGIC := '0';
signal MACH_RST_SET_LCH : STD_LOGIC;
signal RST_LOAD : STD_LOGIC;
signal CARRY_0_LCHD,CARRY_1_LCHD : STD_LOGIC;
signal ALU_CHK : STD_LOGIC;
signal CTRL_N,N_CTRL_LM : STD_LOGIC;
signal SX1_RD_CYCLE,SX2_RD_CYCLE : STD_LOGIC;
signal SX1_WR_CYCLE,SX2_WR_CYCLE : STD_LOGIC;
signal GT_DETECTORS_TO_HR : STD_LOGIC;
signal CPU_RD_PWR : STD_LOGIC;
signal XH,XL,XXH : STD_LOGIC;
signal SET_FW : STD_LOGIC;
signal keyboard_data : STD_LOGIC_VECTOR(7 downto 0);
signal keyboard_error : STD_LOGIC;
signal USE_MANUAL_DECODER : STD_LOGIC;
signal sUSE_MAN_DECODER_PWR : STD_LOGIC;
signal LOCAL_STORAGE_CP, MAIN_STORAGE_CP : STD_LOGIC;
signal STACK_RD_WR_CONTROL : STD_LOGIC;
signal H_REG_5_PWR : STD_LOGIC;
signal FORCE_M_REG_123 : STD_LOGIC;
signal N_SEL_SHARE_HOLD : STD_LOGIC;
signal GK,HK : STD_LOGIC_VECTOR(0 to 3);
signal PROT_LOC_CPU_OR_MPX : STD_LOGIC;
signal PROT_LOC_SEL_CHNL : STD_LOGIC;
signal EARLY_M_REG_0 : STD_LOGIC;
signal ODD : STD_LOGIC; -- 06B to 04A
signal SUPPR_A_REG_CHK : STD_LOGIC;
signal STATUS_IN_LCHD : STD_LOGIC;
signal M_REG_0 : STD_LOGIC;
signal SYS_RST_PRIORITY_LCH : STD_LOGIC;
signal STORE_R : STD_LOGIC;
signal SAL_PC : STD_LOGIC;
signal R_REG_PC : STD_LOGIC;
signal N2ND_ERROR_STOP : STD_LOGIC;
signal MEM_WRAP : STD_LOGIC;
signal MACH_RST_PROT : STD_LOGIC;
signal MACH_RST_MPX : STD_LOGIC;
signal GM_WM_DETECTED : STD_LOGIC;
signal FIRST_MACH_CHK_REQ : STD_LOGIC;
signal FIRST_MACH_CHK : STD_LOGIC;
signal DECIMAL : STD_LOGIC;
signal INTRODUCE_ALU_CHK : STD_LOGIC;
signal SERV_IN_LCHD, ADDR_IN_LCHD, OPNL_IN_LCHD : STD_LOGIC;
signal MPX_SHARE_REQ, MPX_INTERRUPT : STD_LOGIC;
signal CS_DECODE_X001 : STD_LOGIC;
signal SX1_INTERRUPT, SX2_INTERRUPT : STD_LOGIC;
signal SX_1_GATE, SX_2_GATE : STD_LOGIC;
signal SX_1_R_W_CTRL, SX_2_R_W_CTRL : STD_LOGIC;
signal SX_2_BUMP_SW_GT : STD_LOGIC;
signal FT3_MPX_SHARE_REQ : STD_LOGIC;
signal CONNECT : STD_LOGIC;
signal P_8F_DETECTED : STD_LOGIC;
signal BASIC_CS0 : STD_LOGIC;
signal USE_R : STD_LOGIC;
signal ANY_MACH_CHK : STD_LOGIC;
signal USE_MAIN_MEMORY, USE_LOCAL_MAIN_MEMORY : STD_LOGIC;
signal ALLOW_PROTECT : STD_LOGIC;
signal USE_BASIC_CA_DECO, USE_ALT_CA_DECODER : STD_LOGIC;
signal ALLOW_PC_SALS : STD_LOGIC;
signal SUPPR_MACH_CHK_TRAP : STD_LOGIC;
signal N1401_MODE : STD_LOGIC;
signal MEM_PROTECT_REQUEST : STD_LOGIC;
signal MANUAL_DISPLAY : STD_LOGIC;
signal MAIN_STORAGE : STD_LOGIC;
signal MACH_RST_SET_LCH_DLY : STD_LOGIC;
signal MACH_RST_SW : STD_LOGIC;
signal MACH_CHK_RST : STD_LOGIC;
signal MACH_CHK_PULSE : STD_LOGIC;
signal GT_D_REG_TO_A_BUS : STD_LOGIC;
signal GT_CA_TO_W_REG : STD_LOGIC;
signal DATA_READY : STD_LOGIC;
signal CTRL_REG_CHK : STD_LOGIC;
signal CPU_WRITE_IN_R_REG : STD_LOGIC;
signal CPU_SET_ALLOW_WR_LCH : STD_LOGIC;
signal ANY_PRIORITY_LCH : STD_LOGIC;
signal ALLOW_WRITE_DLYD : STD_LOGIC;
signal ALLOW_WRITE : STD_LOGIC;
signal STORE_HR : STD_LOGIC;
signal STORE_GR : STD_LOGIC;
signal SEL_R_W_CTRL : STD_LOGIC;
signal SEL_CHNL_CHK : STD_LOGIC;
signal HR_REG_0_7, GR_REG_0_7 : STD_LOGIC_VECTOR(0 to 7);
signal STORE_BITS : STD_LOGIC_VECTOR(0 to 8); -- 8 is P
signal HR_REG_P_BIT : STD_LOGIC;
signal GR_REG_P_BIT : STD_LOGIC;
signal GT_DETECTORS_TO_GR : STD_LOGIC;
signal EVEN_HR_0_7_BITS, EVEN_GR_0_7_BITS : STD_LOGIC;
signal CHANNEL_RD_CALL : STD_LOGIC;
signal MPX_ROS_LCH : STD_LOGIC;
signal CK_SAL_P_BIT_TO_MPX : STD_LOGIC;
signal STG_MEM_SEL : STD_LOGIC;
signal GATED_CA_BITS : STD_LOGIC_VECTOR(0 to 3);
signal CLOCK_START_LCH : STD_LOGIC;
signal LOAD_IND : STD_LOGIC;
signal CLOCK_OUT : STD_LOGIC;
signal READ_ECHO_1, READ_ECHO_2, WRITE_ECHO_1, WRITE_ECHO_2 : STD_LOGIC;
signal DIAGNOSTIC_SW : STD_LOGIC;
begin
firstBit: entity udc1 (FMD) port map (
SALS => sSALS,
CTRL => CTRL,
WX_IND => WX_IND,
X_IND_P => X_IND_P,
W_IND_P => W_IND_P,
A_BUS => A_BUS,
B_BUS => B_BUS,
Z_BUS => Z_BUS,
MPX_BUS => sMPX_BUS_O,
S => S,
R => R,
MN => MN,
M_ASSM_BUS => M_ASSM_BUS1,
N_ASSM_BUS => N_ASSM_BUS1,
SW_START => SW_START,
SW_LOAD => SW_LOAD,
SW_SET_IC => SW_SET_IC,
SW_STOP => SW_STOP,
SW_INH_CF_STOP => SW_INH_CF_STOP,
SW_PROC => SW_PROC,
SW_SCAN => SW_SCAN,
SW_SINGLE_CYCLE => SW_SINGLE_CYCLE,
SW_INSTRUCTION_STEP => SW_INSTRUCTION_STEP,
SW_RATE_SW_PROCESS => SW_RATE_SW_PROCESS,
SW_PWR_OFF => SW_POWER_OFF,
SW_LAMP_TEST => SW_LAMP_TEST,
SW_DSPLY => SW_DSPLY,
SW_STORE => SW_STORE,
SW_SYS_RST => SW_SYS_RST,
SW_CHK_RST => SW_CHK_RST,
SW_ROAR_RST => SW_ROAR_RST,
SW_CHK_RESTART => SW_CHK_RESTART,
SW_DIAGNOSTIC => SW_DIAGNOSTIC,
SW_CHK_STOP => SW_CHK_STOP,
SW_CHK_SW_PROCESS => SW_CHK_SW_PROCESS,
SW_CHK_SW_DISABLE => SW_CHK_SW_DISABLE,
SW_ROAR_RESTT_STOR_BYPASS => SW_ROAR_RESTT_STOR_BYPASS,
SW_ROAR_RESTT => SW_ROAR_RESTT,
SW_ROAR_RESTT_WITHOUT_RST => SW_ROAR_RESTT_WITHOUT_RST,
SW_EARLY_ROAR_STOP => SW_EARLY_ROAR_STOP,
SW_ROAR_STOP => SW_ROAR_STOP,
SW_ROAR_SYNC => SW_ROAR_SYNC,
SW_ADDR_COMP_PROC => SW_ADDR_COMP_PROC,
SW_SAR_DLYD_STOP => SW_SAR_DLYD_STOP,
SW_SAR_STOP => SW_SAR_STOP,
SW_SAR_RESTART => SW_SAR_RESTART,
SW_INTRP_TIMER => SW_INTRP_TIMER,
SW_CONS_INTRP => SW_CONS_INTRP,
SW_A => SW_A,SW_B => SW_B,SW_C => SW_C,SW_D => SW_D,
SW_F => SW_F,SW_G => SW_G,SW_H => SW_H,SW_J => SW_J,
SW_AP => SW_AP,SW_BP => SW_BP,SW_CP => SW_CP,SW_DP => SW_DP,
SW_FP => SW_FP,SW_GP => SW_GP,SW_HP => SW_HP,SW_JP => SW_JP,
TO_KEY_SW => TO_KEY_SW,
E_SW => E_SW, -- Main E switch bus
IND_SYST => IND_SYST,
IND_MAN => IND_MAN,
IND_WAIT => IND_WAIT,
IND_TEST => IND_TEST,
IND_LOAD => IND_LOAD,
IND_EX => IND_EX,
IND_CY_MATCH => IND_CY_MATCH,
IND_ALLOW_WR => IND_ALLOW_WR,
IND_1050_INTRV => IND_1050_INTRV,
IND_1050_REQ => IND_1050_REQ,
IND_MPX => IND_MPX,
IND_SEL_CHNL => IND_SEL_CHNL,
IND_MSDR => IND_MSDR,
IND_MSDR_P => IND_MSDR_P,
CARRY_0 => CARRY_0,
CARRY_0_LCHD => CARRY_0_LCHD,
CARRY_1_LCHD => CARRY_1_LCHD,
COMPLEMENT => COMPLEMENT,
NTRUE => NTRUE,
MPX_METERING_IN => MPX_METERING_IN,
CLOCK_OUT => CLOCK_OUT,
METERING_OUT => METERING_OUT,
METER_IN_SX1 => METER_IN_SX1,
METER_IN_SX2 => METER_IN_SX2,
KEY_SW => KEY_SW,
N60_CY_TIMER_PULSE => N60_CY_TIMER_PULSE,
N1050_INTRV_REQ => N1050_INTRV_REQ,
GT_1050_TAGS => GT_1050_TAGS,
GT_1050_BUS => GT_1050_BUS,
TT6_POS_ATTN => TT6_POS_ATTN,
FT2_MPX_OPNL => FT2_MPX_OPNL,
EXT_TRAP_MASK_ON => EXT_TRAP_MASK_ON,
FT0 => FT0,
FT1 => FT1,
FT2 => FT2,
FT3 => FT3,
FT5 => FT5,
FT6 => FT6,
FT7 => FT7,
MANUAL_STORE => MANUAL_STORE,
RECYCLE_RST => RECYCLE_RST,
ALU_CHK => ALU_CHK,
CTRL_N => CTRL_N,
N_CTRL_N => N_CTRL_N,
N_CTRL_LM => N_CTRL_LM,
STORE_S_REG_RST => STORE_S_REG_RST,
MAIN_STORAGE_CP => MAIN_STORAGE_CP,
LOCAL_STORAGE_CP => LOCAL_STORAGE_CP,
SET_IND_ROSAR => SET_IND_ROSAR,
USE_MAN_DECODER_PWR => sUSE_MAN_DECODER_PWR,
N_STACK_MEM_SELECT => N_STACK_MEM_SELECT,
STACK_RD_WR_CONTROL => STACK_RD_WR_CONTROL,
H_REG_5_PWR => H_REG_5_PWR,
FORCE_M_REG_123 => FORCE_M_REG_123,
GT_LOCAL_STORAGE => GT_LOCAL_STORAGE,
GT_T_TO_MN_REG => GT_T_REG_TO_MN,
GT_CK_TO_MN_REG => GT_CK_TO_MN,
SX1_SHARE_CYCLE => SX1_SHARE_CYCLE,
SX2_SHARE_CYCLE => SX2_SHARE_CYCLE,
PROT_LOC_CPU_OR_MPX => PROT_LOC_CPU_OR_MPX,
WX_CHK => WX_CHK,
EARLY_M_REG_0 => EARLY_M_REG_0,
ODD => ODD,
XH => XH,
XL => XL,
XXH => XXH,
SUPPR_A_REG_CHK => SUPPR_A_REG_CHK,
STATUS_IN_LCHD => STATUS_IN_LCHD,
M_REG_0 => M_REG_0,
SYS_RST_PRIORITY_LCH => SYS_RST_PRIORITY_LCH,
STORE_R => STORE_R,
SAL_PC => SAL_PC,
R_REG_PC => R_REG_PC,
RST_LOAD => RST_LOAD,
N2ND_ERROR_STOP => N2ND_ERROR_STOP,
MEM_WRAP => MEM_WRAP,
MACH_RST_PROT => MACH_RST_PROT,
MACH_RST_MPX => MACH_RST_MPX,
MACH_RST_2A => MACH_RST_2A,
MACH_RST_2B => MACH_RST_2B,
MACH_RST_3 => MACH_RST_3,
MACH_RST_6 => MACH_RST_6,
GM_WM_DETECTED => GM_WM_DETECTED,
FIRST_MACH_CHK_REQ => FIRST_MACH_CHK_REQ,
FIRST_MACH_CHK => FIRST_MACH_CHK,
DECIMAL => DECIMAL,
INTRODUCE_ALU_CHK => INTRODUCE_ALU_CHK,
SERV_IN_LCHD => SERV_IN_LCHD,
ADDR_IN_LCHD => ADDR_IN_LCHD,
OPNL_IN_LCHD => OPNL_IN_LCHD,
MPX_SHARE_REQ => MPX_SHARE_REQ,
MPX_INTERRUPT => MPX_INTERRUPT,
CS_DECODE_X001 => CS_DECODE_X001,
CLOCK_OFF => CLOCK_OFF,
CONNECT => CONNECT,
P_8F_DETECTED => P_8F_DETECTED,
BASIC_CS0 => BASIC_CS0,
ANY_MACH_CHK => ANY_MACH_CHK,
ALU_CHK_LCH => ALU_CHK_LCH,
ALLOW_PROTECT => ALLOW_PROTECT,
ALLOW_PC_SALS => ALLOW_PC_SALS,
USE_R => USE_R,
USE_BASIC_CA_DECODER => USE_BASIC_CA_DECO,
USE_ALT_CA_DECODER => USE_ALT_CA_DECODER,
SUPPR_MACH_CHK_TRAP => SUPPR_MACH_CHK_TRAP,
SEL_DATA_READY => SEL_DATA_READY,
N1401_MODE => N1401_MODE,
STG_MEM_SEL => STG_MEM_SEL,
MEM_PROT_REQUEST => MEM_PROTECT_REQUEST,
MANUAL_DISPLAY => MANUAL_DISPLAY,
MAIN_STORAGE => MAIN_STORAGE,
MACH_RST_SET_LCH_DLY => MACH_RST_SET_LCH_DLY,
MACH_RST_SET_LCH => MACH_RST_SET_LCH,
MACH_CHK_RST => MACH_CHK_RST,
MACH_CHK_PULSE => MACH_CHK_PULSE,
GT_D_REG_TO_A_BUS => GT_D_REG_TO_A_BUS,
GT_CA_TO_W_REG => GT_CA_TO_W_REG,
DATA_READY => DATA_READY,
CTRL_REG_CHK => CTRL_REG_CHK,
CPU_WRITE_IN_R_REG => CPU_WRITE_IN_R_REG,
CPU_SET_ALLOW_WR_LCH => CPU_SET_ALLOW_WR_LCH,
ANY_PRIORITY_LCH => ANY_PRIORITY_LCH,
ALLOW_WRITE => ALLOW_WRITE,
ALLOW_WRITE_DLYD => ALLOW_WRITE_DLYD,
GT_MAN_SET_MN => GT_MAN_SET_MN,
MPX_ROS_LCH => MPX_ROS_LCH,
CTRL_REG_RST => CTRL_REG_RST,
CK_SAL_P_BIT_TO_MPX => CK_SAL_P_BIT_TO_MPX,
CHANNEL_RD_CALL => CHANNEL_RD_CALL,
GTD_CA_BITS => GATED_CA_BITS,
Z0_BUS_0 => Z0_BUS_0,
Z_0 => Z_0,
USE_MANUAL_DECODER => USE_MANUAL_DECODER,
USE_MAIN_MEMORY => USE_MAIN_MEMORY,
USE_LOC_MAIN_MEM => USE_LOCAL_MAIN_MEMORY,
SELECT_CPU_BUMP => SELECT_CPU_BUMP,
MAN_STOR_OR_DSPLY => MAN_STOR_OR_DSPLY,
GT_SWS_TO_WX_PWR => GT_SWS_TO_WX_PWR,
CPU_RD_PWR => CPU_RD_PWR,
LOAD_IND => LOAD_IND,
SET_FW => SET_FW,
MACH_RST_SW => MACH_RST_SW,
LOAD_REQ_LCH => LOAD_REQ_LCH,
USE_GR_OR_HR => USE_GR_OR_HR,
SX_CHAIN_PULSE_1 => SX_CHAIN_PULSE_1,
CHK_RST_SW => CHK_RST_SW,
DIAGNOSTIC_SW => DIAGNOSTIC_SW,
MAN_DSPLY_GUV_HUV => MAN_DSPLY_GUV_HUV,
HSMPX_TRAP => HSMPX_TRAP,
READ_ECHO_1 => READ_ECHO_1,
READ_ECHO_2 => READ_ECHO_2,
WRITE_ECHO_1 => WRITE_ECHO_1,
WRITE_ECHO_2 => WRITE_ECHO_2,
SX_1_R_W_CTRL => SX_1_R_W_CTRL,
SX_2_R_W_CTRL => SX_2_R_W_CTRL,
SX_2_BUMP_SW_GT => SX_2_BUMP_SW_GT,
SEL_WR_CALL => SEL_WR_CALL,
SEL_AUX_WR_CALL => SEL_AUX_WR_CALL,
SEL_AUX_RD_CALL => SEL_AUX_RD_CALL,
SEL_T1 => SEL_T1,
SEL_T4 => SEL_T4,
SEL_CONV_OSC => SEL_CONV_OSC,
SEL_BASIC_CLOCK_OFF => SEL_BASIC_CLOCK_OFF,
SEL_SHARE_HOLD => SEL_SHARE_HOLD,
SEL_SHARE_CYCLE => SEL_SHARE_CYCLE,
SEL_CHNL_DATA_XFER => SEL_CHNL_DATA_XFER,
SEL_ROS_REQ => SEL_ROS_REQ,
SEL_READ_CALL => SEL_READ_CALL,
SEL_RD_WR_CTRL => SEL_RD_WR_CTRL,
SEL_RD_CALL_TO_STP => SEL_RD_CALL_TO_STP,
SEL_CHNL_CPU_CLOCK_STOP => SEL_CHNL_CPU_CLOCK_STOP,
RST_SEL_CHNL_DIAG_LCHS => RST_SEL_CHNL_DIAG_LCHS,
SEL_CC_ROS_REQ => SEL_CC_ROS_REQ,
SX1_INTERRUPT => SX1_INTERRUPT,
SX2_INTERRUPT => SX2_INTERRUPT,
SX_1_GATE => SX_1_GATE,
SX_2_GATE => SX_2_GATE,
CLOCK_ON => CLOCK_ON,
M_CONV_OSC => sM_CONV_OSC,
P_CONV_OSC => P_CONV_OSC,
M_CONV_OSC_2 => M_CONV_OSC_2,
CLOCK_START => CLOCK_START,
CLOCK_START_LCH => CLOCK_START_LCH,
-- UDC1 Debug stuff
DEBUG => DEBUG,
-- End of Debug stuff
T1 => T1,
T2 => T2,
T3 => T3,
T4 => T4,
P1 => P1,
P4 => P4,
CLK => CLK
);
IND_SALS <= sSALS;
USE_MAN_DECODER_PWR <= sUSE_MAN_DECODER_PWR;
secondBit: entity udc2 (FMD) port map (
SALS => sSALS,
CTRL => CTRL,
A_BUS1 => A_BUS,
B_BUS => B_BUS,
Z_BUS => Z_BUS,
E_BUS => E_SW,
M_ASSM_BUS => M_ASSM_BUS2,
N_ASSM_BUS => N_ASSM_BUS2,
S => S,
R => R,
MN => MN,
Sw_Slow => SwSlow,
CLOCK_START => CLOCK_START,
MACH_RST_3 => MACH_RST_3,
MACH_RST_6 => MACH_RST_6,
MANUAL_STORE => MANUAL_STORE,
RECYCLE_RST => RECYCLE_RST,
CLOCK_IN => clk,
M_CONV_OSC => sM_CONV_OSC,
P_CONV_OSC => P_CONV_OSC,
M_CONV_OSC_2 => M_CONV_OSC_2,
CLOCK_ON => CLOCK_ON,
LAMP_TEST => SW_LAMP_TEST,
MAN_STOR_OR_DSPLY => MAN_STOR_OR_DSPLY,
MACH_RST_SET_LCH => MACH_RST_SET_LCH,
DIAG_SW => DIAGNOSTIC_SW,
CHK_SW_PROC_SW => SW_CHK_SW_PROCESS,
ROS_SCAN => SW_SCAN,
GT_SWS_TO_WX_PWR => GT_SWS_TO_WX_PWR,
RST_LOAD => RST_LOAD,
SYSTEM_RST_PRIORITY_LCH => SYS_RST_PRIORITY_LCH,
CARRY_0_LATCHED => CARRY_0_LCHD,
CARRY_1_LCHD => CARRY_1_LCHD,
ALU_CHK => ALU_CHK,
NTRUE => NTRUE,
COMPLEMENT => COMPLEMENT,
P_CTRL_N => CTRL_N,
N_CTRL_LM => N_CTRL_LM,
SX1_RD_CYCLE => SX1_RD_CYCLE,
SX2_RD_CYCLE => SX2_RD_CYCLE,
SX1_WR_CYCLE => SX1_WR_CYCLE,
SX2_WR_CYCLE => SX2_WR_CYCLE,
SX1_SHARE_CYCLE => SX1_SHARE_CYCLE,
SX2_SHARE_CYCLE => SX2_SHARE_CYCLE,
CPU_RD_PWR => CPU_RD_PWR,
GT_MAN_SET_MN => GT_MAN_SET_MN,
CHNL_RD_CALL => CHANNEL_RD_CALL,
XH => XH,
XL => XL,
XXH => XXH,
MAN_STOR_PWR => MANUAL_STORE,
STORE_S_REG_RST => STORE_S_REG_RST,
E_SW_SEL_S => E_SW.S_SEL,
CTRL_REG_RST => CTRL_REG_RST,
CLOCK_OFF => CLOCK_OFF,
A_REG_PC => A_REG_PC,
Z0_BUS_0 => Z0_BUS_0,
Z_0 => Z_0,
P_CONNECT => CONNECT,
N_CTRL_N => N_CTRL_N,
ALU_CHK_LCH => ALU_CHK_LCH,
MN_PC => MN_PC,
SET_IND_ROSAR => SET_IND_ROSAR,
N_STACK_MEMORY_SELECT => N_STACK_MEM_SELECT,
STACK_RD_WR_CONTROL => STACK_RD_WR_CONTROL,
H_REG_5_PWR => H_REG_5_PWR,
FORCE_M_REG_123 => FORCE_M_REG_123,
GT_LOCAL_STORAGE => GT_LOCAL_STORAGE,
GT_T_REG_TO_MN => GT_T_REG_TO_MN, -- from 05B
GT_CK_TO_MN => GT_CK_TO_MN,
MAIN_STG_CP_1 => MAIN_STORAGE_CP,
N_STACK_MEM_SELECT => N_STACK_MEM_SELECT,
SEL_CPU_BUMP => SELECT_CPU_BUMP,
PROTECT_LOC_CPU_OR_MPX => PROT_LOC_CPU_OR_MPX,
PROTECT_LOC_SEL_CHNL => PROT_LOC_SEL_CHNL,
WX_CHK => WX_CHK,
EARLY_M0 => EARLY_M_REG_0,
ODD => ODD,
SUPPR_A_REG_CHK => SUPPR_A_REG_CHK,
STATUS_IN_LCHD => STATUS_IN_LCHD,
STORE_R => STORE_R,
SALS_PC => SAL_PC,
R_REG_PC => R_REG_PC,
N2ND_ERROR_STOP => N2ND_ERROR_STOP,
MEM_WRAP => MEM_WRAP,
USE_R => USE_R,
USE_MAIN_MEM => USE_MAIN_MEMORY,
USE_LOC_MAIN_MEM => USE_LOCAL_MAIN_MEMORY,
USE_BASIC_CA_DECO => USE_BASIC_CA_DECO,
USE_ALT_CA_DECODER => USE_ALT_CA_DECODER,
SUPPR_MACH_CHK_TRAP => SUPPR_MACH_CHK_TRAP,
SEL_DATA_READY => SEL_DATA_READY,
N1401_MODE => N1401_MODE,
STG_MEM_SELECT => STG_MEM_SEL,
MEM_PROT_REQUEST => MEM_PROTECT_REQUEST,
MANUAL_DISPLAY => MANUAL_DISPLAY,
MAIN_STG => MAIN_STORAGE,
MACH_RST_SW => MACH_RST_SW,
MACH_RST_SET_LCH_DLY => MACH_RST_SET_LCH_DLY,
MACH_CHK_RST => MACH_CHK_RST,
MACH_CHK_PULSE => MACH_CHK_PULSE,
LOCAL_STG => LOCAL_STORAGE_CP,
GT_D_REG_TO_A_BUS => GT_D_REG_TO_A_BUS,
GT_CA_TO_W_REG => GT_CA_TO_W_REG,
DATA_READY => DATA_READY,
CTRL_REG_CHK => CTRL_REG_CHK,
CPU_WR_IN_R_REG => CPU_WRITE_IN_R_REG,
CPU_SET_ALLOW_WR_LCH => CPU_SET_ALLOW_WR_LCH,
ANY_PRIORITY_LCH => ANY_PRIORITY_LCH,
ALLOW_WRITE_DLYD => ALLOW_WRITE_DLYD,
ALLOW_WRITE => ALLOW_WRITE,
T_REQUEST => T_REQUEST,
P_8F_DETECTED => P_8F_DETECTED,
CHK_SW_DISABLE => SW_CHK_SW_DISABLE,
USE_MANUAL_DECODER => USE_MANUAL_DECODER,
GATED_CA_BITS => GATED_CA_BITS,
FIRST_MACH_CHK_REQ => FIRST_MACH_CHK_REQ,
FIRST_MACH_CHK => FIRST_MACH_CHK,
EXT_TRAP_MASK_ON => EXT_TRAP_MASK_ON,
MACH_RST_2A => MACH_RST_2A,
MACH_RST_2B => MACH_RST_2B,
BASIC_CS0 => BASIC_CS0,
ANY_MACH_CHK => ANY_MACH_CHK,
ALLOW_PC_SALS => ALLOW_PC_SALS,
CARRY_0 => CARRY_0,
ALLOW_PROTECT => ALLOW_PROTECT,
CS_DECODE_X001 => CS_DECODE_X001,
DECIMAL => DECIMAL,
M_REG_0 => M_REG_0,
MACH_RST_PROT => MACH_RST_PROT,
INTRODUCE_ALU_CHK => INTRODUCE_ALU_CHK,
MPX_ROS_LCH => MPX_ROS_LCH,
FT7 => FT7,
FT6 => FT6,
FT5 => FT5,
FT2 => FT2,
FT0 => FT0,
FT3 => FT3,
MPX_INTERRUPT => MPX_INTERRUPT,
MPX_METERING_IN => MPX_METERING_IN,
STORE_BITS => STORE_BITS,
READ_ECHO_1 => READ_ECHO_1,
READ_ECHO_2 => READ_ECHO_2,
WRITE_ECHO_1 => WRITE_ECHO_1,
WRITE_ECHO_2 => WRITE_ECHO_2,
SERV_IN_LCHD => SERV_IN_LCHD,
ADDR_IN_LCHD => ADDR_IN_LCHD,
OPNL_IN_LCHD => OPNL_IN_LCHD,
MACH_RST_MPX => MACH_RST_MPX,
SET_FW => SET_FW,
MPX_SHARE_REQ => MPX_SHARE_REQ,
LOAD_IND => LOAD_IND,
CLOCK_OUT => CLOCK_OUT,
METERING_OUT => METERING_OUT,
-- Signals from UDC3
N_SEL_SHARE_HOLD => N_SEL_SHARE_HOLD, -- from 12D
GK => GK, -- from 11B
HK => HK, -- from 13B
STORE_HR => STORE_HR,
STORE_GR => STORE_GR,
SEL_SHARE_CYCLE => SEL_SHARE_CYCLE,
SEL_R_W_CTRL => SEL_R_W_CTRL,
SEL_CHNL_CHK => SEL_CHNL_CHK,
HR_REG_0_7 => HR_REG_0_7,
GR_REG_0_7 => GR_REG_0_7,
HR_REG_P_BIT => HR_REG_P_BIT,
GR_REG_P_BIT => GR_REG_P_BIT,
GT_HSMPX_INTO_R_REG => '0',
DR_CORR_P_BIT => '0',
GT_DETECTORS_TO_HR => GT_DETECTORS_TO_HR,
GT_DETECTORS_TO_GR => GT_DETECTORS_TO_GR,
EVEN_HR_0_7_BITS => EVEN_HR_0_7_BITS,
EVEN_GR_0_7_BITS => EVEN_GR_0_7_BITS,
-- Indicators
IND_OPNL_IN => IND_OPNL_IN,
IND_ADDR_IN => IND_ADDR_IN,
IND_STATUS_IN => IND_STATUS_IN,
IND_SERV_IN => IND_SERV_IN,
IND_SEL_OUT => IND_SEL_OUT,
IND_ADDR_OUT => IND_ADDR_OUT,
IND_CMMD_OUT => IND_CMMD_OUT,
IND_SERV_OUT => IND_SERV_OUT,
IND_SUPPR_OUT => IND_SUPPR_OUT,
IND_FO => IND_FO,
IND_FO_P => IND_FO_P,
IND_A => IND_A,
IND_B => IND_B,
IND_ALU => IND_ALU,
IND_M => IND_M,
IND_N => IND_N,
IND_MAIN_STG => IND_MAIN_STG,
IND_LOC_STG => IND_LOC_STG,
IND_COMP_MODE => IND_COMP_MODE,
IND_CHK_A_REG => IND_CHK_A_REG,
IND_CHK_B_REG => IND_CHK_B_REG,
IND_CHK_STOR_ADDR => IND_CHK_STOR_ADDR,
IND_CHK_CTRL_REG => IND_CHK_CTRL_REG,
IND_CHK_ROS_SALS => IND_CHK_ROS_SALS,
IND_CHK_ROS_ADDR => IND_CHK_ROS_ADDR,
IND_CHK_STOR_DATA => IND_CHK_STOR_DATA,
IND_CHK_ALU => IND_CHK_ALU,
-- Selector & Mpx channels
MPX_BUS_O => sMPX_BUS_O,
MPX_BUS_I => MPX_BUS_I,
MPX_TAGS_O => MPX_TAGS_O,
MPX_TAGS_I => MPX_TAGS_I,
-- UDC2 Debug stuff
-- DEBUG => DEBUG,
SEL_T1 => SEL_T1,
T1 => T1,
T2 => T2,
T3 => T3,
T4 => T4,
P1 => P1,
P2 => P2,
P3 => P3,
P4 => P4,
SEL_T3 => SEL_T3,
Clk => Clk
);
M_CONV_OSC <= sM_CONV_OSC;
-- Temporary substitutes for UDC3
SEL_CONV_OSC <= P_CONV_OSC; -- 12A
SEL_BASIC_CLOCK_OFF <= not CLOCK_ON and not CLOCK_START_LCH; -- 12A
-- Combining buses
M_ASSM_BUS2 <= M_ASSM_BUS1 or M_ASSM_BUS3;
N_ASSM_BUS2 <= N_ASSM_BUS1 or N_ASSM_BUS3;
end FMD;
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_mngr.vhd
-- Description: This entity manages fetching of descriptors.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_sg_v4_1_3;
use axi_sg_v4_1_3.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_ftch_mngr is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_INCLUDE_CH1 : integer range 0 to 1 := 1;
-- Include or Exclude channel 1 scatter gather engine
-- 0 = Exclude Channel 1 SG Engine
-- 1 = Include Channel 1 SG Engine
C_INCLUDE_CH2 : integer range 0 to 1 := 1;
-- Include or Exclude channel 2 scatter gather engine
-- 0 = Exclude Channel 2 SG Engine
-- 1 = Include Channel 2 SG Engine
C_SG_CH1_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_CH2_WORDS_TO_FETCH : integer range 4 to 16 := 8;
-- Number of words to fetch for channel 1
C_SG_FTCH_DESC2QUEUE : integer range 0 to 8 := 0;
-- Number of descriptors to fetch and queue for each channel.
-- A value of zero excludes the fetch queues.
C_SG_CH1_ENBL_STALE_ERROR : integer range 0 to 1 := 1;
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
C_SG_CH2_ENBL_STALE_ERROR : integer range 0 to 1 := 1
-- Enable or disable stale descriptor check
-- 0 = Disable stale descriptor error check
-- 1 = Enable stale descriptor error check
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Channel 1 Control and Status --
ch1_run_stop : in std_logic ; --
ch1_desc_flush : in std_logic ; --
ch1_updt_done : in std_logic ; --
ch1_ftch_idle : out std_logic ; --
ch1_ftch_active : out std_logic ; --
ch1_ftch_interr_set : out std_logic ; --
ch1_ftch_slverr_set : out std_logic ; --
ch1_ftch_decerr_set : out std_logic ; --
ch1_ftch_err_early : out std_logic ; --
ch1_ftch_stale_desc : out std_logic ; --
ch1_tailpntr_enabled : in std_logic ; --
ch1_taildesc_wren : in std_logic ; --
ch1_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_nxtdesc_wren : in std_logic ; --
ch1_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch1_ftch_queue_empty : in std_logic ; --
ch1_ftch_queue_full : in std_logic ; --
ch1_ftch_pause : in std_logic ; --
--
-- Channel 2 Control and Status --
ch2_run_stop : in std_logic ; --
ch2_updt_done : in std_logic ; --
ch2_desc_flush : in std_logic ; --
ch2_ftch_idle : out std_logic ; --
ch2_ftch_active : out std_logic ; --
ch2_ftch_interr_set : out std_logic ; --
ch2_ftch_slverr_set : out std_logic ; --
ch2_ftch_decerr_set : out std_logic ; --
ch2_ftch_err_early : out std_logic ; --
ch2_ftch_stale_desc : out std_logic ; --
ch2_tailpntr_enabled : in std_logic ; --
ch2_taildesc_wren : in std_logic ; --
ch2_taildesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_nxtdesc_wren : in std_logic ; --
ch2_curdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
ch2_ftch_queue_empty : in std_logic ; --
ch2_ftch_queue_full : in std_logic ; --
ch2_ftch_pause : in std_logic ; --
ch2_eof_detected : in std_logic ;
tail_updt : in std_logic ;
tail_updt_latch : out std_logic ;
ch2_sg_idle : out std_logic ;
--
nxtdesc : in std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
--
-- Read response for detecting slverr, decerr early --
m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; --
m_axi_sg_rvalid : in std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_ftch_cmd_tvalid : out std_logic ; --
s_axis_ftch_cmd_tready : in std_logic ; --
s_axis_ftch_cmd_tdata : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_ftch_sts_tvalid : in std_logic ; --
m_axis_ftch_sts_tready : out std_logic ; --
m_axis_ftch_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_ftch_sts_tkeep : in std_logic_vector(0 downto 0) ; --
mm2s_err : in std_logic ; --
--
--
ftch_cmnd_wr : out std_logic ; --
ftch_cmnd_data : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
ftch_stale_desc : in std_logic ; --
updt_error : in std_logic ; --
ftch_error : out std_logic ; --
ftch_error_addr : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; --
bd_eq : out std_logic
);
end axi_sg_ftch_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal ftch_cmnd_wr_i : std_logic := '0';
signal ftch_cmnd_data_i : std_logic_vector
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0)
:= (others => '0');
signal ch1_sg_idle : std_logic := '0';
signal ch1_fetch_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal ch2_sg_idle_int : std_logic := '0';
signal ch2_fetch_address : std_logic_vector
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0)
:= (others => '0');
signal ftch_done : std_logic := '0';
signal ftch_error_i : std_logic := '0';
signal ftch_interr : std_logic := '0';
signal ftch_slverr : std_logic := '0';
signal ftch_decerr : std_logic := '0';
signal ftch_error_early : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ftch_cmnd_wr <= ftch_cmnd_wr_i;
ftch_cmnd_data <= ftch_cmnd_data_i;
ftch_error <= ftch_error_i;
ch2_sg_idle <= ch2_sg_idle_int;
-------------------------------------------------------------------------------
-- Scatter Gather Fetch State Machine
-------------------------------------------------------------------------------
I_FTCH_SG : entity axi_sg_v4_1_3.axi_sg_ftch_sm
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2 ,
C_SG_CH1_WORDS_TO_FETCH => C_SG_CH1_WORDS_TO_FETCH ,
C_SG_CH2_WORDS_TO_FETCH => C_SG_CH2_WORDS_TO_FETCH ,
C_SG_FTCH_DESC2QUEUE => C_SG_FTCH_DESC2QUEUE ,
C_SG_CH1_ENBL_STALE_ERROR => C_SG_CH1_ENBL_STALE_ERROR ,
C_SG_CH2_ENBL_STALE_ERROR => C_SG_CH2_ENBL_STALE_ERROR
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
updt_error => updt_error ,
-- Channel 1 Control and Status
ch1_run_stop => ch1_run_stop ,
ch1_updt_done => ch1_updt_done ,
ch1_desc_flush => ch1_desc_flush ,
ch1_sg_idle => ch1_sg_idle ,
ch1_tailpntr_enabled => ch1_tailpntr_enabled ,
ch1_ftch_queue_empty => ch1_ftch_queue_empty ,
ch1_ftch_queue_full => ch1_ftch_queue_full ,
ch1_fetch_address => ch1_fetch_address ,
ch1_ftch_active => ch1_ftch_active ,
ch1_ftch_idle => ch1_ftch_idle ,
ch1_ftch_interr_set => ch1_ftch_interr_set ,
ch1_ftch_slverr_set => ch1_ftch_slverr_set ,
ch1_ftch_decerr_set => ch1_ftch_decerr_set ,
ch1_ftch_err_early => ch1_ftch_err_early ,
ch1_ftch_stale_desc => ch1_ftch_stale_desc ,
ch1_ftch_pause => ch1_ftch_pause ,
-- Channel 2 Control and Status
ch2_run_stop => ch2_run_stop ,
ch2_updt_done => ch2_updt_done ,
ch2_desc_flush => ch2_desc_flush ,
ch2_sg_idle => ch2_sg_idle_int ,
ch2_tailpntr_enabled => ch2_tailpntr_enabled ,
ch2_ftch_queue_empty => ch2_ftch_queue_empty ,
ch2_ftch_queue_full => ch2_ftch_queue_full ,
ch2_fetch_address => ch2_fetch_address ,
ch2_ftch_active => ch2_ftch_active ,
ch2_ftch_idle => ch2_ftch_idle ,
ch2_ftch_interr_set => ch2_ftch_interr_set ,
ch2_ftch_slverr_set => ch2_ftch_slverr_set ,
ch2_ftch_decerr_set => ch2_ftch_decerr_set ,
ch2_ftch_err_early => ch2_ftch_err_early ,
ch2_ftch_stale_desc => ch2_ftch_stale_desc ,
ch2_ftch_pause => ch2_ftch_pause ,
-- Transfer Request
ftch_cmnd_wr => ftch_cmnd_wr_i ,
ftch_cmnd_data => ftch_cmnd_data_i ,
-- Transfer Status
ftch_done => ftch_done ,
ftch_error => ftch_error_i ,
ftch_interr => ftch_interr ,
ftch_slverr => ftch_slverr ,
ftch_decerr => ftch_decerr ,
ftch_stale_desc => ftch_stale_desc ,
ftch_error_addr => ftch_error_addr ,
ftch_error_early => ftch_error_early
);
-------------------------------------------------------------------------------
-- Scatter Gather Fetch Pointer Manager
-------------------------------------------------------------------------------
I_FTCH_PNTR_MNGR : entity axi_sg_v4_1_3.axi_sg_ftch_pntr
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_INCLUDE_CH1 => C_INCLUDE_CH1 ,
C_INCLUDE_CH2 => C_INCLUDE_CH2
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
nxtdesc => nxtdesc ,
-------------------------------
-- CHANNEL 1
-------------------------------
ch1_run_stop => ch1_run_stop ,
ch1_desc_flush => ch1_desc_flush ,--CR568950
-- CURDESC update on run/stop assertion (from ftch_sm)
ch1_curdesc => ch1_curdesc ,
-- TAILDESC update on CPU write (from axi_dma_reg_module)
ch1_tailpntr_enabled => ch1_tailpntr_enabled ,
ch1_taildesc_wren => ch1_taildesc_wren ,
ch1_taildesc => ch1_taildesc ,
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if)
ch1_nxtdesc_wren => ch1_nxtdesc_wren ,
-- Current address of descriptor to fetch
ch1_fetch_address => ch1_fetch_address ,
ch1_sg_idle => ch1_sg_idle ,
-------------------------------
-- CHANNEL 2
-------------------------------
ch2_run_stop => ch2_run_stop ,
ch2_desc_flush => ch2_desc_flush ,--CR568950
ch2_eof_detected => ch2_eof_detected ,
-- CURDESC update on run/stop assertion (from ftch_sm)
ch2_curdesc => ch2_curdesc ,
-- TAILDESC update on CPU write (from axi_dma_reg_module)
ch2_tailpntr_enabled => ch2_tailpntr_enabled ,
ch2_taildesc_wren => ch2_taildesc_wren ,
ch2_taildesc => ch2_taildesc ,
tail_updt_latch => tail_updt_latch ,
tail_updt => tail_updt ,
ch2_updt_done => ch2_updt_done ,
-- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if)
ch2_nxtdesc_wren => ch2_nxtdesc_wren ,
-- Current address of descriptor to fetch
ch2_fetch_address => ch2_fetch_address ,
ch2_sg_idle => ch2_sg_idle_int ,
bd_eq => bd_eq
);
-------------------------------------------------------------------------------
-- Scatter Gather Fetch Command / Status Interface
-------------------------------------------------------------------------------
I_FTCH_CMDSTS_IF : entity axi_sg_v4_1_3.axi_sg_ftch_cmdsts_if
generic map(
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH
)
port map(
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Fetch command write interface from fetch sm
ftch_cmnd_wr => ftch_cmnd_wr_i ,
ftch_cmnd_data => ftch_cmnd_data_i ,
-- Read response for detecting slverr, decerr early
m_axi_sg_rresp => m_axi_sg_rresp ,
m_axi_sg_rvalid => m_axi_sg_rvalid ,
-- User Command Interface Ports (AXI Stream)
s_axis_ftch_cmd_tvalid => s_axis_ftch_cmd_tvalid ,
s_axis_ftch_cmd_tready => s_axis_ftch_cmd_tready ,
s_axis_ftch_cmd_tdata => s_axis_ftch_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_ftch_sts_tvalid => m_axis_ftch_sts_tvalid ,
m_axis_ftch_sts_tready => m_axis_ftch_sts_tready ,
m_axis_ftch_sts_tdata => m_axis_ftch_sts_tdata ,
m_axis_ftch_sts_tkeep => m_axis_ftch_sts_tkeep ,
-- Scatter Gather Fetch Status
mm2s_err => mm2s_err ,
ftch_done => ftch_done ,
ftch_error => ftch_error_i ,
ftch_interr => ftch_interr ,
ftch_slverr => ftch_slverr ,
ftch_decerr => ftch_decerr ,
ftch_error_early => ftch_error_early
);
end implementation;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: cpu_disasx
-- File: cpu_disasx.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: SPARC disassembler according to SPARC V8 manual
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library grlib;
use grlib.cpu_disas;
-- pragma translate_on
entity cpu_disasx is
port (
clk : in std_ulogic;
rstn : in std_ulogic;
dummy : out std_ulogic;
inst : in std_logic_vector(31 downto 0);
pc : in std_logic_vector(31 downto 2);
result: in std_logic_vector(31 downto 0);
index : in std_logic_vector(3 downto 0);
wreg : in std_ulogic;
annul : in std_ulogic;
holdn : in std_ulogic;
pv : in std_ulogic;
trap : in std_ulogic;
disas : in std_ulogic);
end;
architecture behav of cpu_disasx is
component cpu_disas
port (
clk : in std_ulogic;
rstn : in std_ulogic;
dummy : out std_ulogic;
inst : in std_logic_vector(31 downto 0);
pc : in std_logic_vector(31 downto 2);
result: in std_logic_vector(31 downto 0);
index : in std_logic_vector(3 downto 0);
wreg : in std_ulogic;
annul : in std_ulogic;
holdn : in std_ulogic;
pv : in std_ulogic;
trap : in std_ulogic;
disas : in std_ulogic);
end component;
begin
u0 : cpu_disas
port map (clk, rstn, dummy, inst, pc, result, index, wreg, annul, holdn, pv, trap, disas);
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Tue Oct 17 02:50:46 2017
-- Host : Juice-Laptop running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top RAT_slice_12_3_0 -prefix
-- RAT_slice_12_3_0_ RAT_slice_7_3_1_sim_netlist.vhdl
-- Design : RAT_slice_7_3_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity RAT_slice_12_3_0 is
port (
Din : in STD_LOGIC_VECTOR ( 17 downto 0 );
Dout : out STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of RAT_slice_12_3_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of RAT_slice_12_3_0 : entity is "RAT_slice_7_3_1,xlslice,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of RAT_slice_12_3_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of RAT_slice_12_3_0 : entity is "xlslice,Vivado 2016.4";
end RAT_slice_12_3_0;
architecture STRUCTURE of RAT_slice_12_3_0 is
signal \^din\ : STD_LOGIC_VECTOR ( 17 downto 0 );
begin
Dout(4 downto 0) <= \^din\(17 downto 13);
\^din\(17 downto 13) <= Din(17 downto 13);
end STRUCTURE;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
-- Date : Tue Oct 17 02:50:46 2017
-- Host : Juice-Laptop running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top RAT_slice_12_3_0 -prefix
-- RAT_slice_12_3_0_ RAT_slice_7_3_1_sim_netlist.vhdl
-- Design : RAT_slice_7_3_1
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity RAT_slice_12_3_0 is
port (
Din : in STD_LOGIC_VECTOR ( 17 downto 0 );
Dout : out STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of RAT_slice_12_3_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of RAT_slice_12_3_0 : entity is "RAT_slice_7_3_1,xlslice,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of RAT_slice_12_3_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of RAT_slice_12_3_0 : entity is "xlslice,Vivado 2016.4";
end RAT_slice_12_3_0;
architecture STRUCTURE of RAT_slice_12_3_0 is
signal \^din\ : STD_LOGIC_VECTOR ( 17 downto 0 );
begin
Dout(4 downto 0) <= \^din\(17 downto 13);
\^din\(17 downto 13) <= Din(17 downto 13);
end STRUCTURE;
|
entity tb_assert4 is
generic (with_err : boolean := False);
end tb_assert4;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_assert4 is
signal v : std_logic_Vector (7 downto 0);
signal en : std_logic := '0';
signal clk : std_logic;
signal res : std_logic;
begin
dut: entity work.assert4
port map (v, en, clk, res);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
en <= '1';
v <= b"0010_0000";
pulse;
assert res = '0' severity failure;
v <= b"0010_0001";
pulse;
assert res = '1' severity failure;
v <= b"0010_0011";
pulse;
assert res = '0' severity failure;
v <= b"0010_0010";
pulse;
assert res = '1' severity failure;
en <= '0';
v <= x"00";
pulse;
assert res = '1' severity failure;
-- Trigger an error.
if with_err then
en <= '1';
pulse;
end if;
wait;
end process;
end behav;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc57.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b01x01p05n02i00057ent IS
END c04s03b01x01p05n02i00057ent;
ARCHITECTURE c04s03b01x01p05n02i00057arch OF c04s03b01x01p05n02i00057ent IS
BEGIN
TESTING: PROCESS
variable i : integer; -- loop index
variable x : integer;
BEGIN
i := 10;
for i in 1 to 5 loop
x := X + 1;
i := 5; -- Failure_here - the loop index is being modified.
end loop;
assert FALSE
report "***FAILED TEST:c04s03b01x01p05n02i00057 - A loop index may not be altered within the loop."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b01x01p05n02i00057arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc57.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b01x01p05n02i00057ent IS
END c04s03b01x01p05n02i00057ent;
ARCHITECTURE c04s03b01x01p05n02i00057arch OF c04s03b01x01p05n02i00057ent IS
BEGIN
TESTING: PROCESS
variable i : integer; -- loop index
variable x : integer;
BEGIN
i := 10;
for i in 1 to 5 loop
x := X + 1;
i := 5; -- Failure_here - the loop index is being modified.
end loop;
assert FALSE
report "***FAILED TEST:c04s03b01x01p05n02i00057 - A loop index may not be altered within the loop."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b01x01p05n02i00057arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc57.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c04s03b01x01p05n02i00057ent IS
END c04s03b01x01p05n02i00057ent;
ARCHITECTURE c04s03b01x01p05n02i00057arch OF c04s03b01x01p05n02i00057ent IS
BEGIN
TESTING: PROCESS
variable i : integer; -- loop index
variable x : integer;
BEGIN
i := 10;
for i in 1 to 5 loop
x := X + 1;
i := 5; -- Failure_here - the loop index is being modified.
end loop;
assert FALSE
report "***FAILED TEST:c04s03b01x01p05n02i00057 - A loop index may not be altered within the loop."
severity ERROR;
wait;
END PROCESS TESTING;
END c04s03b01x01p05n02i00057arch;
|
----------------------------------------------------------------------------------
-- Company: N/A
-- Engineer: WTMW
-- Create Date: 22:27:15 09/26/2014
-- Design Name:
-- Module Name: top_controller_test.vhd
-- Project Name: project_nrf
-- Target Devices: Nexys 4
-- Tool versions: ISE WEBPACK 64-Bit
-- Description: Testing the RAM loading and full packet sending
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
LIBRARY work;
use work.project_nrf_subprogV2.all;
ENTITY top_controller_test IS
END top_controller_test;
ARCHITECTURE behavior OF top_controller_test IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT top_controller
PORT(
clk : IN std_logic;
masterReset : IN std_logic;
bSend : IN std_logic;
bModeChange : IN std_logic;
bEnterData : IN std_logic;
bCount : IN std_logic;
sTransmission : IN std_logic_vector(2 downto 0);
sHighSpeed : IN std_logic;
displayLower : OUT std_logic_vector(15 downto 0);
displayUpper : OUT std_logic_vector(15 downto 0);
data_nib : IN std_logic_vector(3 downto 0);
hamming_err : IN std_logic_vector(7 downto 0);
IRQ : IN std_logic;
CE : OUT std_logic;
CS : OUT std_logic;
SCLK : OUT std_logic;
MOSI : OUT std_logic;
MISO : IN std_logic;
LED_SPI : OUT std_logic_vector(2 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal masterReset : std_logic := '1';
signal bSend : std_logic := '0';
signal bModeChange : std_logic := '0';
signal bEnterData : std_logic := '0';
signal bCount : std_logic := '0';
signal sTransmission : std_logic_vector(2 downto 0) := (others => '0');
signal sHighSpeed : std_logic := '1';
signal data_nib : std_logic_vector(3 downto 0) := (others => '0');
signal hamming_err : std_logic_vector(7 downto 0) := (others => '0');
signal IRQ : std_logic := '1';
signal MISO : std_logic := '0';
--Outputs
signal displayLower : std_logic_vector(15 downto 0);
signal displayUpper : std_logic_vector(15 downto 0);
signal CE : std_logic;
signal CS : std_logic;
signal SCLK : std_logic;
signal MOSI : std_logic;
signal LED_SPI : std_logic_vector(2 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
procedure ENTER_NIB (
nib : in std_logic_vector(3 downto 0) ;
signal bEnterData : out std_logic;
signal data_nib : out std_logic_vector(3 downto 0)
) is
begin
wait until rising_edge(clk);
bEnterData <= '0';
wait until rising_edge(clk);
data_nib <= nib;
bEnterData <= '1';
wait until rising_edge(clk);
bEnterData <= '0';
wait until rising_edge(clk);
end ENTER_NIB;
procedure BUTTON_PULSE (
signal button : out std_logic
) is
begin
wait until rising_edge(clk);
button <= '0';
wait until rising_edge(clk);
button <= '1';
wait until rising_edge(clk);
button <= '0';
wait until rising_edge(clk);
end BUTTON_PULSE;
procedure FILL_RAM (
signal bEnterData : out std_logic;
signal bCount : out std_logic;
signal data_nib: out std_logic_vector( 3 downto 0)
) is
begin
for i in 0 to 31 loop
for j in 0 to 1 loop
if(j=0) then
ENTER_NIB(to_BCD(std_logic_vector(IEEE.numeric_std.to_unsigned(i, 5)))(3 downto 0), bEnterData,data_nib);
else
ENTER_NIB(to_BCD(std_logic_vector(IEEE.numeric_std.to_unsigned(i, 5)))(7 downto 4), bEnterData,data_nib);
end if;
end loop;
BUTTON_PULSE(bCount);
end loop;
end FILL_RAM;
procedure SPI_MISO (
byte_in : in std_logic_vector(7 downto 0) ;
signal MISO : out std_logic
) is
begin
for i in 7 downto 0 loop
MISO <= byte_in(i);
wait until falling_edge(SCLK);
end loop;
end SPI_MISO;
procedure NRF_MESSAGE (
byte_0 : in std_logic_vector(7 downto 0) ;
byte_1 : in std_logic_vector(7 downto 0) ;
byte_2 : in std_logic_vector(7 downto 0) ;
byte_3 : in std_logic_vector(7 downto 0) ;
byte_4 : in std_logic_vector(7 downto 0) ;
byte_5 : in std_logic_vector(7 downto 0) ;
byte_6 : in std_logic_vector(7 downto 0) ;
byte_7 : in std_logic_vector(7 downto 0) ;
byte_8 : in std_logic_vector(7 downto 0) ;
byte_9 : in std_logic_vector(7 downto 0) ;
byte_10 : in std_logic_vector(7 downto 0) ;
byte_11 : in std_logic_vector(7 downto 0) ;
byte_12 : in std_logic_vector(7 downto 0) ;
byte_13 : in std_logic_vector(7 downto 0) ;
signal MISO : out std_logic;
signal IRQ : out std_logic;
signal CS : in std_logic
) is
begin
-- Message Arrival, Ensure IRQ is active high
wait until rising_edge(clk);
IRQ <= '0';
wait until rising_edge(clk);
IRQ <= '1';
SPI_MISO("11111101", MISO);
SPI_MISO("11000001", MISO);
wait until falling_edge(SCLK);
-- Send Through a message, In Hex, start wit basic location
SPI_MISO(x"FF", MISO); -- REG
-- Packet Type
SPI_MISO(x"00", MISO); -- 0
SPI_MISO(x"2B", MISO); -- 1
-- ADDR
SPI_MISO(x"8E", MISO); -- 2
SPI_MISO(x"71", MISO); -- 3
SPI_MISO(x"6C", MISO); -- 4
SPI_MISO(x"5A", MISO); -- 5
SPI_MISO(x"47", MISO); -- 6
SPI_MISO(x"36", MISO); -- 7
SPI_MISO(x"2B", MISO); -- 8
SPI_MISO(x"1D", MISO); -- 9
-- ADDR
SPI_MISO(x"2A", MISO); -- 10
SPI_MISO(x"47", MISO); -- 11
SPI_MISO(x"1D", MISO); -- 12
SPI_MISO(x"93", MISO); -- 13
SPI_MISO(x"2B", MISO); -- 14
SPI_MISO(x"36", MISO); -- 15
SPI_MISO(x"8E", MISO); -- 16
SPI_MISO(x"5A", MISO); -- 17
-- Message
SPI_MISO(Byte_13, MISO); -- 18
SPI_MISO(Byte_12, MISO); -- 19
SPI_MISO(Byte_11, MISO); -- 20
SPI_MISO(Byte_10, MISO); -- 21
SPI_MISO(Byte_9, MISO); -- 22
SPI_MISO(Byte_8, MISO); -- 23
SPI_MISO(Byte_7, MISO); -- 24
SPI_MISO(Byte_6, MISO); -- 25
SPI_MISO(Byte_5, MISO); -- 26
SPI_MISO(Byte_4, MISO); -- 27
SPI_MISO(Byte_3, MISO); -- 28
SPI_MISO(Byte_2, MISO); -- 29
SPI_MISO(Byte_1, MISO); -- 30
SPI_MISO(Byte_0, MISO); -- 31
wait until rising_edge(clk);
wait for clk_period*1000;
wait until rising_edge(clk);
end NRF_MESSAGE;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: top_controller PORT MAP (
clk => clk,
masterReset => masterReset,
bSend => bSend,
bModeChange => bModeChange,
bEnterData => bEnterData,
bCount => bCount,
sTransmission => sTransmission,
sHighSpeed => sHighSpeed,
displayLower => displayLower,
displayUpper => displayUpper,
data_nib => data_nib,
hamming_err => hamming_err,
IRQ => IRQ,
CE => CE,
CS => CS,
SCLK => SCLK,
MOSI => MOSI,
MISO => MISO,
LED_SPI => LED_SPI
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
wait for clk_period*10;
masterReset <= '0';
wait for 10_000_200ns;
wait until rising_edge(clk);
wait for clk_period*10;
FILL_RAM(bEnterData, bCount, data_nib);
wait for clk_period*10;
BUTTON_PULSE(bSend);
wait for 55_850_000ns;
-- NRF_MESSAGE (
-- x"B8", -- Byte 0
-- x"A5", -- Byte 1
-- x"93", -- Byte 2
-- x"8E", -- Byte 3
-- x"71", -- Byte 4
-- x"6C", -- Byte 5
-- x"5A", -- Byte 6
-- x"47", -- Byte 7
-- x"36", -- Byte 8
-- x"2B", -- Byte 9
-- x"1D", -- Byte 10
-- x"00", -- Byte 11
-- x"00", -- Byte 12
-- x"FF", -- Byte 13
-- MISO,IRQ,CS
-- );
-- FF 0 0 1D 0 2B 0 36 0 47 0 5A 0 6C
-- NRF_MESSAGE (
-- x"6C", -- Byte 0
-- x"00", -- Byte 1
-- x"5A", -- Byte 2
-- x"00", -- Byte 3
-- x"47", -- Byte 4
-- x"00", -- Byte 5
-- x"36", -- Byte 6
-- x"00", -- Byte 7
-- x"2B", -- Byte 8
-- x"00", -- Byte 9
-- x"1D", -- Byte 10
-- x"00", -- Byte 11
-- x"00", -- Byte 12
-- x"FF", -- Byte 13
-- MISO,IRQ,CS
-- );
--
-- -- FF 1D 0 71 0 8E 0 93 1D 0 1D 1D 1D 2B
-- NRF_MESSAGE (
-- x"2B", -- Byte 0
-- x"1D", -- Byte 1
-- x"1D", -- Byte 2
-- x"1D", -- Byte 3
-- x"00", -- Byte 4
-- x"1D", -- Byte 5
-- x"93", -- Byte 6
-- x"00", -- Byte 7
-- x"8E", -- Byte 8
-- x"00", -- Byte 9
-- x"71", -- Byte 10
-- x"00", -- Byte 11
-- x"1D", -- Byte 12
-- x"FF", -- Byte 13
-- MISO,IRQ,CS
-- );
--
-- -- FF 2B 1D 36 1D 47 1D 5A 1D 6C 1D 71 1D 8E
-- NRF_MESSAGE (
-- x"8E", -- Byte 0
-- x"1D", -- Byte 1
-- x"71", -- Byte 2
-- x"1D", -- Byte 3
-- x"6C", -- Byte 4
-- x"1D", -- Byte 5
-- x"5A", -- Byte 6
-- x"1D", -- Byte 7
-- x"47", -- Byte 8
-- x"1D", -- Byte 9
-- x"36", -- Byte 10
-- x"1D", -- Byte 11
-- x"2B", -- Byte 12
-- x"FF", -- Byte 13
-- MISO,IRQ,CS
-- );
--
-- -- FF 36 1D 93 2B 0 2B 1D 2B 2B 2B 36 2B 47
-- NRF_MESSAGE (
-- x"47", -- Byte 0
-- x"2B", -- Byte 1
-- x"36", -- Byte 2
-- x"2B", -- Byte 3
-- x"2B", -- Byte 4
-- x"2B", -- Byte 5
-- x"1D", -- Byte 6
-- x"2B", -- Byte 7
-- x"00", -- Byte 8
-- x"2B", -- Byte 9
-- x"93", -- Byte 10
-- x"1D", -- Byte 11
-- x"36", -- Byte 12
-- x"FF", -- Byte 13
-- MISO,IRQ,CS
-- );
--
-- -- FF 47 2B 5A 2B 6C 2B 71 2B 8E 2B 93 36 0
-- NRF_MESSAGE (
-- x"00", -- Byte 0
-- x"36", -- Byte 1
-- x"93", -- Byte 2
-- x"2B", -- Byte 3
-- x"8E", -- Byte 4
-- x"2B", -- Byte 5
-- x"71", -- Byte 6
-- x"2B", -- Byte 7
-- x"6C", -- Byte 8
-- x"2B", -- Byte 9
-- x"5A", -- Byte 10
-- x"2B", -- Byte 11
-- x"47", -- Byte 12
-- x"FF", -- Byte 13
-- MISO,IRQ,CS
-- );
-- FF 5A 36 1D 36 2B 0 1D 0 2B 0 36 0 47
NRF_MESSAGE (
x"47", -- Byte 0
x"00", -- Byte 1
x"36", -- Byte 2
x"00", -- Byte 3
x"2B", -- Byte 4
x"00", -- Byte 5
x"1D", -- Byte 6
x"00", -- Byte 7
x"2B", -- Byte 8
x"36", -- Byte 9
x"1D", -- Byte 10
x"36", -- Byte 11
x"5A", -- Byte 12
x"FF", -- Byte 13
MISO,IRQ,CS
);
wait;
end process;
END;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2822.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity OTHERS is
end OTHERS;
ENTITY c13s09b00x00p99n01i02822ent IS
END c13s09b00x00p99n01i02822ent;
ARCHITECTURE c13s09b00x00p99n01i02822arch OF c13s09b00x00p99n01i02822ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02822 - Reserved word OTHERS can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02822arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2822.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity OTHERS is
end OTHERS;
ENTITY c13s09b00x00p99n01i02822ent IS
END c13s09b00x00p99n01i02822ent;
ARCHITECTURE c13s09b00x00p99n01i02822arch OF c13s09b00x00p99n01i02822ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02822 - Reserved word OTHERS can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02822arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2822.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity OTHERS is
end OTHERS;
ENTITY c13s09b00x00p99n01i02822ent IS
END c13s09b00x00p99n01i02822ent;
ARCHITECTURE c13s09b00x00p99n01i02822arch OF c13s09b00x00p99n01i02822ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02822 - Reserved word OTHERS can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02822arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--use work.myTypes.all;
entity mem_regs is
generic (
SIZE : integer := 32
);
port (
W_i : in std_logic_vector(SIZE - 1 downto 0);
D3_i : in std_logic_vector(4 downto 0);
W_o : out std_logic_vector(SIZE - 1 downto 0);
D3_o : out std_logic_vector(4 downto 0);
FW_4_o : out std_logic_vector(SIZE - 1 downto 0);
clk : in std_logic;
rst : in std_logic
);
end mem_regs;
architecture Struct of mem_regs is
component ff32
generic(
SIZE : integer
);
port(
D : in std_logic_vector(SIZE - 1 downto 0);
Q : out std_logic_vector(SIZE - 1 downto 0);
clk : in std_logic;
rst : in std_logic
);
end component;
signal W_help : std_logic_vector(SIZE -1 downto 0);
begin
W_o <= W_help;
W: ff32 generic map(
SIZE => 32
)
port map(
D => W_i,
Q => W_help,
clk => clk,
rst => rst
);
FW4: ff32 generic map(
SIZE => 32
)
port map(
D => W_help,
Q => FW_4_o,
clk => clk,
rst => rst
);
D3: ff32 generic map(
SIZE => 5
)
port map(
D => D3_i,
Q => D3_o,
clk => clk,
rst => rst
);
end Struct;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_a_e
--
-- Generated
-- by: wig
-- on: Mon Mar 22 13:27:29 2004
-- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_a_e-e.vhd,v 1.1 2004/04/06 10:50:16 wig Exp $
-- $Date: 2004/04/06 10:50:16 $
-- $Log: inst_a_e-e.vhd,v $
-- Revision 1.1 2004/04/06 10:50:16 wig
-- Adding result/mde_tests
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp
--
-- Generator: mix_0.pl Version: Revision: 1.26 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_a_e
--
entity inst_a_e is
-- Generics:
-- No Generated Generics for Entity inst_a_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_a_e
end inst_a_e;
--
-- End of Generated Entity inst_a_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
----------------------------------------------------------------------------------
-- Company: LBNL
-- Engineer: Yuan Mei
--
-- Create Date: 03/25/2014 07:22:25 PM
-- Design Name:
-- Module Name: sdram_buffer_fifo - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Interface to Xilinx MIG UI to use external sdram as a buffer for
-- stream data input and output with fifo interface
-- Currently read and write are not allowed to happen simultaneously.
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- 28-bit address, 64-bit data width can have 2GB memory, but on KC705 there's
-- only 1GB memory, so we use 27 bits only
-- RD_ADDR_END can have highest bit 1 to indicate we want TO read the whole memory
--
-- At the 1-clk wide WR_START pulse. Afterwards, as writes advances, WR_POINTER
-- increments accordingly. When WR_POINTER wraps around and hits the original RD_POINTER
-- asserts. Writes will continue (overwritting previous data) until WR_STOP (1-clk)
-- asserts. WR_STOP can be considered as a stop trigger.
--
-- AT RD_START (1-clk), RD_ADDR is loaded
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY sdram_buffer_fifo IS
GENERIC (
INDATA_WIDTH : positive := 256;
OUTDATA_WIDTH : positive := 32;
APP_ADDR_WIDTH : positive := 28;
APP_DATA_WIDTH : positive := 512;
APP_MASK_WIDTH : positive := 64;
APP_ADDR_BURST : positive := 8
);
PORT (
CLK : IN std_logic; -- MIG UI_CLK
RESET : IN std_logic;
--
APP_ADDR : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
APP_CMD : OUT std_logic_vector(2 DOWNTO 0);
APP_EN : OUT std_logic;
APP_RDY : IN std_logic;
APP_WDF_DATA : OUT std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0);
APP_WDF_END : OUT std_logic;
APP_WDF_MASK : OUT std_logic_vector(APP_MASK_WIDTH-1 DOWNTO 0);
APP_WDF_WREN : OUT std_logic;
APP_WDF_RDY : IN std_logic;
APP_RD_DATA : IN std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0);
APP_RD_DATA_END : IN std_logic;
APP_RD_DATA_VALID : IN std_logic;
--
CTRL_RESET : IN std_logic;
WR_START : IN std_logic;
WR_ADDR_BEGIN : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
WR_STOP : IN std_logic;
WR_WRAP_AROUND : IN std_logic;
POST_TRIGGER : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
WR_BUSY : OUT std_logic;
WR_POINTER : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
TRIGGER_POINTER : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
WR_WRAPPED : OUT std_logic;
RD_START : IN std_logic;
RD_ADDR_BEGIN : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
RD_ADDR_END : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
RD_BUSY : OUT std_logic;
--
DATA_FIFO_RESET : IN std_logic;
INDATA_FIFO_WRCLK : IN std_logic;
INDATA_FIFO_Q : IN std_logic_vector(INDATA_WIDTH-1 DOWNTO 0);
INDATA_FIFO_FULL : OUT std_logic;
INDATA_FIFO_WREN : IN std_logic;
--
OUTDATA_FIFO_RDCLK : IN std_logic;
OUTDATA_FIFO_Q : OUT std_logic_vector(OUTDATA_WIDTH-1 DOWNTO 0);
OUTDATA_FIFO_EMPTY : OUT std_logic;
OUTDATA_FIFO_RDEN : IN std_logic
);
END sdram_buffer_fifo;
ARCHITECTURE Behavioral OF sdram_buffer_fifo IS
COMPONENT pulse2pulse
PORT (
IN_CLK : IN std_logic;
OUT_CLK : IN std_logic;
RST : IN std_logic;
PULSEIN : IN std_logic;
INBUSY : OUT std_logic;
PULSEOUT : OUT std_logic
);
END COMPONENT;
COMPONENT fifo256to512 -- FWFT
PORT (
RST : IN std_logic;
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
DIN : IN std_logic_vector(255 DOWNTO 0);
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DOUT : OUT std_logic_vector(511 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic
);
END COMPONENT;
COMPONENT fifo512to128 -- FWFT
PORT (
RST : IN std_logic;
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
DIN : IN std_logic_vector(511 DOWNTO 0);
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DOUT : OUT std_logic_vector(127 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic
);
END COMPONENT;
COMPONENT fifo128to32 -- FWFT
PORT (
RST : IN std_logic;
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
DIN : IN std_logic_vector(127 DOWNTO 0);
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DOUT : OUT std_logic_vector(31 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic
);
END COMPONENT;
CONSTANT DDR3_CMD_WRITE : std_logic_vector(2 DOWNTO 0) := "000";
CONSTANT DDR3_CMD_READ : std_logic_vector(2 DOWNTO 0) := "001";
SIGNAL indata_fifo_rdclk : std_logic;
SIGNAL indata_fifo_rden : std_logic;
SIGNAL indata_fifo_dout : std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0);
SIGNAL indata_fifo_empty : std_logic;
--
SIGNAL outdata_fifo_wren : std_logic;
SIGNAL outdata_fifo_full : std_logic;
SIGNAL outdata_fifo0_wren : std_logic;
SIGNAL outdata_fifo0_full : std_logic;
SIGNAL outdata_fifo0_din : std_logic_vector(127 DOWNTO 0);
SIGNAL outdata_fifo1_rdclk : std_logic;
SIGNAL outdata_fifo1_rden : std_logic;
SIGNAL outdata_fifo1_dout : std_logic_vector(127 DOWNTO 0);
SIGNAL outdata_fifo1_empty : std_logic;
--
SIGNAL fifo_rst : std_logic;
--
TYPE read_state_type IS (R0, R1, R2, R3, R4);
SIGNAL read_state : read_state_type := R0;
TYPE write_state_type IS (W0, W1, W2, W3, W4);
SIGNAL write_state : write_state_type := W0;
--
SIGNAL rd_start_pulse : std_logic := '0';
SIGNAL rd_addr_begin_reg : unsigned(APP_ADDR'length-1 DOWNTO 0);
SIGNAL rd_addr_end_reg : unsigned(APP_ADDR'length-1 DOWNTO 0);
SIGNAL rd_addr_i : unsigned(APP_ADDR'length-1 DOWNTO 0);
SIGNAL rd_reading : std_logic := '0';
SIGNAL rd_app_en : std_logic := '0';
SIGNAL rd_app_cmd : std_logic_vector(2 DOWNTO 0);
SIGNAL rd_readable : std_logic;
--
SIGNAL wr_addr_begin_reg : unsigned(APP_ADDR'length-1 DOWNTO 0);
SIGNAL wr_addr_i : unsigned(APP_ADDR'length-1 DOWNTO 0);
SIGNAL trigger_pointer_reg : unsigned(TRIGGER_POINTER'length-1 DOWNTO 0);
SIGNAL post_trigger_reg : unsigned(POST_TRIGGER'length-1 DOWNTO 0);
SIGNAL wr_wrap_around_reg : std_logic;
SIGNAL wr_wrapped_i : std_logic;
SIGNAL wr_stopping : std_logic;
SIGNAL wr_en : std_logic;
SIGNAL wr_app_en : std_logic := '0';
SIGNAL wr_app_cmd : std_logic_vector(2 DOWNTO 0);
SIGNAL wr_start_pulse : std_logic := '0';
SIGNAL wr_stop_pulse : std_logic := '0';
SIGNAL wr_writing : std_logic := '0';
SIGNAL wr_wdf_end : std_logic := '0';
SIGNAL wr_wdf_wren : std_logic := '0';
BEGIN
fifo_rst <= RESET OR DATA_FIFO_RESET;
indata_fifo : fifo256to512 -- FWFT
PORT MAP (
RST => fifo_rst,
WR_CLK => INDATA_FIFO_WRCLK,
RD_CLK => indata_fifo_rdclk,
DIN => INDATA_FIFO_Q,
WR_EN => INDATA_FIFO_WREN,
RD_EN => indata_fifo_rden,
DOUT => indata_fifo_dout,
FULL => INDATA_FIFO_FULL,
EMPTY => indata_fifo_empty
);
indata_fifo_rdclk <= CLK;
APP_WDF_DATA <= indata_fifo_dout;
APP_WDF_MASK <= (OTHERS => '0');
-- Output FIFO, 2 glued together ---------------------------------------------
outdata_fifo1 : fifo512to128 -- FWFT
PORT MAP (
RST => fifo_rst,
WR_CLK => CLK,
RD_CLK => CLK,
DIN => APP_RD_DATA,
WR_EN => outdata_fifo_wren,
RD_EN => outdata_fifo1_rden,
DOUT => outdata_fifo1_dout,
FULL => outdata_fifo_full,
EMPTY => outdata_fifo1_empty
);
outdata_fifo0 : fifo128to32 -- FWFT
PORT MAP (
RST => fifo_rst,
WR_CLK => CLK,
RD_CLK => OUTDATA_FIFO_RDCLK,
DIN => outdata_fifo0_din,
WR_EN => outdata_fifo0_wren,
RD_EN => OUTDATA_FIFO_RDEN,
DOUT => OUTDATA_FIFO_Q,
FULL => outdata_fifo0_full,
EMPTY => OUTDATA_FIFO_EMPTY
);
outdata_fifo0_din <= outdata_fifo1_dout;
outdata_fifo1_rden <= NOT outdata_fifo0_full;
outdata_fifo0_wren <= NOT outdata_fifo1_empty;
------------------------------------------------------------------------------
-- make sure _pulse's are 1-clk wide, since the inputs are from another clock
-- domain
pulse2pulse_rd_start : pulse2pulse
PORT MAP (IN_CLK => CLK, OUT_CLK => CLK, RST => RESET, PULSEIN => RD_START,
INBUSY => OPEN, PULSEOUT => rd_start_pulse);
pulse2pulse_wr_start : pulse2pulse
PORT MAP (IN_CLK => CLK, OUT_CLK => CLK, RST => RESET, PULSEIN => WR_START,
INBUSY => OPEN, PULSEOUT => wr_start_pulse);
pulse2pulse_wr_stop : pulse2pulse
PORT MAP (IN_CLK => CLK, OUT_CLK => CLK, RST => RESET, PULSEIN => WR_STOP,
INBUSY => OPEN, PULSEOUT => wr_stop_pulse);
------------------------------------------------------------------------------
-- register addresses and status
PROCESS (CLK, RESET, CTRL_RESET)
VARIABLE addr_tmp : unsigned(trigger_pointer_reg'length-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
IF RESET = '1' OR CTRL_RESET = '1' THEN
wr_addr_begin_reg <= (OTHERS => '0');
wr_wrap_around_reg <= '0';
post_trigger_reg <= (OTHERS => '0');
wr_wrapped_i <= '0';
wr_stopping <= '0';
wr_writing <= '0';
rd_addr_begin_reg <= (OTHERS => '0');
rd_addr_end_reg <= (rd_addr_end_reg'length-1 => '1', OTHERS => '0');
rd_reading <= '0';
ELSIF rising_edge(CLK) THEN
-- start
IF wr_start_pulse = '1' THEN
wr_addr_begin_reg <= unsigned(WR_ADDR_BEGIN);
wr_wrap_around_reg <= WR_WRAP_AROUND;
wr_writing <= '1';
wr_stopping <= '0';
wr_wrapped_i <= '0';
rd_reading <= '0'; -- abort reading
-- wrap around
ELSIF wr_addr_i >= ('1' & wr_addr_begin_reg(wr_addr_begin_reg'length-2 DOWNTO 0)) THEN
-- when no wrap-around, automatically stop upon address collision
IF wr_wrap_around_reg = '0' THEN
wr_writing <= '0';
wr_stopping <= '0';
END IF;
-- update when a wrap around occures
wr_wrapped_i <= '1';
END IF;
-- stop
IF wr_stop_pulse = '1' THEN
post_trigger_reg <= unsigned(POST_TRIGGER);
IF wr_writing = '1' THEN -- IF we are reading etc, wr_stop won't trigger
trigger_pointer_reg <= wr_addr_i;
wr_stopping <= '1';
END IF;
END IF;
-- stopping condition
IF wr_stopping = '1' THEN
addr_tmp := trigger_pointer_reg + post_trigger_reg;
IF addr_tmp = wr_addr_i THEN
wr_writing <= '0';
wr_stopping <= '0';
END IF;
END IF;
-- reading
IF rd_start_pulse = '1' THEN
wr_writing <= '0'; -- abort any writing
wr_stopping <= '0';
rd_reading <= '1';
rd_addr_begin_reg <= unsigned(RD_ADDR_BEGIN);
rd_addr_end_reg <= unsigned(RD_ADDR_END);
ELSIF rd_addr_i >= rd_addr_end_reg THEN
rd_reading <= '0';
END IF;
END IF;
END PROCESS;
-- write command and data
PROCESS (CLK, RESET, CTRL_RESET)
BEGIN
IF RESET = '1' OR CTRL_RESET = '1' THEN
wr_addr_i <= (OTHERS => '0');
write_state <= W0;
ELSIF rising_edge(CLK) THEN
write_state <= W0;
indata_fifo_rden <= '0';
wr_wdf_wren <= '0';
wr_wdf_end <= '0';
wr_app_en <= '0';
CASE write_state IS
WHEN W0 => -- present data
IF indata_fifo_empty = '0' AND wr_writing = '1' THEN
indata_fifo_rden <= '1'; -- read next
wr_wdf_wren <= '1';
wr_wdf_end <= '1';
write_state <= W1;
END IF;
WHEN W1 => -- hold until data is accepted
write_state <= W1;
wr_wdf_wren <= '1';
wr_wdf_end <= '1';
IF APP_WDF_RDY = '1' THEN
wr_wdf_wren <= '0';
wr_wdf_end <= '0';
wr_app_en <= '1'; -- present address
write_state <= W2;
END IF;
WHEN W2 => -- hold until cmd is accepted
wr_app_en <= '1';
write_state <= W2;
IF APP_RDY = '1' THEN -- cmd accepted
wr_app_en <= '0';
wr_addr_i <= wr_addr_i + APP_ADDR_BURST;
write_state <= W0;
END IF;
IF wr_writing = '0' THEN
write_state <= W0;
END IF;
WHEN OTHERS =>
write_state <= W0;
END CASE;
IF wr_start_pulse = '1' THEN -- wr_writing must be true from this point on
wr_addr_i <= unsigned(WR_ADDR_BEGIN);
write_state <= W0;
END IF;
END IF;
END PROCESS;
wr_app_cmd <= DDR3_CMD_WRITE;
wr_en <= wr_app_en OR wr_wdf_wren;
-- read command and data
PROCESS (CLK, RESET, CTRL_RESET)
BEGIN
IF RESET = '1' OR CTRL_RESET = '1' THEN
rd_addr_i <= (OTHERS => '0');
rd_app_en <= '0';
read_state <= R0;
ELSIF rising_edge(CLK) THEN
rd_app_en <= '0';
read_state <= R0;
CASE read_state IS
WHEN R0 =>
-- ALL back to defaults
WHEN R1 =>
read_state <= R1;
IF rd_readable = '1' THEN
rd_app_en <= '1';
read_state <= R2;
END IF;
WHEN R2 =>
read_state <= R2;
rd_app_en <= '1';
IF APP_RDY = '1' THEN -- wait until the read command is accepted
rd_app_en <= '0';
read_state <= R3;
END IF;
WHEN R3 =>
read_state <= R3;
IF APP_RD_DATA_VALID = '1' THEN
rd_addr_i <= rd_addr_i + APP_ADDR_BURST;
read_state <= R1;
END IF;
WHEN OTHERS =>
read_state <= R0;
END CASE;
-- higher priority conditions
IF rd_reading = '0' THEN
rd_addr_i <= (OTHERS => '0');
rd_app_en <= '0';
read_state <= R0;
END IF;
IF rd_start_pulse = '1' THEN -- rd_reading must be true from this point on
rd_addr_i <= unsigned(RD_ADDR_BEGIN);
read_state <= R1;
END IF;
END IF;
END PROCESS;
rd_app_cmd <= DDR3_CMD_READ;
rd_readable <= APP_RDY AND (NOT outdata_fifo_full);
outdata_fifo_wren <= APP_RD_DATA_VALID AND rd_reading;
-- connect signals
APP_ADDR <= '0' & std_logic_vector(wr_addr_i(wr_addr_i'length-2 DOWNTO 0))
WHEN wr_writing = '1' ELSE
'0' & std_logic_vector(rd_addr_i(rd_addr_i'length-2 DOWNTO 0));
APP_CMD <= wr_app_cmd WHEN wr_writing = '1' ELSE rd_app_cmd;
APP_EN <= wr_app_en OR rd_app_en;
APP_WDF_END <= wr_wdf_end;
APP_WDF_WREN <= wr_wdf_wren;
--
WR_BUSY <= wr_writing;
WR_POINTER <= std_logic_vector(wr_addr_i);
WR_WRAPPED <= wr_wrapped_i;
TRIGGER_POINTER <= std_logic_vector(trigger_pointer_reg);
--
RD_BUSY <= rd_reading;
END Behavioral;
|
-------------------------------------------------------------------------------
-- Title : I2C Bus Arbiter
-- Project : White Rabbit Project
-------------------------------------------------------------------------------
-- File : xwb_i2c_arbiter.vhd
-- Author : Miguel Jimenez Lopez
-- Company : UGR
-- Created : 2015-09-06
-- Last update: 2015-09-06
-- Platform : FPGA-generic
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
--
-- This component allows to share a single I2C bus for many masters in a simple
-- way.
--
-------------------------------------------------------------------------------
-- TODO:
-------------------------------------------------------------------------------
--
-- Copyright (c) 2015 UGR
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.gnu.org/licenses/lgpl-2.1.html
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library UNISIM;
use UNISIM.vcomponents.all;
library work;
use work.wishbone_pkg.all;
use work.i2c_arb_pkg.all;
entity xwb_i2c_arbiter is
generic (
g_num_inputs : natural range 2 to 32 := 2;
g_interface_mode : t_wishbone_interface_mode := CLASSIC;
g_address_granularity : t_wishbone_address_granularity := WORD;
g_enable_bypass_mode : boolean := true;
g_enable_oen : boolean := false
);
port (
-- Clock & Reset
clk_i : in std_logic;
rst_n_i : in std_logic;
-- I2C input buses
input_sda_i : in std_logic_vector(g_num_inputs-1 downto 0);
input_sda_o : out std_logic_vector(g_num_inputs-1 downto 0);
input_sda_oen : in std_logic_vector(g_num_inputs-1 downto 0);
input_scl_i : in std_logic_vector(g_num_inputs-1 downto 0);
input_scl_o : out std_logic_vector(g_num_inputs-1 downto 0);
input_scl_oen : in std_logic_vector(g_num_inputs-1 downto 0);
-- I2C output bus
output_sda_i : in std_logic;
output_sda_o : out std_logic;
output_sda_oen : out std_logic;
output_scl_i : in std_logic;
output_scl_o : out std_logic;
output_scl_oen : out std_logic;
-- WB Slave bus
slave_i : in t_wishbone_slave_in;
slave_o : out t_wishbone_slave_out
);
end xwb_i2c_arbiter;
architecture struct of xwb_i2c_arbiter is
begin
WB_I2C_ARB: wb_i2c_arbiter
generic map(
g_num_inputs => g_num_inputs,
g_interface_mode => g_interface_mode,
g_address_granularity => g_address_granularity,
g_enable_bypass_mode => g_enable_bypass_mode,
g_enable_oen => g_enable_oen
)
port map (
clk_i => clk_i,
rst_n_i => rst_n_i,
input_sda_i => input_sda_i,
input_sda_o => input_sda_o,
input_sda_oen => input_sda_oen,
input_scl_i => input_scl_i,
input_scl_o => input_scl_o,
input_scl_oen => input_scl_oen,
output_sda_i => output_sda_i,
output_sda_o => output_sda_o,
output_sda_oen => output_sda_oen,
output_scl_i => output_scl_i,
output_scl_o => output_scl_o,
output_scl_oen => output_scl_oen,
wb_adr_i => slave_i.adr,
wb_dat_i => slave_i.dat,
wb_dat_o => slave_o.dat,
wb_cyc_i => slave_i.cyc,
wb_sel_i => slave_i.sel,
wb_stb_i => slave_i.stb,
wb_we_i => slave_i.we,
wb_ack_o => slave_o.ack,
wb_stall_o => slave_o.stall
);
end struct;
|
library verilog;
use verilog.vl_types.all;
entity transmit_test_entity is
port(
clk_in : in vl_logic;
reset_n : in vl_logic;
Sample_Gate : out vl_logic;
P : out vl_logic_vector(15 downto 0);
N : out vl_logic_vector(15 downto 0);
HV_SW_CLR : out vl_logic;
HV_SW_LE : out vl_logic;
HV_SW_CLK : out vl_logic;
HV_SW_DOUT : out vl_logic;
AX : out vl_logic_vector(3 downto 0);
AY : out vl_logic_vector(2 downto 0);
MT_CS : out vl_logic;
MT_Strobe : out vl_logic;
MT_Data : out vl_logic
);
end transmit_test_entity;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.