content
stringlengths
1
1.04M
library verilog; use verilog.vl_types.all; entity transmit_test_entity is port( clk_in : in vl_logic; reset_n : in vl_logic; Sample_Gate : out vl_logic; P : out vl_logic_vector(15 downto 0); N : out vl_logic_vector(15 downto 0); HV_SW_CLR : out vl_logic; HV_SW_LE : out vl_logic; HV_SW_CLK : out vl_logic; HV_SW_DOUT : out vl_logic; AX : out vl_logic_vector(3 downto 0); AY : out vl_logic_vector(2 downto 0); MT_CS : out vl_logic; MT_Strobe : out vl_logic; MT_Data : out vl_logic ); end transmit_test_entity;
-------------------------------------------------------------------------------- -- PROJECT: PIPE MANIA - GAME FOR FPGA -------------------------------------------------------------------------------- -- NAME: DEBOUNCER -- AUTHORS: Jakub Cabal <[email protected]> -- LICENSE: The MIT License, please read LICENSE file -- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity DEBOUNCER is Port ( CLK : in std_logic; -- Clock RST : in std_logic; -- High active asynchronous reset DIN : in std_logic; -- Data input DOUT : out std_logic -- Debounced data output ); end DEBOUNCER; architecture FULL of DEBOUNCER is signal data_shreg : std_logic_vector(3 downto 0); signal data_deb_reg : std_logic; begin DOUT <= data_deb_reg; process (CLK, RST) begin if (RST = '1') then data_shreg <= (others => '0'); data_deb_reg <= '0'; elsif (rising_edge(CLK)) then data_shreg <= data_shreg(2 downto 0) & DIN; data_deb_reg <= data_shreg(0) AND data_shreg(1) AND data_shreg(2) AND data_shreg(3); end if; end process; end FULL;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- -- Entity: arith_addw -- -- Description: -- ------------------------------------ -- Implements wide addition providing several options all based -- on an adaptation of a carry-select approach. -- -- References: -- * Hong Diep Nguyen and Bogdan Pasca and Thomas B. Preusser: -- FPGA-Specific Arithmetic Optimizations of Short-Latency Adders, -- FPL 2011. -- -> ARCH: AAM, CAI, CCA -- -> SKIPPING: CCC -- -- * Marcin Rogawski, Kris Gaj and Ekawat Homsirikamol: -- A Novel Modular Adder for One Thousand Bits and More -- Using Fast Carry Chains of Modern FPGAs, FPL 2014. -- -> ARCH: PAI -- -> SKIPPING: PPN_KS, PPN_BK -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.std_logic_1164.all; library PoC; use PoC.utils.all; use PoC.arith.all; entity arith_addw is generic ( N : positive; -- Operand Width K : positive; -- Block Count ARCH : tArch := AAM; -- Architecture BLOCKING : tBlocking := DFLT; -- Blocking Scheme SKIPPING : tSkipping := CCC; -- Carry Skip Scheme P_INCLUSIVE : boolean := false -- Use Inclusive Propagate, i.e. c^1 ); port ( a, b : in std_logic_vector(N-1 downto 0); cin : in std_logic; s : out std_logic_vector(N-1 downto 0); cout : out std_logic ); end entity; use std.textio.all; library IEEE; use IEEE.numeric_std.all; architecture rtl of arith_addw is -- Determine Block Boundaries type tBlocking_vector is array(tArch) of tBlocking; constant DEFAULT_BLOCKING : tBlocking_vector := (AAM => ASC, CAI => DESC, PAI => DESC, CCA => DESC); type integer_vector is array(natural range<>) of integer; impure function compute_blocks return integer_vector is variable bs : tBlocking := BLOCKING; variable res : integer_vector(K-1 downto 0); variable l : line; begin if bs = DFLT then bs := DEFAULT_BLOCKING(ARCH); end if; case bs is when FIX => assert N >= K report "Cannot have more blocks than input bits." severity failure; for i in res'range loop res(i) := ((i+1)*N+K/2)/K; end loop; when ASC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N-K*(K-1)/2)+K/2)/K + (i+1)*i/2; end loop; when DESC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N+K*(K-1)/2)+K/2)/K - (i+1)*i/2; end loop; when others => report "Unknown blocking scheme: "&tBlocking'image(bs) severity failure; end case; --synthesis translate_off write(l, "Implementing "&integer'image(N)&"-bit wide adder: ARCH="&tArch'image(ARCH)& ", BLOCKING="&tBlocking'image(bs)&'['); for i in K-1 downto 1 loop write(l, res(i)-res(i-1)); write(l, ','); end loop; write(l, res(0)); write(l, "], SKIPPING="&tSkipping'image(SKIPPING)); writeline(output, l); --synthesis translate_on return res; end compute_blocks; constant BLOCKS : integer_vector(K-1 downto 0) := compute_blocks; signal g : std_logic_vector(K-1 downto 1); -- Block Generate signal p : std_logic_vector(K-1 downto 1); -- Block Propagate signal c : std_logic_vector(K-1 downto 1); -- Block Carry-in begin ----------------------------------------------------------------------------- -- Rightmost Block + Carry Computation Core blkCore: block constant M : positive := BLOCKS(0); -- Rightmost Block Width begin -- Carry Computation with Carry Chain genCCC: if SKIPPING = CCC generate signal x, y : unsigned(K+M-2 downto 0); signal z : unsigned(K+M-1 downto 0); begin x <= unsigned(g & a(M-1 downto 0)); genExcl: if not P_INCLUSIVE generate y <= unsigned((g or p) & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor p; end generate genExcl; genIncl: if P_INCLUSIVE generate y <= unsigned(p & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor (p xor g); end generate genIncl; z <= ('0' & x) + y + (0 to 0 => cin); -- output of rightmost block s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- carry output cout <= z(z'left); end generate genCCC; -- LUT-based Carry Computations genLUT: if SKIPPING /= CCC generate signal z : unsigned(M downto 0); begin -- rightmost block z <= unsigned('0' & a(M-1 downto 0)) + unsigned(b(M-1 downto 0)) + (0 to 0 => cin); s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- Plain linear LUT-based Carry Forwarding genPlain: if SKIPPING = PLAIN generate signal t : std_logic_vector(K downto 1); begin -- carry forwarding t(1) <= z(M); t(K downto 2) <= g or (p and c); c <= t(K-1 downto 1); cout <= t(K); end generate genPlain; -- Kogge-Stone Parallel Prefix Network genPPN_KS: if SKIPPING = PPN_KS generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to LEVELS); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genLevels: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin pp(i) <= (pp(i-1)(K-1 downto D) and pp(i-1)(K-D-1 downto 0)) & pp(i-1)(D-1 downto 0); gg(i) <= (gg(i-1)(K-1 downto D) or (pp(i-1)(K-1 downto D) and gg(i-1)(K-D-1 downto 0))) & gg(i-1)(D-1 downto 0); end generate genLevels; c <= gg(LEVELS)(K-2 downto 0); cout <= gg(LEVELS)(K-1); end generate genPPN_KS; -- Brent-Kung Parallel Prefix Network genPPN_BK: if SKIPPING = PPN_BK generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to 2*LEVELS-1); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genMerge: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin genBits: for j in 0 to K-1 generate genOp: if j mod (2*D) = 2*D-1 generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j mod (2*D) /= 2*D-1 generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genMerge; genSpread: for i in LEVELS+1 to 2*LEVELS-1 generate constant D : positive := 2**(2*LEVELS-i-1); begin genBits: for j in 0 to K-1 generate genOp: if j > D and (j+1) mod (2*D) = D generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j <= D or (j+1) mod (2*D) /= D generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genSpread; c <= gg(gg'high)(K-2 downto 0); cout <= gg(gg'high)(K-1); end generate genPPN_BK; end generate genLUT; end block blkCore; ----------------------------------------------------------------------------- -- Implement Carry-Select Variant -- -- all but rightmost block, implementation architecture selected by ARCH genBlocks: for i in 1 to K-1 generate -- Covered Index Range constant LO : positive := BLOCKS(i-1); -- Low Bit Index constant HI : positive := BLOCKS(i)-1; -- High Bit Index -- Internal Block Interface signal aa : unsigned(HI downto LO); signal bb : unsigned(HI downto LO); signal ss : unsigned(HI downto LO); begin -- Connect common block interface aa <= unsigned(a(HI downto LO)); bb <= unsigned(b(HI downto LO)); s(HI downto LO) <= std_logic_vector(ss); -- ARCH-specific Implementations --Add-Add-Multiplex genAAM: if ARCH = AAM generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) signal s1 : unsigned(HI+1 downto LO); -- Block Sum (cin=1) begin s0 <= ('0' & aa) + bb; s1 <= ('0' & aa) + bb + 1; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= s1(HI+1) xor s0(HI+1); end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= s1(HI+1); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s1(HI downto LO); end generate genAAM; -- Compare-Add-Increment genCAI: if ARCH = CAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genCAI; -- Propagate-Add-Increment genPAI: if ARCH = PAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else g(i); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genPAI; -- Compare-Compare-Add genCCA: if ARCH = CCA generate g(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa > not bb else '0'; genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= aa + bb + (0 to 0 => c(i)); end generate genCCA; end generate genBlocks; end architecture;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- -- Entity: arith_addw -- -- Description: -- ------------------------------------ -- Implements wide addition providing several options all based -- on an adaptation of a carry-select approach. -- -- References: -- * Hong Diep Nguyen and Bogdan Pasca and Thomas B. Preusser: -- FPGA-Specific Arithmetic Optimizations of Short-Latency Adders, -- FPL 2011. -- -> ARCH: AAM, CAI, CCA -- -> SKIPPING: CCC -- -- * Marcin Rogawski, Kris Gaj and Ekawat Homsirikamol: -- A Novel Modular Adder for One Thousand Bits and More -- Using Fast Carry Chains of Modern FPGAs, FPL 2014. -- -> ARCH: PAI -- -> SKIPPING: PPN_KS, PPN_BK -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.std_logic_1164.all; library PoC; use PoC.utils.all; use PoC.arith.all; entity arith_addw is generic ( N : positive; -- Operand Width K : positive; -- Block Count ARCH : tArch := AAM; -- Architecture BLOCKING : tBlocking := DFLT; -- Blocking Scheme SKIPPING : tSkipping := CCC; -- Carry Skip Scheme P_INCLUSIVE : boolean := false -- Use Inclusive Propagate, i.e. c^1 ); port ( a, b : in std_logic_vector(N-1 downto 0); cin : in std_logic; s : out std_logic_vector(N-1 downto 0); cout : out std_logic ); end entity; use std.textio.all; library IEEE; use IEEE.numeric_std.all; architecture rtl of arith_addw is -- Determine Block Boundaries type tBlocking_vector is array(tArch) of tBlocking; constant DEFAULT_BLOCKING : tBlocking_vector := (AAM => ASC, CAI => DESC, PAI => DESC, CCA => DESC); type integer_vector is array(natural range<>) of integer; impure function compute_blocks return integer_vector is variable bs : tBlocking := BLOCKING; variable res : integer_vector(K-1 downto 0); variable l : line; begin if bs = DFLT then bs := DEFAULT_BLOCKING(ARCH); end if; case bs is when FIX => assert N >= K report "Cannot have more blocks than input bits." severity failure; for i in res'range loop res(i) := ((i+1)*N+K/2)/K; end loop; when ASC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N-K*(K-1)/2)+K/2)/K + (i+1)*i/2; end loop; when DESC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N+K*(K-1)/2)+K/2)/K - (i+1)*i/2; end loop; when others => report "Unknown blocking scheme: "&tBlocking'image(bs) severity failure; end case; --synthesis translate_off write(l, "Implementing "&integer'image(N)&"-bit wide adder: ARCH="&tArch'image(ARCH)& ", BLOCKING="&tBlocking'image(bs)&'['); for i in K-1 downto 1 loop write(l, res(i)-res(i-1)); write(l, ','); end loop; write(l, res(0)); write(l, "], SKIPPING="&tSkipping'image(SKIPPING)); writeline(output, l); --synthesis translate_on return res; end compute_blocks; constant BLOCKS : integer_vector(K-1 downto 0) := compute_blocks; signal g : std_logic_vector(K-1 downto 1); -- Block Generate signal p : std_logic_vector(K-1 downto 1); -- Block Propagate signal c : std_logic_vector(K-1 downto 1); -- Block Carry-in begin ----------------------------------------------------------------------------- -- Rightmost Block + Carry Computation Core blkCore: block constant M : positive := BLOCKS(0); -- Rightmost Block Width begin -- Carry Computation with Carry Chain genCCC: if SKIPPING = CCC generate signal x, y : unsigned(K+M-2 downto 0); signal z : unsigned(K+M-1 downto 0); begin x <= unsigned(g & a(M-1 downto 0)); genExcl: if not P_INCLUSIVE generate y <= unsigned((g or p) & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor p; end generate genExcl; genIncl: if P_INCLUSIVE generate y <= unsigned(p & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor (p xor g); end generate genIncl; z <= ('0' & x) + y + (0 to 0 => cin); -- output of rightmost block s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- carry output cout <= z(z'left); end generate genCCC; -- LUT-based Carry Computations genLUT: if SKIPPING /= CCC generate signal z : unsigned(M downto 0); begin -- rightmost block z <= unsigned('0' & a(M-1 downto 0)) + unsigned(b(M-1 downto 0)) + (0 to 0 => cin); s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- Plain linear LUT-based Carry Forwarding genPlain: if SKIPPING = PLAIN generate signal t : std_logic_vector(K downto 1); begin -- carry forwarding t(1) <= z(M); t(K downto 2) <= g or (p and c); c <= t(K-1 downto 1); cout <= t(K); end generate genPlain; -- Kogge-Stone Parallel Prefix Network genPPN_KS: if SKIPPING = PPN_KS generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to LEVELS); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genLevels: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin pp(i) <= (pp(i-1)(K-1 downto D) and pp(i-1)(K-D-1 downto 0)) & pp(i-1)(D-1 downto 0); gg(i) <= (gg(i-1)(K-1 downto D) or (pp(i-1)(K-1 downto D) and gg(i-1)(K-D-1 downto 0))) & gg(i-1)(D-1 downto 0); end generate genLevels; c <= gg(LEVELS)(K-2 downto 0); cout <= gg(LEVELS)(K-1); end generate genPPN_KS; -- Brent-Kung Parallel Prefix Network genPPN_BK: if SKIPPING = PPN_BK generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to 2*LEVELS-1); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genMerge: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin genBits: for j in 0 to K-1 generate genOp: if j mod (2*D) = 2*D-1 generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j mod (2*D) /= 2*D-1 generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genMerge; genSpread: for i in LEVELS+1 to 2*LEVELS-1 generate constant D : positive := 2**(2*LEVELS-i-1); begin genBits: for j in 0 to K-1 generate genOp: if j > D and (j+1) mod (2*D) = D generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j <= D or (j+1) mod (2*D) /= D generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genSpread; c <= gg(gg'high)(K-2 downto 0); cout <= gg(gg'high)(K-1); end generate genPPN_BK; end generate genLUT; end block blkCore; ----------------------------------------------------------------------------- -- Implement Carry-Select Variant -- -- all but rightmost block, implementation architecture selected by ARCH genBlocks: for i in 1 to K-1 generate -- Covered Index Range constant LO : positive := BLOCKS(i-1); -- Low Bit Index constant HI : positive := BLOCKS(i)-1; -- High Bit Index -- Internal Block Interface signal aa : unsigned(HI downto LO); signal bb : unsigned(HI downto LO); signal ss : unsigned(HI downto LO); begin -- Connect common block interface aa <= unsigned(a(HI downto LO)); bb <= unsigned(b(HI downto LO)); s(HI downto LO) <= std_logic_vector(ss); -- ARCH-specific Implementations --Add-Add-Multiplex genAAM: if ARCH = AAM generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) signal s1 : unsigned(HI+1 downto LO); -- Block Sum (cin=1) begin s0 <= ('0' & aa) + bb; s1 <= ('0' & aa) + bb + 1; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= s1(HI+1) xor s0(HI+1); end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= s1(HI+1); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s1(HI downto LO); end generate genAAM; -- Compare-Add-Increment genCAI: if ARCH = CAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genCAI; -- Propagate-Add-Increment genPAI: if ARCH = PAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else g(i); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genPAI; -- Compare-Compare-Add genCCA: if ARCH = CCA generate g(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa > not bb else '0'; genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= aa + bb + (0 to 0 => c(i)); end generate genCCA; end generate genBlocks; end architecture;
--! @file GPIOarray.vhd --! @author Salvatore Barone <[email protected]> --! @date 07 04 2017 --! --! @copyright --! Copyright 2017 Salvatore Barone <[email protected]> --! --! This file is part of Zynq7000DriverPack --! --! Zynq7000DriverPack is free software; you can redistribute it and/or modify it under the terms of --! the GNU General Public License as published by the Free Software Foundation; either version 3 of --! the License, or any later version. --! --! Zynq7000DriverPack is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; --! without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --! GNU General Public License for more details. --! --! You should have received a copy of the GNU General Public License along with this program; if not, --! write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, --! USA. --! --! @addtogroup myGPIO --! @{ --! @addtogroup GPIO-array --! @{ library ieee; use ieee.std_logic_1164.all; --! @brief Array di celle GPIO, pilotabili singolarmente entity GPIOarray is Generic ( GPIO_width : natural := 4); --! --! numero di istanze GPIO create, di default pari a 4 celle. Port ( GPIO_enable : in std_logic_vector (GPIO_width-1 downto 0); --! --! segnale di abilitazione, permette di pilotare la linea "GPIO_inout". --! Quando GPIO_enable(i)=1, la linea GPIO_inout(i) e quella GPIO_write(i) sono connesse tra loro, consentendo --! la scrittura del valore del pin. GPIO_write : in std_logic_vector (GPIO_width-1 downto 0); --! --! segnale di input, diretto verso l'esterno del device. --! Quando GPIO_enable(i)=1, la linea GPIO_inout(i) e quella GPIO_write(i) sono connesse tra loro, consentendo --! la scrittura del valore del pin. GPIO_inout : inout std_logic_vector (GPIO_width-1 downto 0); --! --! segnale bidirezionale diretto verso l'esterno del device. Può essere usato per leggere/scrivere --! segnali digitali da/verso l'esterno del device. GPIO_read : out std_logic_vector (GPIO_width-1 downto 0)); --! --! segnale di output, diretto verso l'esterno del device. --! Quando GPIO_enable(i)=1, la linea GPIO_inout(i) e quella GPIO_write(i) sono connesse tra loro, consentendo --! la scrittura del valore del pin, per cui questo segnale assume esattamente il valore con cui viene --! impostato il segnale GPIO_write(i). Se GPIO_enable(i)=0, il valore del segnale può essere forzato dall' --! esterno del device. end GPIOarray; architecture Structural of GPIOarray is component GPIOsingle is Port ( GPIO_enable : in std_logic; GPIO_write : in std_logic; GPIO_inout : inout std_logic; GPIO_read : out std_logic); end component; begin gpio_array : for i in GPIO_width-1 downto 0 generate single_gpio : GPIOsingle Port map( GPIO_enable => GPIO_enable(i), GPIO_write => GPIO_write(i), GPIO_inout => GPIO_inout(i), GPIO_read => GPIO_read(i)); end generate; end Structural; --! @} --! @}
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPb3cQ7D8AdF3V6RJ+2zF3nHNeO986BnStbWQ/LDnV7dyKLPiV1Pxf0zFy3tjg8V24NhUTEdPTbv SuOfOtN/BQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cxyfxjo827s0Tf+ieEIAdle0Z0zmQo4/g1YLgEVSefTHH9RGd2+ST/H5iP3xjH3qIpJaq94PI5P4 X1tPKaKpUoyp59GZt4UBiVTRPeXj1wJ4b9roulzgix2x24Ok2niQ3lNlu8GAAmxfCFLcxsBt54E6 5XRcTSW1ilAuYv7bL7Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MYI+pjMm9sgOHP8lTQLzHRWv5jNifS29uSle9i7Ao7mEdyVmZbmwtbwgPNyP2aqHTB1u1GMAqlH3 QnzKumQ/Bkq9Y9o0F0H5gEDXNRyj7LC6+/9L2S5WmFA3tUoTHmFoytOIOQeXBXFvLL+EwxjW9wlz u9TD6cYsbUPgZjQeOhQnyBZOknj6dMr6suOro1i+yAcwJ2lFUjG9s23HWYtGZdoyV24lwuvqf4Tl txpqwTqPLxo4jyLwOxzAFD5S6taIllwnAnf8ihuOcZ77yUOj3Wxf5K/NtumwrG7/2ZDjbJB/T88E A9LI8RZR08MwwllOxOYGZcNn9iwvfC4+jhfK7w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zn3yAD0F7vl0jlUD+3Kf0qMwOwMrptmwemf6QegVaABxRzvUYJ97gPNGb647L1sxvJUShR22Lca4 MjqGefQW6BK73bHyj1hWV+WtHrpkP9BvENZal5vAwkMrPjoljff93MjRhviQzQOXKSwUvHCat5wA nbt4W7LuY7sjcwuI0yw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DKR0MXhurGGcFqsaGAWeEEtbkpfpwtE3ZemZAGSlzDUfYhM4+mJbJGMRLOkXMceAL/zaU4FY/BHU ScNMg5Q/NLUEYgllhll1XDJL9Kr2CFULQioyt3JeIgl0fbdh3ngwzlwHlQFB+7WuxVKG8mDC/H3s E5JAmbEmaGdauK5F8N8SN6a0cZUFDkrGC9xoQOATVGcd+Hq2+6yB4yd+4L+uhkDJAyaGLJVkGymc IClL8tT9qAnwDVSHz9Sc7V/T37PU24zDWHbJhZY2EXxJDCNV+2rWidPUebb5eF94k51X4vn3Cd+z AO7+lXW9BnQJpjcVJgZijhqM4IDzTUsCn6r2Og== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344) `protect data_block d6EPpdjBNVwCIdMQrooJcnfTrBicF9wc2WMUznExJvlYahtVm1DfUNGufb+ZMx7K47ISJLQ7qCQW 7jeyPG0nNZmdAOBCZ1MtBP66pHzE1j9JY+7ssfzNCTE5E9O/adkS8v4SB1xUvvuxGbnjxBMekazJ dLsbvyS2A2G9NvW4kzLuTxW6F8lxBjgJko5qO0XsdoT8TNjVIyCnfDHHRg2ZCWioCy98h0F2hcCp GhHVcPp/KtbzJkkHVZrCNulplXzRr6wm4lMhhFWjB8wt7TRrdOMm9ZNE1lmmgYfU41w9IPjUC304 cj9ZMERHWWSJzojX5MZeHRlHLGNjqOJLywPH1y4sC71TW1ihPTYvxbkyo+LvVywSS8uOmXiCTW3i 9JC7t5NkHpvt9IN0s8JOYU+HjyY7pAnBX4rEsZCvsD4Y/EfZH07tq+/A69vIJ4pvsa7iJzJVjv5O XIZcIJqQs0/2lnOBUL1UJ/VUNWKpWA8zyB33RP7xKLrcr7Yn1F08IDtXXyXTbMihQteOh9AIwX88 DwIIyO3krmMBdE6p/ome1WTlyZPqhkPRKzxf6GJ5w9+wYitGBhgHalQkYnV+UvIjBLN3lohtSOAp F3In3hYdOisv7ekCsiV7mK29Jc/mGDOsmqE1CoXGckFDIWXo30Tsjfo/YWjW77N8waLeh5s3YLZB XLnndh0lPJpQkstGHGXY3irHZYTTNCJ9fNmvokMI/LkzjGYm+Y9Yt5aUwlI61a/qvOitR8952qu5 HJf+2uSJAMYQL/yiZZAiH3lT7wIP+qHNWv3H0pEn8UV+7wcdVaaJqfDgUNjbHeLoUgyaJ6kNL9zp xoclI4ApKfubVFSGjYHP1sXhXZWB6iDV9nBfRqM92xUZuqddgOUlqjJXaYdFOUuyl+ezL8mER8b8 iH2VnGOcOhC59OxED4AKvPenajdYobm/4+ONEA1jTjHs9QZvvAiZhWzGJDWKWVbjB7H0nv5lVAYy iKvQ/wQKy3Tcq72B1DAV8dKqxV+ZAzIS7vJsAlKOp9VhNf+E4s8osRt7TTlmYNr7p6TDNqjy+fYw iZX/FziusPvGTbsD+4BAFj78hXwjVC47LBOl4V0kQzQp6pWaT3f7O14BzEVujOR5Y+1wApDt1CTf UsBOZVvmbtwrioYfMnJyC4tfvUWgW3s8R7ck1yWZrAw3XVQatc25nr4e2PKceKyK/OS2SbWuL978 gPAaF5ihai3mOKh6fCAoTbdCzI5ph1Xk2jnYxZep9N924OKPsjkWlJuv+GBj9YQV8XxfiakBM9z4 txwn7bNoOFIfx5ovSuZL/waA/Jho3RfArgU5HwLC9WjkFsNkufm+vloj/gb64FkbbnoPILT5GUbS QDdnmXe612f9vutTVxTj9OREcZiy+1ogNFm9jU3B+LByDkFbJJ3GcCIL013/javBvsBS17LC92xL +LXBUt2MU56/TCtdhEsNzvqAtmWuxvkdO/zgaXJ3FNCqDNEimTZ4Mv1hcz3bZDj7hzfvppqytFyh PKqO/DpEnLECpkY/L9fCPBn+zS1sKHpiT3wKxmSHVEbV9IAsBUFb/ZDDeKYzHt+15WB02P9PGh1J st4MmL6oEh6KKJywGuIAiP7ZNTLD3/P7ldhtzMLTquCsZLqNyOWe98ftQzenJa4Oft0oeNwezreM od/zhi3jhW6JU1qXEN5qH+oQ7vPue3j1T0ZtJ65MKcBnOPb6Qv7k+u7FSld8/e5v2Ey5q32HYbgS w5yuqiNkN4fZGfmc8sCxnJMAJijinyQ36w/FcDStnLbj1jyUtlT00P2b8wsT2tlfcHzx7PqI4MUO eQxYXhjRqOZZpnkGFW5B048UmnyGUIXU4EyDV3QxoGANI53ywgepG6+uDn/FCWGYJ/ZwFGxkCqcz T56Xm72QAMG828HycPHaxSAR82hhgt4pyGVGiS5YKj+ESokS3Qa++z76xyDk1uvak4GfKW/jJqVC sfQbpyFC6DbWQtMHrYRLuZSvoy5j7xAey+leYanRt/e0JLM3BCjVr4OoBNCUIznyD0eoJOzJ8W4Y 2ihxJJjCdPByMZ8GGJAlM/0En8TszvdpV/RY4ngX11sgtcmZPz+akqgzuBLr/ZZrJNP2W9GFYIxF 5Z6qm0SFWk6VFbiCQ3hcuRCZc14Y7PjNvdYk01ChCmOv9+eBUSKScaGHXfjNktNhn4lX8jEfc6Ek /yIEFLGV2aiWZoUiQ/fgh90a5R0LErOI2gzn+Xtzr+bfjrMuE5z8raleVmdjGXO3Rt+xbqTtG+3Y oARf8xSZmoo4s7wph6y9/zKns7O0+uGeKxUhw0r39jNVCLpVO4JNPMsUEM4rxgtKzcQSjakzJH92 X61FuH9L22CbEfJMJYiwxb65XXZff+t5lNmU42lATVjCQuAgTZvNcVuH5TnwVRalYRNkq9HcvyFj 9yTraqwxKSLBltsfJlhBKqd1YOooscBch2ihd+O6HyVihdr6XWXqaVV5T1wQJXIkLDIVylSEPMej yTdcMtURNj3iXj4EmlAtByq/fVC8hsv8bpP1AmlDinqJbG6tWfzWsEe2dRcfBzayDyP1jcbokPDK lV0fJevNLXYn+oUNoWO6ulH6nREhlwGbBC8tlTPy3Tkrqt2t5FuLGXj4+eej+2m9ojOti/X9DI8A TNeVGTs2wI8+YlxteO2mQWmIN0hGTtQbfbt74f0iiWywH9GZBHyQuz9dQEs1reds8m42mGuR9LuO z8ZcTnE6fQFtaIrj+HbIpZSGhWmBJY1UxuRqLD2sBPkc1Uu2c+TsgvhB6bGOYlq18eonRzjZllSC GEOO2oFV5t6KETFNAmu0NVGi/eclDOvbcp/Hk261QkWbC7szNQ3xF7w722srAS18oT0rYYbTBbwF aF3iNbOU4RJVjTvdK6lnkG1vJ8KWMLhZUQ5reK09rL0x2ko7m9hbnx7bdcOGbOK7+OK9zYF28h6u voktnD4vIlFePHMOkSQw+5dasIcV8TzmBKcrIOQF8f/hEz+mPFyh4VL/0l7b83R3DJ7zipleRjOz hbmOBN1nse4Ui/wQmJPclQAhFhINEjj0rEtynl87TcFSYxUe/uvVE/wF56K8Z3we5A5+L+bGMGGc lFUoezzP0QHTx24Pn5ucPrus3y3YzkBKjCPhMQS4kEoevjY6cdYVUxNEOgzuMPwPv7gp2aTuDElR uXf9pzC6FwsIVFRgEHKZ9jADlyLmP1dZ3IICsV019TqwBbwiYNnG/MVOcbNZWBKY3PyYW6ZK0QQY 0c7jveP+sSPmoDNJNcBzXRu/jDj6qbSH52b/wNGbIleJoKoW1/3G8gneKOPsHggU3I56ruAzL+f+ Ioo7UPF47ffaTCF2HFSdPUPAgQBVTazmTiJLds3FMyGsSwoSwaQqgILU2nlxdEoZIpxFEJ+vXBHw SY2vOKM79TDFr3CQnfINgTaqn/TKX+ACJCnOzygI+7lapkgI/TATnfffrfYqCrSveWcpCLEYWGx9 4oPrCLyRM2ZS60nvtedJmFyKad2qN+fi9HxVoZzSU/AUjv5BO2c41XRY6A/2M5Jnuga9D3Wi4jgS 0nDewjur1g3+9T6fIVPc6TWVJfqnRvY+HyP8PjVLNFh9zD2KQszZ5Ez3iyxkOvrgBMTy8sr2SnTc 32OiW4cC+0kJaSTzce4FwM2/18f0cWy/m196NvigceC6wrVqmM6Cvtdp13bGZQjV1PKDmJvIyv/H cFFwEXIsqCulKdlWhrx7AxQA1R0HmS0tG51kbh5LaFHPYJcD3ijnw9NjRClyvXIcwGN/oFStrTwL V1cnvuvLSOp2sDlZgZphsqQ5fv2sTmUx+H81vz5b6kxdiA2ZwpzX/SYYXLUsirwT/muovZm3W35l LMqOtM2hrUnJYwUXcw96jVQkxSpcUi8tQITGdQfyYnvE/vJWgGaf+SS4gcKcn34aPRNR5RAt7PdS wIzLlMuUa/YcTY/6pKNfYYcTph5hXgnLWrcwVKTpd8/p7TaxmMhgJBGq56NJ9JilrAEm+hxkI9xX KFHY+NHbo3wN5wd36GX2lJqEsk9ayqpbFot+Gx3DjzcO4XwrMc8zMsd7mK8BIFSE6jEHvpn8mxMu PObQCq60PgO/mBt6y/VeX7A7CvqvXDumonYJUnz01qImn9bYIYNPUuBKTwx/vuS9mOdhGQ1NAdQo Qt+5V2sSHCMYPH8amr0zEEv5RFag9P9JcA1uygz15YhrXnx+A76DL6JSqX7LAzmP07V6NPb0AZ3+ dTtlOhW80aDB/AWgiSjhGpJo2huzNzj6rp+XQAoEprGUc7NDYK/J+lRs6yZYa4R2sSJBtacvNx8b YDryRgKsvg+4gAzjHAwXWHwy1czpN3jO64j1ymL19uBiuRSJxhLgWKGL/0lNOuNyu8WkdzWiftuu BNO4BJW7l/Blmoqm+MSInTaPFZQdyo+QYkNkmVidKeN8GJbgkpYOzR96uRYtcWx9KiScKZV3A1PV w1Q3bubrFZgkPFciN9+Cx6oo2Il3feDYZqH5ALE33uyr4aXJWVXgSIQcPbYPNdaA4LuPAEPt5G4t O5u+leyHfPXKPZDHgtZRiZn9ge8fxvGbyiXGtwykq9qSHj8W10+0qMXRSzw4wqrmck64pELcfdlV a3QsrfM0UNoXo7vfUe5aAF1i66iOc+/sREgN3HBrBk74U2442AV7nD0ay9fYXT2lMiqBY16h4keZ Wem4nT/SCXjORB7t6KMEVmfasTyXEDQNgzUHvXzhvDHCNIXnticTuWSXmJbYPbGRL6qPfuYk0YTn vbCqxmzpqYLAGQls9DPzjyIkWZSMHj3DPlyk+H7QQDvuf379f31htdwrWufzcohr4lNHec/+0fCq h+FcSZ4Q2VtgJ/66PCa6SLxkRkkznph9rGn6QCmx9cMow9Q3k++yuNX2Onj9mJk5X/HZnqCt/V6p NPnA3onYO49eDU/Bt0dmTlxfByx+wFeubJ84gFVWI6S+qaFiSLwSwKTJ62W4hVc9s3Cd5y5d+HUx ssMUussKpH+Xqq7qOXvIq2bJRa/nQAev7vDgrhNhB+y8iZAwL8r4N3sKIl2zwPD4ZG1ct0kZGm1M L5K476GGfMZDp9ji2RGQysxja/Fa8lJYw+Sy4T8aJnOseagzR1QQLwM+rlqwiBs6ud4IjGb6ZnTS axlEjrZlNfhhJmwjZnihhAUv0TC8+E+wUBptyRorsde1gIRmg0nH3g/jtOCq+W7vi5AelHGwDvO5 cQU4STDs5tbppFEnRxZ+5iIw+rEZL9euDagb3TaG5Dlx0PBqn1ccEOGGbmvg0kZD6P8aAcMNuyfH TGqw8OIwM43PnjNsGRDKfPk/pxCA5LhvuwqN69p8sIQvoMcUh0k0Q+FrWJondisnxb5iTy2frwtO 5+audmJmx4or41Iie1HAC7GCMZCyotIrp2k3Vj7k4wMPQkNx4ogcA0GjKruP0MEMQGSliChUOeAT z9jUbVSZs0ofjweottJJ9hpe1N5wiAOpFegOpPtIEHzb+m8KMx19pJpvuLwyA1BMZihM44OrDQ+/ e1uxG/1Z6GCJBFUEFWCgo/1maobTVhUtum09RoEK5OlSgKxBekIcDUFTUXelGfNGRVAlFSBUdG0z uMzZeFEhEw0+OU5DvwF3UCg9FocTXCqifs4pcn8ftYQJ6dsLm48v5M6vDk6Vt6UfHvXGdKkgkPPh nBAPXpbn1JfGh4iTvBfJ8bnNGiQbOpsXolnzLODrQ7ULgzZLZm3okzD2pjDi8bb0ei8Et5yoc0ZK ae2I4b7720HT63m1xNSVSMStYnae/eQlYFqNNUbMBJTk4+1Bdm3kK0VZHfTyS/ySs5LgvTDamlsG aOhYh7kfdJ2vrA/joHdUKXEkLODZsZi0DQxPI2vrAqQlPq2/M7aFXS26ioFUFVS5uQt+Es2ZzDzV kUQfUU+LZf+k+3gz/90ARPGF1Fv81Oq1vH4IWVWZCt2hQLzLpVXfiAzKxKzgYvOunF74ZAcWB04Z IKwL+6ENpAkQCmAxLSGo586ahyr8Bl7omWATjEYtt2nKXSlz6vOB+E3FcaNdDYpMGMibRWAUA6Yi swOPW5ucYMhDOec5rKBZ9lTG/pyPrXydK14xSMNK3MKg1M5SBihYU3ilf1Pu5dxQA3YbGWrIIZ5H O49/RutpZwdhV14pqYfqGzzW2164lslgVJwqBlsgXIsYqz3IHCU0E3zCDbigKvHPWYjaqdwpOIpk BkCKj5uoG9sf4gNDASuSnz08hBrqatgri079dPQoDwZdP5Z8IkXmDm5Yy0PBNfOdI0N7XnCnZitL 6f+Alw7K5VX3OJOr3IK2Osx5x3IJq74IZct6kcuubsmUtpdUZ9g+jZvvzNB4d20VyT2pGPZK3Fbz QskMOC24b2ZnHUzZfkM8j+BoWZFPkN8B+/CwavoDoKHItMl4SL0xQSfdhNXc5Bptb5YbPLv6ebCY XFIDYLblV8zjTco2s6kGXAuyFP1G+trfz0xWbJUOK/ky7sN6H8Ve8uQctO9S7J0yBTG+wHPAV4wf aldd2D/U2ySM2BYwYLB5LbodEA9A3aYJQcMtehQqNTcgoSZ8KQpX9M58oFXW/qrcGqxefyGlytcm /Ym5m9DqhORxbmQXzovHQyTp3aDWnkmZFtVtHs8PhGTGx3z/iDjiprvagspxG6qrC3yMlPdgqP+I B/3Qy7JYJDHCDf+DEhk71Sl6GRQxO2dGwbquizU+6SkfhyrH2C0tuoR5x4+C80iRlQY1eF5yjud3 VmqR71jP7lOdVPZy43wXoTLUzChgTBH6t92rJTwbuZlEXJqJwEnI2GDXr8UICx/gtkFFREMwrUeF azdgU12GpEzJpV5TY9f6UFXEJFMsj8nOTDtzh1x/HCVgZ/4Ibvzr3939VyYuRMVUClQM77X6+zoa aTFs7qda1s9U2ZAaENzvKprXrYu31+x7EjbDPOkd6cWccJdPp4HRbJ8I/dnuBnM7PtGwauhI5RZf xSEvl2u3sbxe3rdTPQRWlCgGOR2K3BJW+A6Oo+4o+xTfuU+mN8+b7yrpV2dPthai269VzkO5hx49 +JJsH1O0mfuSpxmQWrBawoNqSlNY6LGqB+llkMtuFxxgm1NnwwpWaMhItlyQoW4x2ibATPPps2Fj 72WkobRqrDZNDvx5yKZyaBF/sYgDBH3P7Tag8rUR+P/GlittllzvoqygS2fAV+OyCUK8KWZ7yyfB YiYpcROId84S6BkGxbpR6KkCq27I3cQFROxQE12q4MqPYDFKRQwF/XJgbd9k74+ZkA8dn2XvYiTM Q+kEmEpyI7TNRW2jjIzAeXuc7uAFEQDbXSEFCELtiWV/Q+AUEOeipH2z1YDVIwhEo+Pog98pOwqv hTupCo5pU4WG+yr+bunvyzSvDRT+uQSuZ0f+6Kl89ST+pfuoIHja4sH8AxU4Y1TzI+qSg9k4Bg3l dUm4MGPdZ5CgRp+kcBpuBv811p56+eWXdX4HZJPA/iZiisNUmSWjEucYvzuzAqlAjQByhnxmUo7i KF8PuX6nMPd1PjCmcaYAkhlUIipjAVNWPc6pdXstSZeBCplHOtVp/DUmMT0hrrEjEAcJjHTaaBQ+ Mggg02vtP7UVbkpKCMGYS9kX93KVqLtfRb2SK04tMY8vaOQ61ygYnS4UKAxXqQoKJLYmRPAALcD8 8s2wSVXCp2Kjg72IBEw1s5SbRLKt06qaSmzdmZFGatuU11YjoBHnr+vCncrf+MoerPf/96KSuM89 ybuFLf9RUiTQekEPfogw6TQPBv5pdJQaXbZYsYM6bfSswCu8TQUuuSPf5XB7PxSouOz7ElbW4w8X GcRRbfJX+WfniRv8j0RL2qQHdmJ6F+FfFrpHyL2hvbPiEtF7mUJDQDIjcgJBYslJADnf0UVX23TM IRDaP/sAtRuqNncPzL+31o5/zOl0gs686kZ922PRKqMFROaqkrG3rt+JSp3CqS+8GfURG8ClASfv Sb/nmD7UwraoYChPqFPMFiGKTOdaRGgVV3d5tTD+Cfvhurh4IzNMfDc/ZJ+ptAPDwV394cW8fjRF ATaEE3S2o3MOBlaXT1I8cZ5WbE8X5odjni7FPQIe0MJypYQ1bsDnH5uw+lB8vaRdsn7xl/CPDXK4 pNufLIajWkkfUBH1H5fb1jz3VCrAwCkBJrvnfs4xX2xCLRNiQuZUIfegVTFVYYxGS4zPKQPATeHj FyrNa60yR7a3kKtTn1dpA4A1izYanY1alHSGCKLipBf2IspTz340QFdFjBD6Zut2utBgoPx751sy rldE/mUpR8/vMoitu0G+4yerhJ+z3YyBeOg46XOuHy2rab70xZW+Lj25MKaDDzd50+KUcKpHoBIa +F66ld/6FACXqtPFmpKAwQYy7iFD1nXatkPqIIEvljnUzC25W98C9lIAcLRtHeFqytV0bQNaOOAA A4ySq6zzjxO1Cj4r3mFt454rCXeXUcKBitkBGHYchQiKiqISIdP2P6QZI2479HbuiekYYVeaIm8i PxrIZkjJCc66Q1R3xHcLvNLTq/qgVcjJ4t9CjoGeIVRHcUNd954t7feeqczJ+50RXqtuvu+PTndo W8VVPbFzGEttUMykd6oR4sWUHm7fbz9GGyu5EaFPyVJlSEkTabPCADzcAMuoK03bz66HKeeP4cpb 7qZLvA0canMWzbbbhAwxAMXMbv5CflROH4hW3kufJSgq7YJwo2WY0YiGTYQUu7EX6QNbCGwzHFhF WeQe15bwmQr4BrQAWJ8vOeUE3D1rb+SbDgBzn0tt5HkYqlq2Msb6t7Ep2kvLaoRHrnRLHrmB8PrK n8dybcsxvFzO6xLAdXtqUiibHhRMNkGORw0BpGUI+CfWZFObzKWxCFf4LEsawYsqeRmE/ED4mXWP kVy1GG32nLoQ/oiwK3KkZya6OIkffM4+YgE2V2GJUbfQppOnhS1RzeDI9up8TI/pcwiH5y6InzCu QzxczZGhWphqoFpRWcrthfFpYtS+mdaXosseafgsDcDbQw1DQ0zdB9waW3vfYGe0DxubsWtmaAVx luUUe36BEqBK2udNEtsWHbok2iLnVrgAMYuF2xf5I8IDxbMir0i5Gq7DFZNNnF0PzXZHHYuf1JHg wxpqp+muh1iQ6eqZZNAiO1OdLqMJ+yh7UoSmaGkhZAxPBx5m4HLk7hDb2XHCAjI6+qrHeX8y/0tP G4RwMS3F2JC/JMk5ozJg25D6d6xKFE9wurUM1aWABcu3AAE4xfMK8WKZ54NOkdhHGBGVimh/dM94 mfWXPq+KWeWgJqRrKvtnq+2yR8xtXSxvhalbCjkfIbgnoXZbvJ6uuYz69ltYjY56PY3vgFq6QHpw 4h8ojKMQZoHan4STGx7wyXJYGIaPHAVJWRuqNzjsI9vWLFOx0dSCMAO0KJRx8Mu0P6QBsLn0seOm SWds6bJl3VHAMFscH75wIi2jZL0E3zq3VuJBpLIB0rSzav1nlMSoqBkA7vlLacVTm88zR0ZRE5BB +jYqK4Dq3fDLFEgh/+h0ipkW9QOdy0qkUiDUTyTMBMJGUXFR4xVSHngDyf2+AkJBcbCh13/3Nr73 G248djod1U0fH2uljGv6KPRoBLLcNEgajgsKyxl3Mn2tUwyTLoIWeV4cfBWEMo51PCdp8cP7YtTn fZVcq+itXmyl4oY5qgkEMlaC4lswc3p5vUAh64ML9tJk4rwbq6hoTyyjW15TN5cIqwMEncgPcbSS tRRwBBfdw/pbGoEefeJ4YzYPgM41HokgdsOo8IUZezIg3hck5X+7ogpP/CZG9s1BDfWsM4HXkRx/ I0XwcplgvnFNqxit4v/IpILeUnEfeoV5vhK1ShggO8ck7mnQw9gzeHE9r68715AXwmAeglj8Y2il BVd+u1JeIUtameF+bAIJxzE2Wii1Mpd9dKZVnm1pm7CLQHfIOpeL35sOzzFekexUkdjL7yheytxN U9jAp128B5yq2Mw/zXSNpDaCEdasX0tj7oC2jY8yWuIKO2ZhCuN25xKjhnLmboQuRxgY51+DJQ7p uAYqa1IlA8p7mTFp1JgSKhGgZ9k6zZb1Uxk++lsyJygNw6MUCHlc77TI0nwxcS/S3otEoYHyL1fi Adx9nc8Rd6LrwzsT11/P028rFzIMYUyn/lVew6+U1s79ymC+yKgqZoe/3VG3/U3ZzBIj06YGpUoM 5OZ9wGzwDSKzm4BS7r3R0h4eKzlo76fYJf4JTgIyGDuQFFnlkEe6VbKOQyGnof3VjGUfwT0NEclL Ru5eWraSo5aeYvGRDiDbsS23b7JlmVZ+7IyIaPaDvDf0Ulv4Wz/SYtsYYw4bKj5IUEdobiKZMDxI ChGW13g+DuTq1YruxtXgOKbqatDtsLw9XwxyVDlNS/X0RhLuQMwRXsDzjHi9+thhuWMGatDECFwZ 4CUf1of+QpDzvxdFcO0i+xCPv/lU3fdhihCwhUVsBEvk/4q70+pyOvAUgKzkz8cOq2ppAzZuC3p9 UN3pOaKDEHuWH7uLQe5Qk95o4StU6BSJlXJvh43Yn5Qj9eR3Wj78l62FfMGEeP02pcFyywGKn8AD g7gD45cXhv/OPbuCerx9fVM7jpJtXBf8k9C5/w0IXSlwo7Q7/B/28CcYLzwsiqJad2yKxtgP81FD RevQFUV97u8YD4T+r08y+Xiokd4KUCCgZ1eqin9m5AuVElGB+hLlJvbTDvzw362Yw6NO5Bn3+15u iJdi666iGOa0RIsgkSTgH2tZ3oGiZOJfhPoJl9sBxBhEx3hmoSS74pcJO2P7mhQCm+WQXfprpi1D E+9iQOR4IF1hu/cDxUAGefF1ZoGEruUuQrVZ1J95AYxrxZOxilV14FRZppZpQsHfzuQhBNeF0WT+ e3UeSiK4PV1LbfRYfs20lUyXAxgQUj156AFYm3WiUNEmIfeI4EtckD8OOa+Yfqwqlyj8BliiTUH8 c9B63GRsBoOqe8QZgpcO+zEdWVU1gQcmSCaWe89PWb7mpdXSdLIGBF8k8QxY8quqqYCZ9HRGzKj/ 464t9vGzkhUedx490l2zjyrc4cAvmtoeFKD93B2lEb4ANJ2/+3Nwl7fgXkRpW7rqf2DHdJt96wQc uxU3wzCVs2xyciiK1fUH2+COafWWHOCvD+0tIgQoV0l8s7D5rWPWV/4LwvBnNAFHeucSG1ukbdNn mqWhZ+rmfu+3twJfPDWOrbYLIBKpgQgB9Czcj4Q5vMf4hf1EZyk/Co1CfJgu2lEo7XT5WzYmnbcZ KTXI7RkLDQMXk66QiYKOq9AOiXO2xwDgcPJMFkpFrPNnHP44Nzf1T3QvrIXwCGMOeRIsB7ndE6o/ rK9HtDtskpegRkcqNsCqQA8fnV4zrwM3NkP5FOJd5ks5lT4D7TcLGq+muyXxbFdTeaCLGSVFmua5 PbL5O8R58N4l9vi0yn6FrBEtZ2HgtSDc58QHtTRR5aeAhxN+mqHBc6h7KDvrn+iq2biyGaELqD8D vwhJUmVHx3QGS6TPkw2p25SF89gnNeJDUU03JHd6t9OyjsGL65lNIYBWxruvYF/wFuIdlZCmkbYj rtxvINv+5Wv+B9eYfGwFQ0f/wsukTvJqyuexMKKX6kbUKgX4hTcXxyPOmHRywAcpXqVXdV5S3BAO dXRkDV9ZJ/UMTp0ChkI1trofxVQEDKB/AnO5GiMT/9fGTdFnohvJpWapKkSqtmcsr6/ts+0l9VPu ivAMdkVqAi2W9OpEhth1RvsdzVZeQEeFjkrh1KhWtgKJKNvdxaj/peTDsCWOiKiADHnsvEayrisZ BfPnhMK0hKWOTpjnx7iRsbFR332ZntEWHGzeyv7qdeqeDctb5i/yA5/HEprDrU7Rl6URwQpAYRAA ffyZ87GT9ULr6ur837DpL9RnmDQZpSJ6csB1BKfh41ZffC5xpo8fdPyCyrpVwCgm96pb+nR/0YG1 ydQ46c49uxY/LyLVtEssdt3Dh6IJIymal09OHbYhreLuFEPMf0uCkNkji7fO3oigWAwH1WrTxHgy 3cj2rN3V9ZVQD5GXSIAic6KvlpIU+BZHNom70zTi0QVXFSMhcK75U+CcMqBTd8LSrtddMBnGZPET zh0MQhF6MMaVkgZZtVVE8lYmgTlv6M/I/Ur/6r8FYzzoKod7r34b76ni05zNL5HU35Tt26CPWI// gH6bPdJ27pZrIs4VbMlD4g/6R5rehs18j1kpPvn75poHw8CwsA5hmrRXLPEjX5V8XNxTUHYZwXjP h1l32jYBVEPhiksCH5q1NU7MoGfWlLbttQnDWJ3FfQD4y9YYL24UEzunBUZaUeDggff0jCcRFrsW EZiCEciTPT8nEm2t6Pn3o/7IIUojmbjifJN2rkre0ZW+pZU1jUNMJd/AJxTT00zTwJRx2zxNdszK t317eaYafPl5dK8+WrOsgxBaM5nI7Z/yoMaUCuQExCqx8N8pV73Q0+4QyPDICyuY+abtPAjOI4As 4HYHaZ6smomqQIgdYpkiFxkgoNQTnHrNmpM2CjbjLWmZ/lb4VtCr1LVSEUEeLXnf7rWMW28qCiiQ oPZETZGkGnlqSXcHd6G9nCgs8MkOTMitnNsNK2W2LaIAP7ZQpMyqZls/G/xil2gjsY2GdL579ITv 6lIR2XZe3xQf3Gfd703el1FH0whdvxeS8OYOC3jgUfPWhD23kHQ7c1XPkDQlfgLDOx27b1QGQM9y +5lKKXmjKJ0JZLtYVIehRj61vL4Egq32Bxjuz2OYtelAID1B2/kXuCFWmsmW2Fj3oRour6/oAiX6 UprYuEfKP0G0Uy2fVbjGOyz5mPxpe3RWqTDJSNJEpBPueif8+7wc0IvbF3aYrKItbfZ+y8SvM3ng MD/Gm/GQ3mblpQbs47nF0GBKKKhnjQWGaAIDPwI9C8LxQ5jv1v7mPPOExE2jDw0i9TtEUbeqwP6a jPsMqZ21YpoBrajW6MV9CsGzCEtLS84fH+rNQ88d0Nbo6iLX6Ll6bo8Yf/QfFS3oLdDmKkuyCh4o 6ZflgdPGDgGzd4PF+AJ6pN/TZPdxIoP8vi5h+Jdrb7AZOyDNPm2HSD8rnAwWKc9TThKFl9cKzqj7 sS7IwzSJWKG9KJhtRL5+JqWRu/9GJJtprAqRU1f9R/EAVC4dVu4B+N66lZgxjPCGwGFIBjU1uxM6 15TYffxIZCkZZUEkFaU4tXdeLRU6Vn6dJZIlJ1uJyGJGeBEjD0kZEdhXnM7hSxcwjE9Y7wW1fTQV zrfEC22TkjiqRBx+VRaMZdHkdn88uCmGqLZDSO41GsUlB5jC0IkXCri8emiE69geNFDyD6yuyhE5 siXL5mZfQlCBOhISiGUyJh5RLAGVouVntFqSE58Xt7+4M4RxYaxb9ZcnDbQ0k2PiUWPkNUueKMZ2 VSY97ke5ykNXWuQ1Sy5FnCahYB/SLR/Kc98btdgfrNjJpeHr4vlzd02GXuOWVEsReqHBPQCsD+wf kdw4YyScNqWW27Z5mDg/+Z15FwYzHvEFxKtDBtxlKZpBfqdZ51UQMJY/UlZmtKlUQ2m2eRQgPv7V 0fheDiWKI1uGMQ3GXdhIUeOaVgqi3NVH/8jXqR6qPEIb8sH9sZZLsCYkuwDPRuHlhdde/cHKRgQh 2pgMPcX5xr8ewqtEv/9+Az0z01ZM7n5Gqpgj+EJlG+8LUwiZ0M/K+XBY9AZcNcIZ1b9VYeZXDR2q Wd+PzRwHxctyanwXyJ0xyUKdHvoz9u0MNCtaXR0l1xiOToJH1ulvn66DAyvIqI/bDE3RVV8/5ezQ HuMBAa4yozDJpS6HpBnFqFCY3r7/etHzGxx1Wd9J74ebIwEJl4YCXM1sW17cLIhh65xtFMQzJFpz /SZ4N2tHJ/1CM6teq0EtrIyrPOQHOb1Rbf9FFoP1h3kAoTfZ/8Tl/ZgHCGuwTeNdIS0vLNDxs8+r TTeK1KFX0kjbt07MzlaY3jDHuoP/L/LHYrTb3QKaFiWxZa1GNZ3pSbxKsojgwem7nZdmrZVxJ/BE XYwG3FaG9v0htDIo5jzOAP/4mDqJWFTlnf53aTbp0ZqgGygPuk9aTC+cLCGTVUF1trcLRMWW+v1Q 2Dv6k4rrSFLIE8qccZO45xYVOF0ALinCu4x90E8CFl0zBFJbGE3EJTo4jhiuiddgakgbFRNWQy/i 4XlVDCerIW8sZg8CWb8PWEBft+TjbH7gSEaSGsrTo/EeefocTVfGnzqBjtLG6Lt76kV7FutXRr7p 43vRnzu6LrZdxYZx/laOtr2HqWeboCJZO7a4MlFVGQlmw5vp/uvJ+ToEjKrMYd1FxL9bvQowjdye Z35U6owouUVfphF765v+Y9OSrQG0LTI/I6NDJduuwGk7vWHZlj9wwsoiPVvVILsCoi/5PAF7hmE4 rRinTCo4Zpc2kr5159lXCosezt6tqj4RQnLSE7glgrNtowloWgGYS8uZ82cQ5G5OayFcY6pqkU5S 6ngPh/rHV8BPj9wOQtNgwDm27dwBpw960HfbgTmdNIRuQNNVj7s4qc+WtC6Q3h6BJ0esn8KTd6EP bMMprCSARzvrCHL798UsMa5hTgK5b0X9gDCUL4EDQWY5P1M5Bta4if8DV3hpCDCw1QTUUJKzOApx L7PmkYYS7XlZpctn+Szp8uzbWqir95L3bNuQ7xOZrysEQYnUCI9oqRlUVK/Xq8BnWB/H4fhIb5w2 S4gdlpwvlTWiZdtw8AEKr+58YfIU52gd4jvClHJwgbTVmRcWCzmdSZ2wl7iW5CaWY26gMsED/Ay+ UBKCo8S3WP4hRvTqbcFYE4hNFpKUueznZbIF0hQdRbLgqZFh9f8ILTq/r61BwhwwhmHt1FlQV1ji r3+oDJfo4rlRV+B9rcrom7gn5+qS22fz4d3R/zWKbQHuBxtGU4ASzogh9zf64oHd5mbEGwvW26Gn z8q/iKh9wCl+P1KPcHkX1ZrDaZVqBj8H5xh8WJwbqlfwJMBvqdQUn13xToXg/DmIbkrAJ2IFREdp tv1uiXDWD2dYnbU2yF5GeUaJxw+zSTmd+lUEY6j9X7+jzpnZpX/wQ0tJ5Q2isPiBj8mU+cSn2lF1 2I2+XUyobWTRY5OJmhd/rEt+qB+O/nUoMlEQGzlB2lrybi2SqI+h9c6ydJ9vzI3EgL2p9cjcG2rX Cg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPb3cQ7D8AdF3V6RJ+2zF3nHNeO986BnStbWQ/LDnV7dyKLPiV1Pxf0zFy3tjg8V24NhUTEdPTbv SuOfOtN/BQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cxyfxjo827s0Tf+ieEIAdle0Z0zmQo4/g1YLgEVSefTHH9RGd2+ST/H5iP3xjH3qIpJaq94PI5P4 X1tPKaKpUoyp59GZt4UBiVTRPeXj1wJ4b9roulzgix2x24Ok2niQ3lNlu8GAAmxfCFLcxsBt54E6 5XRcTSW1ilAuYv7bL7Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MYI+pjMm9sgOHP8lTQLzHRWv5jNifS29uSle9i7Ao7mEdyVmZbmwtbwgPNyP2aqHTB1u1GMAqlH3 QnzKumQ/Bkq9Y9o0F0H5gEDXNRyj7LC6+/9L2S5WmFA3tUoTHmFoytOIOQeXBXFvLL+EwxjW9wlz u9TD6cYsbUPgZjQeOhQnyBZOknj6dMr6suOro1i+yAcwJ2lFUjG9s23HWYtGZdoyV24lwuvqf4Tl txpqwTqPLxo4jyLwOxzAFD5S6taIllwnAnf8ihuOcZ77yUOj3Wxf5K/NtumwrG7/2ZDjbJB/T88E A9LI8RZR08MwwllOxOYGZcNn9iwvfC4+jhfK7w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zn3yAD0F7vl0jlUD+3Kf0qMwOwMrptmwemf6QegVaABxRzvUYJ97gPNGb647L1sxvJUShR22Lca4 MjqGefQW6BK73bHyj1hWV+WtHrpkP9BvENZal5vAwkMrPjoljff93MjRhviQzQOXKSwUvHCat5wA nbt4W7LuY7sjcwuI0yw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DKR0MXhurGGcFqsaGAWeEEtbkpfpwtE3ZemZAGSlzDUfYhM4+mJbJGMRLOkXMceAL/zaU4FY/BHU ScNMg5Q/NLUEYgllhll1XDJL9Kr2CFULQioyt3JeIgl0fbdh3ngwzlwHlQFB+7WuxVKG8mDC/H3s E5JAmbEmaGdauK5F8N8SN6a0cZUFDkrGC9xoQOATVGcd+Hq2+6yB4yd+4L+uhkDJAyaGLJVkGymc IClL8tT9qAnwDVSHz9Sc7V/T37PU24zDWHbJhZY2EXxJDCNV+2rWidPUebb5eF94k51X4vn3Cd+z AO7+lXW9BnQJpjcVJgZijhqM4IDzTUsCn6r2Og== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344) `protect data_block d6EPpdjBNVwCIdMQrooJcnfTrBicF9wc2WMUznExJvlYahtVm1DfUNGufb+ZMx7K47ISJLQ7qCQW 7jeyPG0nNZmdAOBCZ1MtBP66pHzE1j9JY+7ssfzNCTE5E9O/adkS8v4SB1xUvvuxGbnjxBMekazJ dLsbvyS2A2G9NvW4kzLuTxW6F8lxBjgJko5qO0XsdoT8TNjVIyCnfDHHRg2ZCWioCy98h0F2hcCp GhHVcPp/KtbzJkkHVZrCNulplXzRr6wm4lMhhFWjB8wt7TRrdOMm9ZNE1lmmgYfU41w9IPjUC304 cj9ZMERHWWSJzojX5MZeHRlHLGNjqOJLywPH1y4sC71TW1ihPTYvxbkyo+LvVywSS8uOmXiCTW3i 9JC7t5NkHpvt9IN0s8JOYU+HjyY7pAnBX4rEsZCvsD4Y/EfZH07tq+/A69vIJ4pvsa7iJzJVjv5O XIZcIJqQs0/2lnOBUL1UJ/VUNWKpWA8zyB33RP7xKLrcr7Yn1F08IDtXXyXTbMihQteOh9AIwX88 DwIIyO3krmMBdE6p/ome1WTlyZPqhkPRKzxf6GJ5w9+wYitGBhgHalQkYnV+UvIjBLN3lohtSOAp F3In3hYdOisv7ekCsiV7mK29Jc/mGDOsmqE1CoXGckFDIWXo30Tsjfo/YWjW77N8waLeh5s3YLZB XLnndh0lPJpQkstGHGXY3irHZYTTNCJ9fNmvokMI/LkzjGYm+Y9Yt5aUwlI61a/qvOitR8952qu5 HJf+2uSJAMYQL/yiZZAiH3lT7wIP+qHNWv3H0pEn8UV+7wcdVaaJqfDgUNjbHeLoUgyaJ6kNL9zp xoclI4ApKfubVFSGjYHP1sXhXZWB6iDV9nBfRqM92xUZuqddgOUlqjJXaYdFOUuyl+ezL8mER8b8 iH2VnGOcOhC59OxED4AKvPenajdYobm/4+ONEA1jTjHs9QZvvAiZhWzGJDWKWVbjB7H0nv5lVAYy iKvQ/wQKy3Tcq72B1DAV8dKqxV+ZAzIS7vJsAlKOp9VhNf+E4s8osRt7TTlmYNr7p6TDNqjy+fYw iZX/FziusPvGTbsD+4BAFj78hXwjVC47LBOl4V0kQzQp6pWaT3f7O14BzEVujOR5Y+1wApDt1CTf UsBOZVvmbtwrioYfMnJyC4tfvUWgW3s8R7ck1yWZrAw3XVQatc25nr4e2PKceKyK/OS2SbWuL978 gPAaF5ihai3mOKh6fCAoTbdCzI5ph1Xk2jnYxZep9N924OKPsjkWlJuv+GBj9YQV8XxfiakBM9z4 txwn7bNoOFIfx5ovSuZL/waA/Jho3RfArgU5HwLC9WjkFsNkufm+vloj/gb64FkbbnoPILT5GUbS QDdnmXe612f9vutTVxTj9OREcZiy+1ogNFm9jU3B+LByDkFbJJ3GcCIL013/javBvsBS17LC92xL +LXBUt2MU56/TCtdhEsNzvqAtmWuxvkdO/zgaXJ3FNCqDNEimTZ4Mv1hcz3bZDj7hzfvppqytFyh PKqO/DpEnLECpkY/L9fCPBn+zS1sKHpiT3wKxmSHVEbV9IAsBUFb/ZDDeKYzHt+15WB02P9PGh1J st4MmL6oEh6KKJywGuIAiP7ZNTLD3/P7ldhtzMLTquCsZLqNyOWe98ftQzenJa4Oft0oeNwezreM od/zhi3jhW6JU1qXEN5qH+oQ7vPue3j1T0ZtJ65MKcBnOPb6Qv7k+u7FSld8/e5v2Ey5q32HYbgS w5yuqiNkN4fZGfmc8sCxnJMAJijinyQ36w/FcDStnLbj1jyUtlT00P2b8wsT2tlfcHzx7PqI4MUO eQxYXhjRqOZZpnkGFW5B048UmnyGUIXU4EyDV3QxoGANI53ywgepG6+uDn/FCWGYJ/ZwFGxkCqcz T56Xm72QAMG828HycPHaxSAR82hhgt4pyGVGiS5YKj+ESokS3Qa++z76xyDk1uvak4GfKW/jJqVC sfQbpyFC6DbWQtMHrYRLuZSvoy5j7xAey+leYanRt/e0JLM3BCjVr4OoBNCUIznyD0eoJOzJ8W4Y 2ihxJJjCdPByMZ8GGJAlM/0En8TszvdpV/RY4ngX11sgtcmZPz+akqgzuBLr/ZZrJNP2W9GFYIxF 5Z6qm0SFWk6VFbiCQ3hcuRCZc14Y7PjNvdYk01ChCmOv9+eBUSKScaGHXfjNktNhn4lX8jEfc6Ek /yIEFLGV2aiWZoUiQ/fgh90a5R0LErOI2gzn+Xtzr+bfjrMuE5z8raleVmdjGXO3Rt+xbqTtG+3Y oARf8xSZmoo4s7wph6y9/zKns7O0+uGeKxUhw0r39jNVCLpVO4JNPMsUEM4rxgtKzcQSjakzJH92 X61FuH9L22CbEfJMJYiwxb65XXZff+t5lNmU42lATVjCQuAgTZvNcVuH5TnwVRalYRNkq9HcvyFj 9yTraqwxKSLBltsfJlhBKqd1YOooscBch2ihd+O6HyVihdr6XWXqaVV5T1wQJXIkLDIVylSEPMej yTdcMtURNj3iXj4EmlAtByq/fVC8hsv8bpP1AmlDinqJbG6tWfzWsEe2dRcfBzayDyP1jcbokPDK lV0fJevNLXYn+oUNoWO6ulH6nREhlwGbBC8tlTPy3Tkrqt2t5FuLGXj4+eej+2m9ojOti/X9DI8A TNeVGTs2wI8+YlxteO2mQWmIN0hGTtQbfbt74f0iiWywH9GZBHyQuz9dQEs1reds8m42mGuR9LuO z8ZcTnE6fQFtaIrj+HbIpZSGhWmBJY1UxuRqLD2sBPkc1Uu2c+TsgvhB6bGOYlq18eonRzjZllSC GEOO2oFV5t6KETFNAmu0NVGi/eclDOvbcp/Hk261QkWbC7szNQ3xF7w722srAS18oT0rYYbTBbwF aF3iNbOU4RJVjTvdK6lnkG1vJ8KWMLhZUQ5reK09rL0x2ko7m9hbnx7bdcOGbOK7+OK9zYF28h6u voktnD4vIlFePHMOkSQw+5dasIcV8TzmBKcrIOQF8f/hEz+mPFyh4VL/0l7b83R3DJ7zipleRjOz hbmOBN1nse4Ui/wQmJPclQAhFhINEjj0rEtynl87TcFSYxUe/uvVE/wF56K8Z3we5A5+L+bGMGGc lFUoezzP0QHTx24Pn5ucPrus3y3YzkBKjCPhMQS4kEoevjY6cdYVUxNEOgzuMPwPv7gp2aTuDElR uXf9pzC6FwsIVFRgEHKZ9jADlyLmP1dZ3IICsV019TqwBbwiYNnG/MVOcbNZWBKY3PyYW6ZK0QQY 0c7jveP+sSPmoDNJNcBzXRu/jDj6qbSH52b/wNGbIleJoKoW1/3G8gneKOPsHggU3I56ruAzL+f+ Ioo7UPF47ffaTCF2HFSdPUPAgQBVTazmTiJLds3FMyGsSwoSwaQqgILU2nlxdEoZIpxFEJ+vXBHw SY2vOKM79TDFr3CQnfINgTaqn/TKX+ACJCnOzygI+7lapkgI/TATnfffrfYqCrSveWcpCLEYWGx9 4oPrCLyRM2ZS60nvtedJmFyKad2qN+fi9HxVoZzSU/AUjv5BO2c41XRY6A/2M5Jnuga9D3Wi4jgS 0nDewjur1g3+9T6fIVPc6TWVJfqnRvY+HyP8PjVLNFh9zD2KQszZ5Ez3iyxkOvrgBMTy8sr2SnTc 32OiW4cC+0kJaSTzce4FwM2/18f0cWy/m196NvigceC6wrVqmM6Cvtdp13bGZQjV1PKDmJvIyv/H cFFwEXIsqCulKdlWhrx7AxQA1R0HmS0tG51kbh5LaFHPYJcD3ijnw9NjRClyvXIcwGN/oFStrTwL V1cnvuvLSOp2sDlZgZphsqQ5fv2sTmUx+H81vz5b6kxdiA2ZwpzX/SYYXLUsirwT/muovZm3W35l LMqOtM2hrUnJYwUXcw96jVQkxSpcUi8tQITGdQfyYnvE/vJWgGaf+SS4gcKcn34aPRNR5RAt7PdS wIzLlMuUa/YcTY/6pKNfYYcTph5hXgnLWrcwVKTpd8/p7TaxmMhgJBGq56NJ9JilrAEm+hxkI9xX KFHY+NHbo3wN5wd36GX2lJqEsk9ayqpbFot+Gx3DjzcO4XwrMc8zMsd7mK8BIFSE6jEHvpn8mxMu PObQCq60PgO/mBt6y/VeX7A7CvqvXDumonYJUnz01qImn9bYIYNPUuBKTwx/vuS9mOdhGQ1NAdQo Qt+5V2sSHCMYPH8amr0zEEv5RFag9P9JcA1uygz15YhrXnx+A76DL6JSqX7LAzmP07V6NPb0AZ3+ dTtlOhW80aDB/AWgiSjhGpJo2huzNzj6rp+XQAoEprGUc7NDYK/J+lRs6yZYa4R2sSJBtacvNx8b YDryRgKsvg+4gAzjHAwXWHwy1czpN3jO64j1ymL19uBiuRSJxhLgWKGL/0lNOuNyu8WkdzWiftuu BNO4BJW7l/Blmoqm+MSInTaPFZQdyo+QYkNkmVidKeN8GJbgkpYOzR96uRYtcWx9KiScKZV3A1PV w1Q3bubrFZgkPFciN9+Cx6oo2Il3feDYZqH5ALE33uyr4aXJWVXgSIQcPbYPNdaA4LuPAEPt5G4t O5u+leyHfPXKPZDHgtZRiZn9ge8fxvGbyiXGtwykq9qSHj8W10+0qMXRSzw4wqrmck64pELcfdlV a3QsrfM0UNoXo7vfUe5aAF1i66iOc+/sREgN3HBrBk74U2442AV7nD0ay9fYXT2lMiqBY16h4keZ Wem4nT/SCXjORB7t6KMEVmfasTyXEDQNgzUHvXzhvDHCNIXnticTuWSXmJbYPbGRL6qPfuYk0YTn vbCqxmzpqYLAGQls9DPzjyIkWZSMHj3DPlyk+H7QQDvuf379f31htdwrWufzcohr4lNHec/+0fCq h+FcSZ4Q2VtgJ/66PCa6SLxkRkkznph9rGn6QCmx9cMow9Q3k++yuNX2Onj9mJk5X/HZnqCt/V6p NPnA3onYO49eDU/Bt0dmTlxfByx+wFeubJ84gFVWI6S+qaFiSLwSwKTJ62W4hVc9s3Cd5y5d+HUx ssMUussKpH+Xqq7qOXvIq2bJRa/nQAev7vDgrhNhB+y8iZAwL8r4N3sKIl2zwPD4ZG1ct0kZGm1M L5K476GGfMZDp9ji2RGQysxja/Fa8lJYw+Sy4T8aJnOseagzR1QQLwM+rlqwiBs6ud4IjGb6ZnTS axlEjrZlNfhhJmwjZnihhAUv0TC8+E+wUBptyRorsde1gIRmg0nH3g/jtOCq+W7vi5AelHGwDvO5 cQU4STDs5tbppFEnRxZ+5iIw+rEZL9euDagb3TaG5Dlx0PBqn1ccEOGGbmvg0kZD6P8aAcMNuyfH TGqw8OIwM43PnjNsGRDKfPk/pxCA5LhvuwqN69p8sIQvoMcUh0k0Q+FrWJondisnxb5iTy2frwtO 5+audmJmx4or41Iie1HAC7GCMZCyotIrp2k3Vj7k4wMPQkNx4ogcA0GjKruP0MEMQGSliChUOeAT z9jUbVSZs0ofjweottJJ9hpe1N5wiAOpFegOpPtIEHzb+m8KMx19pJpvuLwyA1BMZihM44OrDQ+/ e1uxG/1Z6GCJBFUEFWCgo/1maobTVhUtum09RoEK5OlSgKxBekIcDUFTUXelGfNGRVAlFSBUdG0z uMzZeFEhEw0+OU5DvwF3UCg9FocTXCqifs4pcn8ftYQJ6dsLm48v5M6vDk6Vt6UfHvXGdKkgkPPh nBAPXpbn1JfGh4iTvBfJ8bnNGiQbOpsXolnzLODrQ7ULgzZLZm3okzD2pjDi8bb0ei8Et5yoc0ZK ae2I4b7720HT63m1xNSVSMStYnae/eQlYFqNNUbMBJTk4+1Bdm3kK0VZHfTyS/ySs5LgvTDamlsG aOhYh7kfdJ2vrA/joHdUKXEkLODZsZi0DQxPI2vrAqQlPq2/M7aFXS26ioFUFVS5uQt+Es2ZzDzV kUQfUU+LZf+k+3gz/90ARPGF1Fv81Oq1vH4IWVWZCt2hQLzLpVXfiAzKxKzgYvOunF74ZAcWB04Z IKwL+6ENpAkQCmAxLSGo586ahyr8Bl7omWATjEYtt2nKXSlz6vOB+E3FcaNdDYpMGMibRWAUA6Yi swOPW5ucYMhDOec5rKBZ9lTG/pyPrXydK14xSMNK3MKg1M5SBihYU3ilf1Pu5dxQA3YbGWrIIZ5H O49/RutpZwdhV14pqYfqGzzW2164lslgVJwqBlsgXIsYqz3IHCU0E3zCDbigKvHPWYjaqdwpOIpk BkCKj5uoG9sf4gNDASuSnz08hBrqatgri079dPQoDwZdP5Z8IkXmDm5Yy0PBNfOdI0N7XnCnZitL 6f+Alw7K5VX3OJOr3IK2Osx5x3IJq74IZct6kcuubsmUtpdUZ9g+jZvvzNB4d20VyT2pGPZK3Fbz QskMOC24b2ZnHUzZfkM8j+BoWZFPkN8B+/CwavoDoKHItMl4SL0xQSfdhNXc5Bptb5YbPLv6ebCY XFIDYLblV8zjTco2s6kGXAuyFP1G+trfz0xWbJUOK/ky7sN6H8Ve8uQctO9S7J0yBTG+wHPAV4wf aldd2D/U2ySM2BYwYLB5LbodEA9A3aYJQcMtehQqNTcgoSZ8KQpX9M58oFXW/qrcGqxefyGlytcm /Ym5m9DqhORxbmQXzovHQyTp3aDWnkmZFtVtHs8PhGTGx3z/iDjiprvagspxG6qrC3yMlPdgqP+I B/3Qy7JYJDHCDf+DEhk71Sl6GRQxO2dGwbquizU+6SkfhyrH2C0tuoR5x4+C80iRlQY1eF5yjud3 VmqR71jP7lOdVPZy43wXoTLUzChgTBH6t92rJTwbuZlEXJqJwEnI2GDXr8UICx/gtkFFREMwrUeF azdgU12GpEzJpV5TY9f6UFXEJFMsj8nOTDtzh1x/HCVgZ/4Ibvzr3939VyYuRMVUClQM77X6+zoa aTFs7qda1s9U2ZAaENzvKprXrYu31+x7EjbDPOkd6cWccJdPp4HRbJ8I/dnuBnM7PtGwauhI5RZf xSEvl2u3sbxe3rdTPQRWlCgGOR2K3BJW+A6Oo+4o+xTfuU+mN8+b7yrpV2dPthai269VzkO5hx49 +JJsH1O0mfuSpxmQWrBawoNqSlNY6LGqB+llkMtuFxxgm1NnwwpWaMhItlyQoW4x2ibATPPps2Fj 72WkobRqrDZNDvx5yKZyaBF/sYgDBH3P7Tag8rUR+P/GlittllzvoqygS2fAV+OyCUK8KWZ7yyfB YiYpcROId84S6BkGxbpR6KkCq27I3cQFROxQE12q4MqPYDFKRQwF/XJgbd9k74+ZkA8dn2XvYiTM Q+kEmEpyI7TNRW2jjIzAeXuc7uAFEQDbXSEFCELtiWV/Q+AUEOeipH2z1YDVIwhEo+Pog98pOwqv hTupCo5pU4WG+yr+bunvyzSvDRT+uQSuZ0f+6Kl89ST+pfuoIHja4sH8AxU4Y1TzI+qSg9k4Bg3l dUm4MGPdZ5CgRp+kcBpuBv811p56+eWXdX4HZJPA/iZiisNUmSWjEucYvzuzAqlAjQByhnxmUo7i KF8PuX6nMPd1PjCmcaYAkhlUIipjAVNWPc6pdXstSZeBCplHOtVp/DUmMT0hrrEjEAcJjHTaaBQ+ Mggg02vtP7UVbkpKCMGYS9kX93KVqLtfRb2SK04tMY8vaOQ61ygYnS4UKAxXqQoKJLYmRPAALcD8 8s2wSVXCp2Kjg72IBEw1s5SbRLKt06qaSmzdmZFGatuU11YjoBHnr+vCncrf+MoerPf/96KSuM89 ybuFLf9RUiTQekEPfogw6TQPBv5pdJQaXbZYsYM6bfSswCu8TQUuuSPf5XB7PxSouOz7ElbW4w8X GcRRbfJX+WfniRv8j0RL2qQHdmJ6F+FfFrpHyL2hvbPiEtF7mUJDQDIjcgJBYslJADnf0UVX23TM IRDaP/sAtRuqNncPzL+31o5/zOl0gs686kZ922PRKqMFROaqkrG3rt+JSp3CqS+8GfURG8ClASfv Sb/nmD7UwraoYChPqFPMFiGKTOdaRGgVV3d5tTD+Cfvhurh4IzNMfDc/ZJ+ptAPDwV394cW8fjRF ATaEE3S2o3MOBlaXT1I8cZ5WbE8X5odjni7FPQIe0MJypYQ1bsDnH5uw+lB8vaRdsn7xl/CPDXK4 pNufLIajWkkfUBH1H5fb1jz3VCrAwCkBJrvnfs4xX2xCLRNiQuZUIfegVTFVYYxGS4zPKQPATeHj FyrNa60yR7a3kKtTn1dpA4A1izYanY1alHSGCKLipBf2IspTz340QFdFjBD6Zut2utBgoPx751sy rldE/mUpR8/vMoitu0G+4yerhJ+z3YyBeOg46XOuHy2rab70xZW+Lj25MKaDDzd50+KUcKpHoBIa +F66ld/6FACXqtPFmpKAwQYy7iFD1nXatkPqIIEvljnUzC25W98C9lIAcLRtHeFqytV0bQNaOOAA A4ySq6zzjxO1Cj4r3mFt454rCXeXUcKBitkBGHYchQiKiqISIdP2P6QZI2479HbuiekYYVeaIm8i PxrIZkjJCc66Q1R3xHcLvNLTq/qgVcjJ4t9CjoGeIVRHcUNd954t7feeqczJ+50RXqtuvu+PTndo W8VVPbFzGEttUMykd6oR4sWUHm7fbz9GGyu5EaFPyVJlSEkTabPCADzcAMuoK03bz66HKeeP4cpb 7qZLvA0canMWzbbbhAwxAMXMbv5CflROH4hW3kufJSgq7YJwo2WY0YiGTYQUu7EX6QNbCGwzHFhF WeQe15bwmQr4BrQAWJ8vOeUE3D1rb+SbDgBzn0tt5HkYqlq2Msb6t7Ep2kvLaoRHrnRLHrmB8PrK n8dybcsxvFzO6xLAdXtqUiibHhRMNkGORw0BpGUI+CfWZFObzKWxCFf4LEsawYsqeRmE/ED4mXWP kVy1GG32nLoQ/oiwK3KkZya6OIkffM4+YgE2V2GJUbfQppOnhS1RzeDI9up8TI/pcwiH5y6InzCu QzxczZGhWphqoFpRWcrthfFpYtS+mdaXosseafgsDcDbQw1DQ0zdB9waW3vfYGe0DxubsWtmaAVx luUUe36BEqBK2udNEtsWHbok2iLnVrgAMYuF2xf5I8IDxbMir0i5Gq7DFZNNnF0PzXZHHYuf1JHg wxpqp+muh1iQ6eqZZNAiO1OdLqMJ+yh7UoSmaGkhZAxPBx5m4HLk7hDb2XHCAjI6+qrHeX8y/0tP G4RwMS3F2JC/JMk5ozJg25D6d6xKFE9wurUM1aWABcu3AAE4xfMK8WKZ54NOkdhHGBGVimh/dM94 mfWXPq+KWeWgJqRrKvtnq+2yR8xtXSxvhalbCjkfIbgnoXZbvJ6uuYz69ltYjY56PY3vgFq6QHpw 4h8ojKMQZoHan4STGx7wyXJYGIaPHAVJWRuqNzjsI9vWLFOx0dSCMAO0KJRx8Mu0P6QBsLn0seOm SWds6bJl3VHAMFscH75wIi2jZL0E3zq3VuJBpLIB0rSzav1nlMSoqBkA7vlLacVTm88zR0ZRE5BB +jYqK4Dq3fDLFEgh/+h0ipkW9QOdy0qkUiDUTyTMBMJGUXFR4xVSHngDyf2+AkJBcbCh13/3Nr73 G248djod1U0fH2uljGv6KPRoBLLcNEgajgsKyxl3Mn2tUwyTLoIWeV4cfBWEMo51PCdp8cP7YtTn fZVcq+itXmyl4oY5qgkEMlaC4lswc3p5vUAh64ML9tJk4rwbq6hoTyyjW15TN5cIqwMEncgPcbSS tRRwBBfdw/pbGoEefeJ4YzYPgM41HokgdsOo8IUZezIg3hck5X+7ogpP/CZG9s1BDfWsM4HXkRx/ I0XwcplgvnFNqxit4v/IpILeUnEfeoV5vhK1ShggO8ck7mnQw9gzeHE9r68715AXwmAeglj8Y2il BVd+u1JeIUtameF+bAIJxzE2Wii1Mpd9dKZVnm1pm7CLQHfIOpeL35sOzzFekexUkdjL7yheytxN U9jAp128B5yq2Mw/zXSNpDaCEdasX0tj7oC2jY8yWuIKO2ZhCuN25xKjhnLmboQuRxgY51+DJQ7p uAYqa1IlA8p7mTFp1JgSKhGgZ9k6zZb1Uxk++lsyJygNw6MUCHlc77TI0nwxcS/S3otEoYHyL1fi Adx9nc8Rd6LrwzsT11/P028rFzIMYUyn/lVew6+U1s79ymC+yKgqZoe/3VG3/U3ZzBIj06YGpUoM 5OZ9wGzwDSKzm4BS7r3R0h4eKzlo76fYJf4JTgIyGDuQFFnlkEe6VbKOQyGnof3VjGUfwT0NEclL Ru5eWraSo5aeYvGRDiDbsS23b7JlmVZ+7IyIaPaDvDf0Ulv4Wz/SYtsYYw4bKj5IUEdobiKZMDxI ChGW13g+DuTq1YruxtXgOKbqatDtsLw9XwxyVDlNS/X0RhLuQMwRXsDzjHi9+thhuWMGatDECFwZ 4CUf1of+QpDzvxdFcO0i+xCPv/lU3fdhihCwhUVsBEvk/4q70+pyOvAUgKzkz8cOq2ppAzZuC3p9 UN3pOaKDEHuWH7uLQe5Qk95o4StU6BSJlXJvh43Yn5Qj9eR3Wj78l62FfMGEeP02pcFyywGKn8AD g7gD45cXhv/OPbuCerx9fVM7jpJtXBf8k9C5/w0IXSlwo7Q7/B/28CcYLzwsiqJad2yKxtgP81FD RevQFUV97u8YD4T+r08y+Xiokd4KUCCgZ1eqin9m5AuVElGB+hLlJvbTDvzw362Yw6NO5Bn3+15u iJdi666iGOa0RIsgkSTgH2tZ3oGiZOJfhPoJl9sBxBhEx3hmoSS74pcJO2P7mhQCm+WQXfprpi1D E+9iQOR4IF1hu/cDxUAGefF1ZoGEruUuQrVZ1J95AYxrxZOxilV14FRZppZpQsHfzuQhBNeF0WT+ e3UeSiK4PV1LbfRYfs20lUyXAxgQUj156AFYm3WiUNEmIfeI4EtckD8OOa+Yfqwqlyj8BliiTUH8 c9B63GRsBoOqe8QZgpcO+zEdWVU1gQcmSCaWe89PWb7mpdXSdLIGBF8k8QxY8quqqYCZ9HRGzKj/ 464t9vGzkhUedx490l2zjyrc4cAvmtoeFKD93B2lEb4ANJ2/+3Nwl7fgXkRpW7rqf2DHdJt96wQc uxU3wzCVs2xyciiK1fUH2+COafWWHOCvD+0tIgQoV0l8s7D5rWPWV/4LwvBnNAFHeucSG1ukbdNn mqWhZ+rmfu+3twJfPDWOrbYLIBKpgQgB9Czcj4Q5vMf4hf1EZyk/Co1CfJgu2lEo7XT5WzYmnbcZ KTXI7RkLDQMXk66QiYKOq9AOiXO2xwDgcPJMFkpFrPNnHP44Nzf1T3QvrIXwCGMOeRIsB7ndE6o/ rK9HtDtskpegRkcqNsCqQA8fnV4zrwM3NkP5FOJd5ks5lT4D7TcLGq+muyXxbFdTeaCLGSVFmua5 PbL5O8R58N4l9vi0yn6FrBEtZ2HgtSDc58QHtTRR5aeAhxN+mqHBc6h7KDvrn+iq2biyGaELqD8D vwhJUmVHx3QGS6TPkw2p25SF89gnNeJDUU03JHd6t9OyjsGL65lNIYBWxruvYF/wFuIdlZCmkbYj rtxvINv+5Wv+B9eYfGwFQ0f/wsukTvJqyuexMKKX6kbUKgX4hTcXxyPOmHRywAcpXqVXdV5S3BAO dXRkDV9ZJ/UMTp0ChkI1trofxVQEDKB/AnO5GiMT/9fGTdFnohvJpWapKkSqtmcsr6/ts+0l9VPu ivAMdkVqAi2W9OpEhth1RvsdzVZeQEeFjkrh1KhWtgKJKNvdxaj/peTDsCWOiKiADHnsvEayrisZ BfPnhMK0hKWOTpjnx7iRsbFR332ZntEWHGzeyv7qdeqeDctb5i/yA5/HEprDrU7Rl6URwQpAYRAA ffyZ87GT9ULr6ur837DpL9RnmDQZpSJ6csB1BKfh41ZffC5xpo8fdPyCyrpVwCgm96pb+nR/0YG1 ydQ46c49uxY/LyLVtEssdt3Dh6IJIymal09OHbYhreLuFEPMf0uCkNkji7fO3oigWAwH1WrTxHgy 3cj2rN3V9ZVQD5GXSIAic6KvlpIU+BZHNom70zTi0QVXFSMhcK75U+CcMqBTd8LSrtddMBnGZPET zh0MQhF6MMaVkgZZtVVE8lYmgTlv6M/I/Ur/6r8FYzzoKod7r34b76ni05zNL5HU35Tt26CPWI// gH6bPdJ27pZrIs4VbMlD4g/6R5rehs18j1kpPvn75poHw8CwsA5hmrRXLPEjX5V8XNxTUHYZwXjP h1l32jYBVEPhiksCH5q1NU7MoGfWlLbttQnDWJ3FfQD4y9YYL24UEzunBUZaUeDggff0jCcRFrsW EZiCEciTPT8nEm2t6Pn3o/7IIUojmbjifJN2rkre0ZW+pZU1jUNMJd/AJxTT00zTwJRx2zxNdszK t317eaYafPl5dK8+WrOsgxBaM5nI7Z/yoMaUCuQExCqx8N8pV73Q0+4QyPDICyuY+abtPAjOI4As 4HYHaZ6smomqQIgdYpkiFxkgoNQTnHrNmpM2CjbjLWmZ/lb4VtCr1LVSEUEeLXnf7rWMW28qCiiQ oPZETZGkGnlqSXcHd6G9nCgs8MkOTMitnNsNK2W2LaIAP7ZQpMyqZls/G/xil2gjsY2GdL579ITv 6lIR2XZe3xQf3Gfd703el1FH0whdvxeS8OYOC3jgUfPWhD23kHQ7c1XPkDQlfgLDOx27b1QGQM9y +5lKKXmjKJ0JZLtYVIehRj61vL4Egq32Bxjuz2OYtelAID1B2/kXuCFWmsmW2Fj3oRour6/oAiX6 UprYuEfKP0G0Uy2fVbjGOyz5mPxpe3RWqTDJSNJEpBPueif8+7wc0IvbF3aYrKItbfZ+y8SvM3ng MD/Gm/GQ3mblpQbs47nF0GBKKKhnjQWGaAIDPwI9C8LxQ5jv1v7mPPOExE2jDw0i9TtEUbeqwP6a jPsMqZ21YpoBrajW6MV9CsGzCEtLS84fH+rNQ88d0Nbo6iLX6Ll6bo8Yf/QfFS3oLdDmKkuyCh4o 6ZflgdPGDgGzd4PF+AJ6pN/TZPdxIoP8vi5h+Jdrb7AZOyDNPm2HSD8rnAwWKc9TThKFl9cKzqj7 sS7IwzSJWKG9KJhtRL5+JqWRu/9GJJtprAqRU1f9R/EAVC4dVu4B+N66lZgxjPCGwGFIBjU1uxM6 15TYffxIZCkZZUEkFaU4tXdeLRU6Vn6dJZIlJ1uJyGJGeBEjD0kZEdhXnM7hSxcwjE9Y7wW1fTQV zrfEC22TkjiqRBx+VRaMZdHkdn88uCmGqLZDSO41GsUlB5jC0IkXCri8emiE69geNFDyD6yuyhE5 siXL5mZfQlCBOhISiGUyJh5RLAGVouVntFqSE58Xt7+4M4RxYaxb9ZcnDbQ0k2PiUWPkNUueKMZ2 VSY97ke5ykNXWuQ1Sy5FnCahYB/SLR/Kc98btdgfrNjJpeHr4vlzd02GXuOWVEsReqHBPQCsD+wf kdw4YyScNqWW27Z5mDg/+Z15FwYzHvEFxKtDBtxlKZpBfqdZ51UQMJY/UlZmtKlUQ2m2eRQgPv7V 0fheDiWKI1uGMQ3GXdhIUeOaVgqi3NVH/8jXqR6qPEIb8sH9sZZLsCYkuwDPRuHlhdde/cHKRgQh 2pgMPcX5xr8ewqtEv/9+Az0z01ZM7n5Gqpgj+EJlG+8LUwiZ0M/K+XBY9AZcNcIZ1b9VYeZXDR2q Wd+PzRwHxctyanwXyJ0xyUKdHvoz9u0MNCtaXR0l1xiOToJH1ulvn66DAyvIqI/bDE3RVV8/5ezQ HuMBAa4yozDJpS6HpBnFqFCY3r7/etHzGxx1Wd9J74ebIwEJl4YCXM1sW17cLIhh65xtFMQzJFpz /SZ4N2tHJ/1CM6teq0EtrIyrPOQHOb1Rbf9FFoP1h3kAoTfZ/8Tl/ZgHCGuwTeNdIS0vLNDxs8+r TTeK1KFX0kjbt07MzlaY3jDHuoP/L/LHYrTb3QKaFiWxZa1GNZ3pSbxKsojgwem7nZdmrZVxJ/BE XYwG3FaG9v0htDIo5jzOAP/4mDqJWFTlnf53aTbp0ZqgGygPuk9aTC+cLCGTVUF1trcLRMWW+v1Q 2Dv6k4rrSFLIE8qccZO45xYVOF0ALinCu4x90E8CFl0zBFJbGE3EJTo4jhiuiddgakgbFRNWQy/i 4XlVDCerIW8sZg8CWb8PWEBft+TjbH7gSEaSGsrTo/EeefocTVfGnzqBjtLG6Lt76kV7FutXRr7p 43vRnzu6LrZdxYZx/laOtr2HqWeboCJZO7a4MlFVGQlmw5vp/uvJ+ToEjKrMYd1FxL9bvQowjdye Z35U6owouUVfphF765v+Y9OSrQG0LTI/I6NDJduuwGk7vWHZlj9wwsoiPVvVILsCoi/5PAF7hmE4 rRinTCo4Zpc2kr5159lXCosezt6tqj4RQnLSE7glgrNtowloWgGYS8uZ82cQ5G5OayFcY6pqkU5S 6ngPh/rHV8BPj9wOQtNgwDm27dwBpw960HfbgTmdNIRuQNNVj7s4qc+WtC6Q3h6BJ0esn8KTd6EP bMMprCSARzvrCHL798UsMa5hTgK5b0X9gDCUL4EDQWY5P1M5Bta4if8DV3hpCDCw1QTUUJKzOApx L7PmkYYS7XlZpctn+Szp8uzbWqir95L3bNuQ7xOZrysEQYnUCI9oqRlUVK/Xq8BnWB/H4fhIb5w2 S4gdlpwvlTWiZdtw8AEKr+58YfIU52gd4jvClHJwgbTVmRcWCzmdSZ2wl7iW5CaWY26gMsED/Ay+ UBKCo8S3WP4hRvTqbcFYE4hNFpKUueznZbIF0hQdRbLgqZFh9f8ILTq/r61BwhwwhmHt1FlQV1ji r3+oDJfo4rlRV+B9rcrom7gn5+qS22fz4d3R/zWKbQHuBxtGU4ASzogh9zf64oHd5mbEGwvW26Gn z8q/iKh9wCl+P1KPcHkX1ZrDaZVqBj8H5xh8WJwbqlfwJMBvqdQUn13xToXg/DmIbkrAJ2IFREdp tv1uiXDWD2dYnbU2yF5GeUaJxw+zSTmd+lUEY6j9X7+jzpnZpX/wQ0tJ5Q2isPiBj8mU+cSn2lF1 2I2+XUyobWTRY5OJmhd/rEt+qB+O/nUoMlEQGzlB2lrybi2SqI+h9c6ydJ9vzI3EgL2p9cjcG2rX Cg== `protect end_protected
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity uartDevice is generic ( -- Users to add parameters here unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); number_of_uarts: INTEGER RANGE 0 TO 16 := 1;--number of uarts which will be generated -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_ID_WIDTH : integer := 1; C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 12 ); port ( -- Users to add ports here islv_rx : IN STD_LOGIC_VECTOR(number_of_uarts-1 DOWNTO 0); oslv_tx : OUT STD_LOGIC_VECTOR(number_of_uarts-1 DOWNTO 0); -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awlen : in std_logic_vector(7 downto 0); s00_axi_awsize : in std_logic_vector(2 downto 0); s00_axi_awburst : in std_logic_vector(1 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wlast : in std_logic; s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_arid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arlen : in std_logic_vector(7 downto 0); s00_axi_arsize : in std_logic_vector(2 downto 0); s00_axi_arburst : in std_logic_vector(1 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rlast : out std_logic; s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end uartDevice; architecture arch_imp of uartDevice is -- component declaration component uartDevice_S00_AXI is generic ( unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); number_of_uarts: INTEGER RANGE 0 TO 16 := 1;--number of uarts which will be generated C_S_AXI_ID_WIDTH : integer := 1; C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 12 ); port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; islv_rx : IN STD_LOGIC_VECTOR(number_of_uarts-1 DOWNTO 0); oslv_tx : OUT STD_LOGIC_VECTOR(number_of_uarts-1 DOWNTO 0) ); end component uartDevice_S00_AXI; begin -- Instantiation of Axi Bus Interface S00_AXI uartDevice_S00_AXI_inst : uartDevice_S00_AXI generic map ( unique_id => unique_id, number_of_uarts => number_of_uarts, C_S_AXI_ID_WIDTH => C_S00_AXI_ID_WIDTH, C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWID => s00_axi_awid, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWLEN => s00_axi_awlen, S_AXI_AWSIZE => s00_axi_awsize, S_AXI_AWBURST => s00_axi_awburst, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WLAST => s00_axi_wlast, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BID => s00_axi_bid, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARID => s00_axi_arid, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARLEN => s00_axi_arlen, S_AXI_ARSIZE => s00_axi_arsize, S_AXI_ARBURST => s00_axi_arburst, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RID => s00_axi_rid, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RLAST => s00_axi_rlast, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready, islv_rx => islv_rx, oslv_tx => oslv_tx ); -- Add user logic here -- User logic ends end arch_imp;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1928.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p01n02i01928ent IS END c07s02b01x00p01n02i01928ent; ARCHITECTURE c07s02b01x00p01n02i01928arch OF c07s02b01x00p01n02i01928ent IS BEGIN TESTING: PROCESS type B is array ( 1 to 1, 1 to 1 ) of BIT; variable B1 : B; BEGIN B1 := B'(1=>(1=>'1')) xor B'(1=>(1=>'0')); -- Failure_here -- SEMANTIC ERROR: "xor" not defined for multi-dimensional arrays. assert FALSE report "***FAILED TEST: c07s02b01x00p01n02i01928 - Logical operators are not valid for multi-dimensional arrays." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p01n02i01928arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1928.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p01n02i01928ent IS END c07s02b01x00p01n02i01928ent; ARCHITECTURE c07s02b01x00p01n02i01928arch OF c07s02b01x00p01n02i01928ent IS BEGIN TESTING: PROCESS type B is array ( 1 to 1, 1 to 1 ) of BIT; variable B1 : B; BEGIN B1 := B'(1=>(1=>'1')) xor B'(1=>(1=>'0')); -- Failure_here -- SEMANTIC ERROR: "xor" not defined for multi-dimensional arrays. assert FALSE report "***FAILED TEST: c07s02b01x00p01n02i01928 - Logical operators are not valid for multi-dimensional arrays." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p01n02i01928arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1928.vhd,v 1.2 2001-10-26 16:30:14 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p01n02i01928ent IS END c07s02b01x00p01n02i01928ent; ARCHITECTURE c07s02b01x00p01n02i01928arch OF c07s02b01x00p01n02i01928ent IS BEGIN TESTING: PROCESS type B is array ( 1 to 1, 1 to 1 ) of BIT; variable B1 : B; BEGIN B1 := B'(1=>(1=>'1')) xor B'(1=>(1=>'0')); -- Failure_here -- SEMANTIC ERROR: "xor" not defined for multi-dimensional arrays. assert FALSE report "***FAILED TEST: c07s02b01x00p01n02i01928 - Logical operators are not valid for multi-dimensional arrays." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p01n02i01928arch;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of inst_ae_e -- -- Generated -- by: wig -- on: Mon Jun 26 08:31:57 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../../generic.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_ae_e-rtl-a.vhd,v 1.5 2006/06/26 08:39:42 wig Exp $ -- $Date: 2006/06/26 08:39:42 $ -- $Log: inst_ae_e-rtl-a.vhd,v $ -- Revision 1.5 2006/06/26 08:39:42 wig -- Update more testcases (up to generic) -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp -- -- Generator: mix_0.pl Revision: 1.46 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of inst_ae_e -- architecture rtl of inst_ae_e is -- -- Generated Constant Declarations -- -- -- Generated Components -- -- -- Generated Signal List -- -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- -- Generated Signal Assignments -- -- -- Generated Instances and Port Mappings -- end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
-- q_one_dot_fp_multiplier.vhd --TODO: Better way of handling -1 * -1 case? library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity q_one_dot_fp_multiplier is generic (a_word_size, b_word_size:integer); port(a: in signed(a_word_size-1 downto 0); b: in signed(b_word_size-1 downto 0); mult_out: out signed(a_word_size + b_word_size -2 downto 0)); end q_one_dot_fp_multiplier; architecture mult_arch of q_one_dot_fp_multiplier is constant a_minus_1: signed(a'range) := ('1', others=>'0'); constant b_minus_1: signed(b'range) := ('1', others => '0'); begin process(a, b) variable output_temp:signed(mult_out'length downto 0); begin output_temp := a * b; if (a = a_minus_1) and (b = b_minus_1) then mult_out <= ('0', others =>'1'); else mult_out <= output_temp(mult_out'length-1 downto 0); end if; end process; end mult_arch;
-- q_one_dot_fp_multiplier.vhd --TODO: Better way of handling -1 * -1 case? library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity q_one_dot_fp_multiplier is generic (a_word_size, b_word_size:integer); port(a: in signed(a_word_size-1 downto 0); b: in signed(b_word_size-1 downto 0); mult_out: out signed(a_word_size + b_word_size -2 downto 0)); end q_one_dot_fp_multiplier; architecture mult_arch of q_one_dot_fp_multiplier is constant a_minus_1: signed(a'range) := ('1', others=>'0'); constant b_minus_1: signed(b'range) := ('1', others => '0'); begin process(a, b) variable output_temp:signed(mult_out'length downto 0); begin output_temp := a * b; if (a = a_minus_1) and (b = b_minus_1) then mult_out <= ('0', others =>'1'); else mult_out <= output_temp(mult_out'length-1 downto 0); end if; end process; end mult_arch;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity div_pipe is generic ( stages : positive := 3; src1_bits : natural := 32; src2_bits : natural := 32 ); port ( clk : in std_ulogic; rstn : in std_ulogic; unsgnd : in std_ulogic; src1 : in std_ulogic_vector(src1_bits-1 downto 0); src2 : in std_ulogic_vector(src2_bits-1 downto 0); dbz : out std_ulogic; result : out std_ulogic_vector(src1_bits-1 downto 0); overflow : out std_ulogic ); end;
-- ============================================== -- Copyright © 2014 Ali M. Al-Bayaty -- -- Video-Game-Engine is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- any later version. -- -- Video-Game-Engine is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- ============================================== -- -- Video Game Engine Project -- ( EDK: NES IP Core VHDL ) -- -- MSEE student: Ali M. Al-Bayaty -- EE659: System-On-Chip -- Personal website: <http://albayaty.github.io/> -- Source code link: <https://github.com/albayaty/Video-Game-Engine.git> -- -- ============================================== -- ------------------------------------------------------------------------------ -- nes_ip_core.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: nes_ip_core.vhd -- Version: 1.00.a -- Description: Top level design, instantiates library components and user logic. -- Date: Sun Oct 16 17:16:09 2011 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_single_v1_01_a; use plbv46_slave_single_v1_01_a.plbv46_slave_single; library nes_ip_core_v1_00_a; use nes_ip_core_v1_00_a.user_logic; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- PLBv46 slave: base address -- C_HIGHADDR -- PLBv46 slave: high address -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator ------------------------------------------------------------------------------ entity nes_ip_core is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 32; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 0; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 1; C_FAMILY : string := "virtex6" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here reset : in STD_LOGIC; led : out STD_LOGIC_VECTOR(0 to 7); nes_latch : out STD_LOGIC; nes_clk : out STD_LOGIC; nes_data : in STD_LOGIC; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1) -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of SPLB_Clk : signal is "CLK"; attribute SIGIS of SPLB_Rst : signal is "RST"; end entity nes_ip_core; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of nes_ip_core is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant USER_SLV_NUM_REG : integer := 1; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space ); ------------------------------------------ -- Ratio of bus clock to core clock (for use in dual clock systems) -- 1 = ratio is 1:1 -- 2 = ratio is 2:1 ------------------------------------------ constant IPIF_BUS2CORE_CLK_RATIO : integer := 1; ------------------------------------------ -- Width of the slave data bus (32 only) ------------------------------------------ constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Reset : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; begin ------------------------------------------ -- instantiate plbv46_slave_single ------------------------------------------ PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : entity nes_ip_core_v1_00_a.user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_SLV_DWIDTH => USER_SLV_DWIDTH, C_NUM_REG => USER_NUM_REG ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here reset => reset, led => led, nes_latch => nes_latch, nes_clk => nes_clk, nes_data => nes_data, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); end IMP;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MHPN9Y9amseMg0zuSvrhTRzrn+W0NToGvZNVTOgJuNvWxuCU4NMRo8HnlH7RewaQm4iYAh6wHvle /0MPIaXFog== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BbmYYRmL2PLXpWVbtvu9RsdNcrttVBs/PUk5LQECotOLGUy1miaa+ljaqysu/TGJmcKfCLAJkwW/ MptH+rGPscK8uoHTzIeddAhXHSQ529VPmBEP3RShxnQvjOoF+J1LIMfDO1AkmVKIwwAhb9KSNdfX atBSk2yQy/pD8h7x5yQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QZRJPZgA8COac4pMOzTYL2+NNcMO5p9SfLgHmKymYw9Ks6zp8XIMy66/jRl0I29sT1boUkbNsf41 VUFx2iZkupZIYesrDuSfDCxUmrQASucnzz/+zViMcoHf9DqYR1h8dP3bIn/Qw9a2lmGKSiVZU/H9 dVHslrRbCWX8utOtiACCcybwTc36byy0xtLAsjLFcvDx2KCs1jeOGMDpFeo7QcubFV3oBxyJmEvN P22CsvOy5ysX5b6CzhRb6Hs2o0I1xS7aUx4Xd+ZxEjiQQ+tows7F0RGYQY2kSoTX1aekCKGJZV57 3D19tRnf/5gjjIRTZbQWkvtU1Bsj78nALK31JA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cKu8fAHb2anFshCbWWUi3DZtKqpiWiwh/0V18HR1q7h0Fmy0SbG03hJFXChQkflntLaZfC+KjCWt FBLg9Aycva6jTmappIqUy6Md30RoQvpR+VlkWIF3uPQYjuslHRqjavI2gD2oJn7Kztt+3IiiW5GL ycTAIn4FwIG30soC8go= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DuIkTvBxFKCHD+XXa4u3Y3elkrjncUoXbO644YISZ6xccDj+7lKgPf7fdGt/fyjne+drsFMpDqRu ncA1Rl3NeB2QLtLej1brZVWMn7/1i0EiEsSvBgjntnCXfD6uavi/Qn0sfWVgHGbQC8crIW4/VFcP iaMV1Lz0FlYOl84nzOipMs1gYEmyJHJqUGJiki7GCI3rReJ9lRUv0mTSWEf40duuxm7tBNcbFTyf 6qonLp4z6xTl+zMMjPW0RPBrjgr4F1SyOGq8BNiRZC522aareGi//rPD/pedS0mVcC72i45hOXEq lwAnJpVSl1rB6DHs6Kqm4HXq3C3TkIapAxDc8g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3280) `protect data_block MEHyvJAEyEXoL2e+5+Dp7H8hkpk5HGLa8DtF+nXx5YZiKYfVrwmmLF65DOTTKAhDMAdw/jFCgLNT AMX2/YNiI+7nok4X1CyCW7AOzDqdN+Ezt63uUMjzsHRwwLXzkUKBi7jC9PJTpct5OtYVYE1wM9H4 XhgchAg1NOkkBnGRgaEGSWl+3q3MOsc0C51PbUFBuZTZovS+6UMEQ0hjxtUfASTXJ8uSdetM9cXy meGuOFaYO4eil1ukZvzRaNUQFeYqkcHqDU/t0VoFV2LozXXe+hzGP2GntciX7Zo8aJpWsWUdAqWA irgeoSQ6jK5h4mp0vxbEoUgnhHx9GpXxSYHuw+FgbJPve7hG9ao0CXOG1ZdSfyRZRG06082tlBsW h/A7ZxdYU+X+oDs0aGhI88SvQAnKgDD9JWzbhFJx7799yiFme9/CRpNEhV7YQKzfuE4o1kDxgSwE VZ9tYCMaPK89ZilfmMYCNSEIwNqygzdbApJ2CgNNesrg3L3fTSy0WgSMStgXqc5crsDH1gG3ddgj qFVh6/Quf1hnf/S7Aeiqsc+bN+5rmFIaYFOHwA8v9asng3PI5rVeftu0SEi7JZcN2VOnbn5JObJH OLTxeKnaBcyQRUjMfOf3co4AvX2yyMdxYcYJKI+xscL4c74DCN/Hld8YEjFOMf+99GNC1KxPI8Ge S+gKUBx6bpnxMJ4E7WnHFN9cIp2p009Hq6tt+J44RgR45qWhT9k7pRIPf9Xi8EXIw9dnxI7h20bi 8qYbaoayV2g3LEOI1q1igQ4DsY71ZIHFALLF/8zAh9ZqVxtoO4cHORXRO3bEIPy6P2QhaAXnDVaP R/3TJmAfKnqdL4OHEifrE0ZPY3DaALXS263ywHyf6yj/Uio3Gk4KSOyqjBfnR6Bmd1f4/j+v6lH7 1dbrQ9YxhqS77kx/0UAwIbcX7Ga7ZlaAtfcRed97oMEKcnt65AIx2lb2XVIIw0Dq/gKHuHSvt2WR mnHfYzC2uYWfOZOk59irZr/vjwYEQQmVgcXD/EG7oWVLCL1LnfvFu4WIMXY+VZKH00h7eDkLmRgv hTsqUgcrCR54zA02q3eaPSWXRxItyksogkmln2WaB5YHHpzYNNEFWoAIQM8LQmC+8d0igletd2Fm 5a1Ri1RefVJYeGoNGj6+PZKeCED+pjOFOzn4gh4nxMTp8PT4xH2ce/CAMC7CGKQbgJxQqhm7ySIL hdgZSj4GQGSsxHLzYF27KwLSRwESZ6+GZ6TKRuVM9vVRl0qmmArAwcqziPHkSkxTOWrOQWdtZei+ sNsjsuOjwvFatfciHAA1690K9/1NUIXvtpLLZQNhuqWZ32E7Tb54MjWVZsoHbICe2kxfSvR0I8ep bALopD2pwGEP/lELitX7s8h/DMwWTa4FKnrNDI3qQ+1fBBgJ9sE6D8NT8k8st5jPbZQptSTVrbaa /P8v5MGmHSwLux2GxIP3UH+OXzokwziyFVPn+csX0J/45u3JRk54iYsRsEx8hEunkCYfAOiHocVq X+tdhJJMv9gECXUW1DquxqGUn+96k52uXKznftbs8x+M8Snt6bFfTt+hhqJ060Ef2RveEZIUYccA hTtnhW2v0o9YRX49WPgLXn3HHD3Daqh6fYynOQsGgt8QSrZvuPVBBporwvHW35x4qWWl+A8zBB/F 96MCf3b1n9haZwtYk44iixecILJ3XcZTS3zBEtgJlD9hsJFOOeCCKsDKWolhnOlFLfHb8SM1oDF2 sUcawGsjYAAiSmvllElRS6ay3gpU0i7yoaPhWhXeBAJzri4ZJthVWKSBPoBpDlPrm7jczyTQpSnl F9JCNvK99MJ9jPflD0LLxt2rlcrFex2tyoFgq0oApxhGIyJKkINaIX8WHuxJsGkGN8HYOMJQ6CaC ZAFsoEfbO3EvrXM4k1KNxiZt0TA4kmaujxFFgiiZbDp/7pykYGZ/S40tpkuadJ/A6oH9DVAwdZ5y nxHnlAUVwMU9ssuxQuxp8jsoRw/6UpKPamDtTBWMBetraJdWz3ZSE1n2ps99GI8OpQ4Of6+UJjwi 82vBF0Axp/Z/o7Ek+Rb0mO/DjUP9K7cBBhI2s8cgkX7Pf1vpLAsY+vfaG7wpJe3bXMIfZo8FHcSJ a0faH2RmLAlcNUfCOeOKVCexDJAK44eaHx02BzH1CKRSU34umP/9AWLQf8QNLusC0ICXb5DElpIl ZcjAp//IMvPJjEOOCTcyHvYpS/QhKtHZ/BWclTv/HObuGnydolYfK7gTRfxVe6H41cYp7ZR9gaYw c8ULneH9FLKTfdFw6OMfOwzF3hU305X0QKj1JggwosnO6CcQclg6vQbTr8qKf/5SY8dufk7W3a0v kKnUlTpg0TEFem5AqchuNwrGoUNM01+D7cnUIlQeDs7RxBZ5dEOHoMTsNFM2TxwG7cGTVD8SSn0U NOaC9+lPd3bxxlO/IU2f8JYMtMEH4T3+hGbAYCftAYyBUYmyleb3tsRWwE1bGmtIs88HWRai3TlV 57UK6tqzbRldf6UXstulEshoOyN+R8HM8fwx1/LVpsZOGqg+1yVhtPFlghunqrz+w7qnlcmEaRw3 7Lf1IRxRM8U5vXQ73rl/r5Eu+TyKS9tfYIJtAtQ7KUB1Ly1D32UIxx4u1zEi0BIoa6zOqVBx2fxX 7XIzLhaB74Thk/HYgoHR8oTBJDAp1ecZx0srA/UonFFAxy7gc+PHWdpjxX3NHq74l1udRGVVzuX9 Ku0dx+lHCZfODdhg+lZxEC4fdTo6wWlNZ1SvMLs8eWjlkriEDTQnxK6CGkZxAB+AXE6GmKoO5yjr nHd10ALPv9STKDtLjyGbW3bc2WFsClc1fnkBxLb2FL0ZUqblLO4YDY6o5/E9y1x2Xrv0510aWIxP urrk9VQ9ck8Uj1gLC8ZJKHwrmBoQKjr9/LbP8FqXywVtY3I/ySB52q/gBsm6RdM2hxjEb6EXI0+B DOx+4nMGm/Y3ic1iso1JyKRg3tmX+cgZ9LTKIIfYtDGKV4i+ZdEb3S9h8TPdlqJ4L866bZwWSJT3 YbFs/b0wTrGK+U5PgvSeXUGF+O92mYwUDiurtx2dMzN3GgwBpROC82nCRKbpyX/tgNjDnT+G7SU7 eCUIWGZKORah+xIVSFZ2JJWwQJlJui38MTSGkY1148yel7BCWLBhPTKGY1PBGR3ZERUfUsC0bbQd DMaL3yWthH5ki8g+4Z2tYSy+JtuayLPPp0zJvanqKh13ym3KtDjh2HMf+ldSO5ADuCuk5YAryr4x qZdjDBWjRwdOJo0t4U0euY4y33idNiWaRQnOWpJ3aO3yjcEdmWXBZppnvPBlGwuDAp7EERqv/W7N C71llhmsucqOpecE2ARAjY2/CmbiwVcsdhl50cQhppfVg6uSLe5PkyJKHTrr+EIzHMmY6C3A7G3l fw3X2WDOTLzMt6WXbzrRlQFoY0C37CB/3S68IS6o9xjdoJefPVQXwi2NGBFnDWltV3EITOKf7KCF +mVRopCvGhUpz80A+sWeI91yG0sFS/7+ud4IlNQ2hJDBg3tNFtICOqcDh8jxO5ql1ApjS5U6hCOp 7l6UNwEl1OZ/glxF1AsNuj2E0dwgndacRRY1nvCng3sL7d+6MhVhfytyt9KLxouRKLXqTYyOQfuo mCQO3dQKOS8oy3vILhPV36ePQZgpFMybj10tKj7MIPrtBm6mfujBqQVkAAA1WWLoGWvs27tF46Mv fclb0/RcIgPLmfHrE/RMhOtXqueV0N5HzFn4aKp5dyPUHo+f7sgJXZf4KoSQmQM56fYUfIfz+6JC +A/L1QTn0MAfjUwVJY8+sfANCUeLh/21zZ8kl+Zif+uBJ5Kgu7g7PK0r4SIDXGqZVOp+AbWbiO3t oL8NiwSkO57wd7FSHovp9T55cE64NPHR6QYQ5pdvGfu6sOJ5mziGQaETblrT2y8kpWtI7axvR99q eo0ewq1esJeptg+1m0L1Zj7zyfjpPCffxsm6JgPBOD/GGYXYjHaW1E3tUhytjbG+CHofnXoJNu3J OzJlb1+blQvNZr8qZ62TY9nINRuI/GwIVqOlB8qdxqdXDkx9mVeJ06F98w/WMKzZa6TVI02Vfn5w w6js3ONF9Q8FD1SLDTr4wXgEAXyFC6gofN/h1v2queE7Ewd1aGIPjTS5V6i0bWmFTOyS7fMYhyNk 0ufdRhZA7YlLlWwt0wcNTLIzjB6G/+85x6D7Unq3lQR480R5uJjk2cfGu3D2TPbSqXgBTZc71AEC sDs33ug3o2jfQbkEcA+sYoyYewb+uL4KNmGxv+gxUKZ+B2i6FPmf5C2TRLoD+LeKPHab6BK0q5rD 3NmSbGxhRrt3pyjGwJwT8/9FEMcKy6kNsHDP45kvuQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MHPN9Y9amseMg0zuSvrhTRzrn+W0NToGvZNVTOgJuNvWxuCU4NMRo8HnlH7RewaQm4iYAh6wHvle /0MPIaXFog== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BbmYYRmL2PLXpWVbtvu9RsdNcrttVBs/PUk5LQECotOLGUy1miaa+ljaqysu/TGJmcKfCLAJkwW/ MptH+rGPscK8uoHTzIeddAhXHSQ529VPmBEP3RShxnQvjOoF+J1LIMfDO1AkmVKIwwAhb9KSNdfX atBSk2yQy/pD8h7x5yQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QZRJPZgA8COac4pMOzTYL2+NNcMO5p9SfLgHmKymYw9Ks6zp8XIMy66/jRl0I29sT1boUkbNsf41 VUFx2iZkupZIYesrDuSfDCxUmrQASucnzz/+zViMcoHf9DqYR1h8dP3bIn/Qw9a2lmGKSiVZU/H9 dVHslrRbCWX8utOtiACCcybwTc36byy0xtLAsjLFcvDx2KCs1jeOGMDpFeo7QcubFV3oBxyJmEvN P22CsvOy5ysX5b6CzhRb6Hs2o0I1xS7aUx4Xd+ZxEjiQQ+tows7F0RGYQY2kSoTX1aekCKGJZV57 3D19tRnf/5gjjIRTZbQWkvtU1Bsj78nALK31JA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cKu8fAHb2anFshCbWWUi3DZtKqpiWiwh/0V18HR1q7h0Fmy0SbG03hJFXChQkflntLaZfC+KjCWt FBLg9Aycva6jTmappIqUy6Md30RoQvpR+VlkWIF3uPQYjuslHRqjavI2gD2oJn7Kztt+3IiiW5GL ycTAIn4FwIG30soC8go= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DuIkTvBxFKCHD+XXa4u3Y3elkrjncUoXbO644YISZ6xccDj+7lKgPf7fdGt/fyjne+drsFMpDqRu ncA1Rl3NeB2QLtLej1brZVWMn7/1i0EiEsSvBgjntnCXfD6uavi/Qn0sfWVgHGbQC8crIW4/VFcP iaMV1Lz0FlYOl84nzOipMs1gYEmyJHJqUGJiki7GCI3rReJ9lRUv0mTSWEf40duuxm7tBNcbFTyf 6qonLp4z6xTl+zMMjPW0RPBrjgr4F1SyOGq8BNiRZC522aareGi//rPD/pedS0mVcC72i45hOXEq lwAnJpVSl1rB6DHs6Kqm4HXq3C3TkIapAxDc8g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3280) `protect data_block MEHyvJAEyEXoL2e+5+Dp7H8hkpk5HGLa8DtF+nXx5YZiKYfVrwmmLF65DOTTKAhDMAdw/jFCgLNT AMX2/YNiI+7nok4X1CyCW7AOzDqdN+Ezt63uUMjzsHRwwLXzkUKBi7jC9PJTpct5OtYVYE1wM9H4 XhgchAg1NOkkBnGRgaEGSWl+3q3MOsc0C51PbUFBuZTZovS+6UMEQ0hjxtUfASTXJ8uSdetM9cXy meGuOFaYO4eil1ukZvzRaNUQFeYqkcHqDU/t0VoFV2LozXXe+hzGP2GntciX7Zo8aJpWsWUdAqWA irgeoSQ6jK5h4mp0vxbEoUgnhHx9GpXxSYHuw+FgbJPve7hG9ao0CXOG1ZdSfyRZRG06082tlBsW h/A7ZxdYU+X+oDs0aGhI88SvQAnKgDD9JWzbhFJx7799yiFme9/CRpNEhV7YQKzfuE4o1kDxgSwE VZ9tYCMaPK89ZilfmMYCNSEIwNqygzdbApJ2CgNNesrg3L3fTSy0WgSMStgXqc5crsDH1gG3ddgj qFVh6/Quf1hnf/S7Aeiqsc+bN+5rmFIaYFOHwA8v9asng3PI5rVeftu0SEi7JZcN2VOnbn5JObJH OLTxeKnaBcyQRUjMfOf3co4AvX2yyMdxYcYJKI+xscL4c74DCN/Hld8YEjFOMf+99GNC1KxPI8Ge S+gKUBx6bpnxMJ4E7WnHFN9cIp2p009Hq6tt+J44RgR45qWhT9k7pRIPf9Xi8EXIw9dnxI7h20bi 8qYbaoayV2g3LEOI1q1igQ4DsY71ZIHFALLF/8zAh9ZqVxtoO4cHORXRO3bEIPy6P2QhaAXnDVaP R/3TJmAfKnqdL4OHEifrE0ZPY3DaALXS263ywHyf6yj/Uio3Gk4KSOyqjBfnR6Bmd1f4/j+v6lH7 1dbrQ9YxhqS77kx/0UAwIbcX7Ga7ZlaAtfcRed97oMEKcnt65AIx2lb2XVIIw0Dq/gKHuHSvt2WR mnHfYzC2uYWfOZOk59irZr/vjwYEQQmVgcXD/EG7oWVLCL1LnfvFu4WIMXY+VZKH00h7eDkLmRgv hTsqUgcrCR54zA02q3eaPSWXRxItyksogkmln2WaB5YHHpzYNNEFWoAIQM8LQmC+8d0igletd2Fm 5a1Ri1RefVJYeGoNGj6+PZKeCED+pjOFOzn4gh4nxMTp8PT4xH2ce/CAMC7CGKQbgJxQqhm7ySIL hdgZSj4GQGSsxHLzYF27KwLSRwESZ6+GZ6TKRuVM9vVRl0qmmArAwcqziPHkSkxTOWrOQWdtZei+ sNsjsuOjwvFatfciHAA1690K9/1NUIXvtpLLZQNhuqWZ32E7Tb54MjWVZsoHbICe2kxfSvR0I8ep bALopD2pwGEP/lELitX7s8h/DMwWTa4FKnrNDI3qQ+1fBBgJ9sE6D8NT8k8st5jPbZQptSTVrbaa /P8v5MGmHSwLux2GxIP3UH+OXzokwziyFVPn+csX0J/45u3JRk54iYsRsEx8hEunkCYfAOiHocVq X+tdhJJMv9gECXUW1DquxqGUn+96k52uXKznftbs8x+M8Snt6bFfTt+hhqJ060Ef2RveEZIUYccA hTtnhW2v0o9YRX49WPgLXn3HHD3Daqh6fYynOQsGgt8QSrZvuPVBBporwvHW35x4qWWl+A8zBB/F 96MCf3b1n9haZwtYk44iixecILJ3XcZTS3zBEtgJlD9hsJFOOeCCKsDKWolhnOlFLfHb8SM1oDF2 sUcawGsjYAAiSmvllElRS6ay3gpU0i7yoaPhWhXeBAJzri4ZJthVWKSBPoBpDlPrm7jczyTQpSnl F9JCNvK99MJ9jPflD0LLxt2rlcrFex2tyoFgq0oApxhGIyJKkINaIX8WHuxJsGkGN8HYOMJQ6CaC ZAFsoEfbO3EvrXM4k1KNxiZt0TA4kmaujxFFgiiZbDp/7pykYGZ/S40tpkuadJ/A6oH9DVAwdZ5y nxHnlAUVwMU9ssuxQuxp8jsoRw/6UpKPamDtTBWMBetraJdWz3ZSE1n2ps99GI8OpQ4Of6+UJjwi 82vBF0Axp/Z/o7Ek+Rb0mO/DjUP9K7cBBhI2s8cgkX7Pf1vpLAsY+vfaG7wpJe3bXMIfZo8FHcSJ a0faH2RmLAlcNUfCOeOKVCexDJAK44eaHx02BzH1CKRSU34umP/9AWLQf8QNLusC0ICXb5DElpIl ZcjAp//IMvPJjEOOCTcyHvYpS/QhKtHZ/BWclTv/HObuGnydolYfK7gTRfxVe6H41cYp7ZR9gaYw c8ULneH9FLKTfdFw6OMfOwzF3hU305X0QKj1JggwosnO6CcQclg6vQbTr8qKf/5SY8dufk7W3a0v kKnUlTpg0TEFem5AqchuNwrGoUNM01+D7cnUIlQeDs7RxBZ5dEOHoMTsNFM2TxwG7cGTVD8SSn0U NOaC9+lPd3bxxlO/IU2f8JYMtMEH4T3+hGbAYCftAYyBUYmyleb3tsRWwE1bGmtIs88HWRai3TlV 57UK6tqzbRldf6UXstulEshoOyN+R8HM8fwx1/LVpsZOGqg+1yVhtPFlghunqrz+w7qnlcmEaRw3 7Lf1IRxRM8U5vXQ73rl/r5Eu+TyKS9tfYIJtAtQ7KUB1Ly1D32UIxx4u1zEi0BIoa6zOqVBx2fxX 7XIzLhaB74Thk/HYgoHR8oTBJDAp1ecZx0srA/UonFFAxy7gc+PHWdpjxX3NHq74l1udRGVVzuX9 Ku0dx+lHCZfODdhg+lZxEC4fdTo6wWlNZ1SvMLs8eWjlkriEDTQnxK6CGkZxAB+AXE6GmKoO5yjr nHd10ALPv9STKDtLjyGbW3bc2WFsClc1fnkBxLb2FL0ZUqblLO4YDY6o5/E9y1x2Xrv0510aWIxP urrk9VQ9ck8Uj1gLC8ZJKHwrmBoQKjr9/LbP8FqXywVtY3I/ySB52q/gBsm6RdM2hxjEb6EXI0+B DOx+4nMGm/Y3ic1iso1JyKRg3tmX+cgZ9LTKIIfYtDGKV4i+ZdEb3S9h8TPdlqJ4L866bZwWSJT3 YbFs/b0wTrGK+U5PgvSeXUGF+O92mYwUDiurtx2dMzN3GgwBpROC82nCRKbpyX/tgNjDnT+G7SU7 eCUIWGZKORah+xIVSFZ2JJWwQJlJui38MTSGkY1148yel7BCWLBhPTKGY1PBGR3ZERUfUsC0bbQd DMaL3yWthH5ki8g+4Z2tYSy+JtuayLPPp0zJvanqKh13ym3KtDjh2HMf+ldSO5ADuCuk5YAryr4x qZdjDBWjRwdOJo0t4U0euY4y33idNiWaRQnOWpJ3aO3yjcEdmWXBZppnvPBlGwuDAp7EERqv/W7N C71llhmsucqOpecE2ARAjY2/CmbiwVcsdhl50cQhppfVg6uSLe5PkyJKHTrr+EIzHMmY6C3A7G3l fw3X2WDOTLzMt6WXbzrRlQFoY0C37CB/3S68IS6o9xjdoJefPVQXwi2NGBFnDWltV3EITOKf7KCF +mVRopCvGhUpz80A+sWeI91yG0sFS/7+ud4IlNQ2hJDBg3tNFtICOqcDh8jxO5ql1ApjS5U6hCOp 7l6UNwEl1OZ/glxF1AsNuj2E0dwgndacRRY1nvCng3sL7d+6MhVhfytyt9KLxouRKLXqTYyOQfuo mCQO3dQKOS8oy3vILhPV36ePQZgpFMybj10tKj7MIPrtBm6mfujBqQVkAAA1WWLoGWvs27tF46Mv fclb0/RcIgPLmfHrE/RMhOtXqueV0N5HzFn4aKp5dyPUHo+f7sgJXZf4KoSQmQM56fYUfIfz+6JC +A/L1QTn0MAfjUwVJY8+sfANCUeLh/21zZ8kl+Zif+uBJ5Kgu7g7PK0r4SIDXGqZVOp+AbWbiO3t oL8NiwSkO57wd7FSHovp9T55cE64NPHR6QYQ5pdvGfu6sOJ5mziGQaETblrT2y8kpWtI7axvR99q eo0ewq1esJeptg+1m0L1Zj7zyfjpPCffxsm6JgPBOD/GGYXYjHaW1E3tUhytjbG+CHofnXoJNu3J OzJlb1+blQvNZr8qZ62TY9nINRuI/GwIVqOlB8qdxqdXDkx9mVeJ06F98w/WMKzZa6TVI02Vfn5w w6js3ONF9Q8FD1SLDTr4wXgEAXyFC6gofN/h1v2queE7Ewd1aGIPjTS5V6i0bWmFTOyS7fMYhyNk 0ufdRhZA7YlLlWwt0wcNTLIzjB6G/+85x6D7Unq3lQR480R5uJjk2cfGu3D2TPbSqXgBTZc71AEC sDs33ug3o2jfQbkEcA+sYoyYewb+uL4KNmGxv+gxUKZ+B2i6FPmf5C2TRLoD+LeKPHab6BK0q5rD 3NmSbGxhRrt3pyjGwJwT8/9FEMcKy6kNsHDP45kvuQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MHPN9Y9amseMg0zuSvrhTRzrn+W0NToGvZNVTOgJuNvWxuCU4NMRo8HnlH7RewaQm4iYAh6wHvle /0MPIaXFog== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BbmYYRmL2PLXpWVbtvu9RsdNcrttVBs/PUk5LQECotOLGUy1miaa+ljaqysu/TGJmcKfCLAJkwW/ MptH+rGPscK8uoHTzIeddAhXHSQ529VPmBEP3RShxnQvjOoF+J1LIMfDO1AkmVKIwwAhb9KSNdfX atBSk2yQy/pD8h7x5yQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QZRJPZgA8COac4pMOzTYL2+NNcMO5p9SfLgHmKymYw9Ks6zp8XIMy66/jRl0I29sT1boUkbNsf41 VUFx2iZkupZIYesrDuSfDCxUmrQASucnzz/+zViMcoHf9DqYR1h8dP3bIn/Qw9a2lmGKSiVZU/H9 dVHslrRbCWX8utOtiACCcybwTc36byy0xtLAsjLFcvDx2KCs1jeOGMDpFeo7QcubFV3oBxyJmEvN P22CsvOy5ysX5b6CzhRb6Hs2o0I1xS7aUx4Xd+ZxEjiQQ+tows7F0RGYQY2kSoTX1aekCKGJZV57 3D19tRnf/5gjjIRTZbQWkvtU1Bsj78nALK31JA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cKu8fAHb2anFshCbWWUi3DZtKqpiWiwh/0V18HR1q7h0Fmy0SbG03hJFXChQkflntLaZfC+KjCWt FBLg9Aycva6jTmappIqUy6Md30RoQvpR+VlkWIF3uPQYjuslHRqjavI2gD2oJn7Kztt+3IiiW5GL ycTAIn4FwIG30soC8go= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DuIkTvBxFKCHD+XXa4u3Y3elkrjncUoXbO644YISZ6xccDj+7lKgPf7fdGt/fyjne+drsFMpDqRu ncA1Rl3NeB2QLtLej1brZVWMn7/1i0EiEsSvBgjntnCXfD6uavi/Qn0sfWVgHGbQC8crIW4/VFcP iaMV1Lz0FlYOl84nzOipMs1gYEmyJHJqUGJiki7GCI3rReJ9lRUv0mTSWEf40duuxm7tBNcbFTyf 6qonLp4z6xTl+zMMjPW0RPBrjgr4F1SyOGq8BNiRZC522aareGi//rPD/pedS0mVcC72i45hOXEq lwAnJpVSl1rB6DHs6Kqm4HXq3C3TkIapAxDc8g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3280) `protect data_block MEHyvJAEyEXoL2e+5+Dp7H8hkpk5HGLa8DtF+nXx5YZiKYfVrwmmLF65DOTTKAhDMAdw/jFCgLNT AMX2/YNiI+7nok4X1CyCW7AOzDqdN+Ezt63uUMjzsHRwwLXzkUKBi7jC9PJTpct5OtYVYE1wM9H4 XhgchAg1NOkkBnGRgaEGSWl+3q3MOsc0C51PbUFBuZTZovS+6UMEQ0hjxtUfASTXJ8uSdetM9cXy meGuOFaYO4eil1ukZvzRaNUQFeYqkcHqDU/t0VoFV2LozXXe+hzGP2GntciX7Zo8aJpWsWUdAqWA irgeoSQ6jK5h4mp0vxbEoUgnhHx9GpXxSYHuw+FgbJPve7hG9ao0CXOG1ZdSfyRZRG06082tlBsW h/A7ZxdYU+X+oDs0aGhI88SvQAnKgDD9JWzbhFJx7799yiFme9/CRpNEhV7YQKzfuE4o1kDxgSwE VZ9tYCMaPK89ZilfmMYCNSEIwNqygzdbApJ2CgNNesrg3L3fTSy0WgSMStgXqc5crsDH1gG3ddgj qFVh6/Quf1hnf/S7Aeiqsc+bN+5rmFIaYFOHwA8v9asng3PI5rVeftu0SEi7JZcN2VOnbn5JObJH OLTxeKnaBcyQRUjMfOf3co4AvX2yyMdxYcYJKI+xscL4c74DCN/Hld8YEjFOMf+99GNC1KxPI8Ge S+gKUBx6bpnxMJ4E7WnHFN9cIp2p009Hq6tt+J44RgR45qWhT9k7pRIPf9Xi8EXIw9dnxI7h20bi 8qYbaoayV2g3LEOI1q1igQ4DsY71ZIHFALLF/8zAh9ZqVxtoO4cHORXRO3bEIPy6P2QhaAXnDVaP R/3TJmAfKnqdL4OHEifrE0ZPY3DaALXS263ywHyf6yj/Uio3Gk4KSOyqjBfnR6Bmd1f4/j+v6lH7 1dbrQ9YxhqS77kx/0UAwIbcX7Ga7ZlaAtfcRed97oMEKcnt65AIx2lb2XVIIw0Dq/gKHuHSvt2WR mnHfYzC2uYWfOZOk59irZr/vjwYEQQmVgcXD/EG7oWVLCL1LnfvFu4WIMXY+VZKH00h7eDkLmRgv hTsqUgcrCR54zA02q3eaPSWXRxItyksogkmln2WaB5YHHpzYNNEFWoAIQM8LQmC+8d0igletd2Fm 5a1Ri1RefVJYeGoNGj6+PZKeCED+pjOFOzn4gh4nxMTp8PT4xH2ce/CAMC7CGKQbgJxQqhm7ySIL hdgZSj4GQGSsxHLzYF27KwLSRwESZ6+GZ6TKRuVM9vVRl0qmmArAwcqziPHkSkxTOWrOQWdtZei+ sNsjsuOjwvFatfciHAA1690K9/1NUIXvtpLLZQNhuqWZ32E7Tb54MjWVZsoHbICe2kxfSvR0I8ep bALopD2pwGEP/lELitX7s8h/DMwWTa4FKnrNDI3qQ+1fBBgJ9sE6D8NT8k8st5jPbZQptSTVrbaa /P8v5MGmHSwLux2GxIP3UH+OXzokwziyFVPn+csX0J/45u3JRk54iYsRsEx8hEunkCYfAOiHocVq X+tdhJJMv9gECXUW1DquxqGUn+96k52uXKznftbs8x+M8Snt6bFfTt+hhqJ060Ef2RveEZIUYccA hTtnhW2v0o9YRX49WPgLXn3HHD3Daqh6fYynOQsGgt8QSrZvuPVBBporwvHW35x4qWWl+A8zBB/F 96MCf3b1n9haZwtYk44iixecILJ3XcZTS3zBEtgJlD9hsJFOOeCCKsDKWolhnOlFLfHb8SM1oDF2 sUcawGsjYAAiSmvllElRS6ay3gpU0i7yoaPhWhXeBAJzri4ZJthVWKSBPoBpDlPrm7jczyTQpSnl F9JCNvK99MJ9jPflD0LLxt2rlcrFex2tyoFgq0oApxhGIyJKkINaIX8WHuxJsGkGN8HYOMJQ6CaC ZAFsoEfbO3EvrXM4k1KNxiZt0TA4kmaujxFFgiiZbDp/7pykYGZ/S40tpkuadJ/A6oH9DVAwdZ5y nxHnlAUVwMU9ssuxQuxp8jsoRw/6UpKPamDtTBWMBetraJdWz3ZSE1n2ps99GI8OpQ4Of6+UJjwi 82vBF0Axp/Z/o7Ek+Rb0mO/DjUP9K7cBBhI2s8cgkX7Pf1vpLAsY+vfaG7wpJe3bXMIfZo8FHcSJ a0faH2RmLAlcNUfCOeOKVCexDJAK44eaHx02BzH1CKRSU34umP/9AWLQf8QNLusC0ICXb5DElpIl ZcjAp//IMvPJjEOOCTcyHvYpS/QhKtHZ/BWclTv/HObuGnydolYfK7gTRfxVe6H41cYp7ZR9gaYw c8ULneH9FLKTfdFw6OMfOwzF3hU305X0QKj1JggwosnO6CcQclg6vQbTr8qKf/5SY8dufk7W3a0v kKnUlTpg0TEFem5AqchuNwrGoUNM01+D7cnUIlQeDs7RxBZ5dEOHoMTsNFM2TxwG7cGTVD8SSn0U NOaC9+lPd3bxxlO/IU2f8JYMtMEH4T3+hGbAYCftAYyBUYmyleb3tsRWwE1bGmtIs88HWRai3TlV 57UK6tqzbRldf6UXstulEshoOyN+R8HM8fwx1/LVpsZOGqg+1yVhtPFlghunqrz+w7qnlcmEaRw3 7Lf1IRxRM8U5vXQ73rl/r5Eu+TyKS9tfYIJtAtQ7KUB1Ly1D32UIxx4u1zEi0BIoa6zOqVBx2fxX 7XIzLhaB74Thk/HYgoHR8oTBJDAp1ecZx0srA/UonFFAxy7gc+PHWdpjxX3NHq74l1udRGVVzuX9 Ku0dx+lHCZfODdhg+lZxEC4fdTo6wWlNZ1SvMLs8eWjlkriEDTQnxK6CGkZxAB+AXE6GmKoO5yjr nHd10ALPv9STKDtLjyGbW3bc2WFsClc1fnkBxLb2FL0ZUqblLO4YDY6o5/E9y1x2Xrv0510aWIxP urrk9VQ9ck8Uj1gLC8ZJKHwrmBoQKjr9/LbP8FqXywVtY3I/ySB52q/gBsm6RdM2hxjEb6EXI0+B DOx+4nMGm/Y3ic1iso1JyKRg3tmX+cgZ9LTKIIfYtDGKV4i+ZdEb3S9h8TPdlqJ4L866bZwWSJT3 YbFs/b0wTrGK+U5PgvSeXUGF+O92mYwUDiurtx2dMzN3GgwBpROC82nCRKbpyX/tgNjDnT+G7SU7 eCUIWGZKORah+xIVSFZ2JJWwQJlJui38MTSGkY1148yel7BCWLBhPTKGY1PBGR3ZERUfUsC0bbQd DMaL3yWthH5ki8g+4Z2tYSy+JtuayLPPp0zJvanqKh13ym3KtDjh2HMf+ldSO5ADuCuk5YAryr4x qZdjDBWjRwdOJo0t4U0euY4y33idNiWaRQnOWpJ3aO3yjcEdmWXBZppnvPBlGwuDAp7EERqv/W7N C71llhmsucqOpecE2ARAjY2/CmbiwVcsdhl50cQhppfVg6uSLe5PkyJKHTrr+EIzHMmY6C3A7G3l fw3X2WDOTLzMt6WXbzrRlQFoY0C37CB/3S68IS6o9xjdoJefPVQXwi2NGBFnDWltV3EITOKf7KCF +mVRopCvGhUpz80A+sWeI91yG0sFS/7+ud4IlNQ2hJDBg3tNFtICOqcDh8jxO5ql1ApjS5U6hCOp 7l6UNwEl1OZ/glxF1AsNuj2E0dwgndacRRY1nvCng3sL7d+6MhVhfytyt9KLxouRKLXqTYyOQfuo mCQO3dQKOS8oy3vILhPV36ePQZgpFMybj10tKj7MIPrtBm6mfujBqQVkAAA1WWLoGWvs27tF46Mv fclb0/RcIgPLmfHrE/RMhOtXqueV0N5HzFn4aKp5dyPUHo+f7sgJXZf4KoSQmQM56fYUfIfz+6JC +A/L1QTn0MAfjUwVJY8+sfANCUeLh/21zZ8kl+Zif+uBJ5Kgu7g7PK0r4SIDXGqZVOp+AbWbiO3t oL8NiwSkO57wd7FSHovp9T55cE64NPHR6QYQ5pdvGfu6sOJ5mziGQaETblrT2y8kpWtI7axvR99q eo0ewq1esJeptg+1m0L1Zj7zyfjpPCffxsm6JgPBOD/GGYXYjHaW1E3tUhytjbG+CHofnXoJNu3J OzJlb1+blQvNZr8qZ62TY9nINRuI/GwIVqOlB8qdxqdXDkx9mVeJ06F98w/WMKzZa6TVI02Vfn5w w6js3ONF9Q8FD1SLDTr4wXgEAXyFC6gofN/h1v2queE7Ewd1aGIPjTS5V6i0bWmFTOyS7fMYhyNk 0ufdRhZA7YlLlWwt0wcNTLIzjB6G/+85x6D7Unq3lQR480R5uJjk2cfGu3D2TPbSqXgBTZc71AEC sDs33ug3o2jfQbkEcA+sYoyYewb+uL4KNmGxv+gxUKZ+B2i6FPmf5C2TRLoD+LeKPHab6BK0q5rD 3NmSbGxhRrt3pyjGwJwT8/9FEMcKy6kNsHDP45kvuQ== `protect end_protected
-- FFD to delay a signals of N clock cycles LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY Delayer IS GENERIC (N : integer := 1); PORT ( CLK : IN std_logic; RST : IN std_logic; EN : IN std_logic; DIN : IN std_logic; DOUT : OUT std_logic); END Delayer; ARCHITECTURE structural OF Delayer IS COMPONENT ffd is port ( CLK : in std_logic; RST : in std_logic; EN : in std_logic; D : in std_logic; Q : out std_logic ); end COMPONENT; SIGNAL data : std_logic_vector(N downto 0); BEGIN REG_GENERATOR : for i in 0 to N-1 generate FFD_I : ffd PORT MAP ( CLK => CLK, RST => RST, EN => EN, D => data(i), Q => data(i+1)); END GENERATE; data(0) <= DIN; DOUT <= data(N); END structural;
------------------------------------------------------------------------------- -- Title : Testbench for design "dc_motor_module_extended" ------------------------------------------------------------------------------- -- Author : Fabian Greif ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2013 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.motor_control_pkg.all; ------------------------------------------------------------------------------- entity dc_motor_module_extended_tb is end dc_motor_module_extended_tb; ------------------------------------------------------------------------------- architecture tb of dc_motor_module_extended_tb is -- component generics constant BASE_ADDRESS : positive := 16#0100#; constant WIDTH : positive := 8; constant PRESCALER : positive := 2; -- component ports signal pwm1 : std_logic := '0'; signal pwm2 : std_logic := '0'; signal sd : std_logic := '1'; signal break : std_logic := '0'; signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); signal clk : std_logic := '0'; begin -- component instantiation DUT : dc_motor_module_extended generic map ( BASE_ADDRESS => BASE_ADDRESS, WIDTH => WIDTH, PRESCALER => PRESCALER) port map ( pwm1_p => pwm1, pwm2_p => pwm2, sd_p => sd, break_p => break, bus_o => bus_o, bus_i => bus_i, clk => clk); -- clock generation clk <= not clk after 10 ns; bus_waveform : process begin wait for 100 ns; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0100", bus_i.addr'length))); bus_i.data <= x"00f0"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"000f"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait for 150 us; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0100", bus_i.addr'length))); bus_i.data <= x"000f"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"00f0"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait for 200 us; -- Disable PWM via break wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"80ff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait; end process; -- Test break signal process begin wait for 220 us; break <= '1'; wait for 30 us; break <= '0'; end process; end tb;
------------------------------------------------------------------------------- -- Title : Testbench for design "dc_motor_module_extended" ------------------------------------------------------------------------------- -- Author : Fabian Greif ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2013 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.bus_pkg.all; use work.motor_control_pkg.all; ------------------------------------------------------------------------------- entity dc_motor_module_extended_tb is end dc_motor_module_extended_tb; ------------------------------------------------------------------------------- architecture tb of dc_motor_module_extended_tb is -- component generics constant BASE_ADDRESS : positive := 16#0100#; constant WIDTH : positive := 8; constant PRESCALER : positive := 2; -- component ports signal pwm1 : std_logic := '0'; signal pwm2 : std_logic := '0'; signal sd : std_logic := '1'; signal break : std_logic := '0'; signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type := (addr => (others => '0'), data => (others => '0'), we => '0', re => '0'); signal clk : std_logic := '0'; begin -- component instantiation DUT : dc_motor_module_extended generic map ( BASE_ADDRESS => BASE_ADDRESS, WIDTH => WIDTH, PRESCALER => PRESCALER) port map ( pwm1_p => pwm1, pwm2_p => pwm2, sd_p => sd, break_p => break, bus_o => bus_o, bus_i => bus_i, clk => clk); -- clock generation clk <= not clk after 10 ns; bus_waveform : process begin wait for 100 ns; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0100", bus_i.addr'length))); bus_i.data <= x"00f0"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"000f"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait for 150 us; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0100", bus_i.addr'length))); bus_i.data <= x"000f"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"00f0"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait for 200 us; -- Disable PWM via break wait until rising_edge(clk); bus_i.addr <= std_logic_vector(unsigned'(resize(x"0101", bus_i.addr'length))); bus_i.data <= x"80ff"; bus_i.we <= '1'; wait until rising_edge(clk); bus_i.we <= '0'; wait; end process; -- Test break signal process begin wait for 220 us; break <= '1'; wait for 30 us; break <= '0'; end process; end tb;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of inst_shadow_ok_5_e -- -- Generated -- by: wig -- on: Tue Nov 21 12:18:38 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_shadow_ok_5_e-rtl-a.vhd,v 1.1 2006/11/22 10:40:09 wig Exp $ -- $Date: 2006/11/22 10:40:09 $ -- $Log: inst_shadow_ok_5_e-rtl-a.vhd,v $ -- Revision 1.1 2006/11/22 10:40:09 wig -- Detect missing directories and flag that as error. -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.99 2006/11/02 15:37:48 wig Exp -- -- Generator: mix_0.pl Revision: 1.47 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of inst_shadow_ok_5_e -- architecture rtl of inst_shadow_ok_5_e is -- -- Generated Constant Declarations -- -- -- Generated Components -- -- -- Generated Signal List -- -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- -- Generated Signal Assignments -- -- -- Generated Instances and Port Mappings -- end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:02:48 11/30/2015 -- Design Name: -- Module Name: IMask - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity IMask is Port ( i_SET : in STD_LOGIC; I_CLR : in STD_LOGIC; clk : in STD_LOGIC; oot : out STD_LOGIC); end IMask; architecture Behavioral of IMask is signal s_oot: std_logic; begin --------------------------------------------- process(i_set, I_CLR, CLK, s_oot) begin if (rising_edge(clk)) then if (I_CLR = '1') then s_oot <= '0'; elsif (I_SET = '1') then s_oot <= '1'; end if; end if; end process; --------------------------------------------- oot <= s_oot; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library work; use work.abb64Package.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity bram_DDRs_Control is Generic ( C_ASYNFIFO_WIDTH : integer := 72 ; P_SIMULATION : boolean := TRUE ); Port ( -- -- Pins -- DDR_CLKn : OUT std_logic; -- DDR_CLK : OUT std_logic; -- DDR_CKE : OUT std_logic; -- DDR_CSn : OUT std_logic; -- DDR_RASn : OUT std_logic; -- DDR_CASn : OUT std_logic; -- DDR_WEn : OUT std_logic; -- DDR_BankAddr : OUT std_logic_vector(C_DDR_BANK_AWIDTH-1 downto 0); -- DDR_Addr : OUT std_logic_vector(C_DDR_AWIDTH-1 downto 0); -- DDR_DM : OUT std_logic_vector(C_DDR_DWIDTH/8-1 downto 0); -- DDR_DQ : INOUT std_logic_vector(C_DDR_DWIDTH-1 downto 0); -- DDR_DQS : INOUT std_logic_vector(C_DDR_DWIDTH/8-1 downto 0); --USER Logic Interface user_wr_weA : IN std_logic_vector(7 downto 0); user_wr_addrA : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0); user_wr_dinA : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); user_rd_addrB : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0); user_rd_doutB : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); user_rd_clk : IN std_logic; user_wr_clk : IN std_logic; -- DMA interface DDR_wr_sof : IN std_logic; DDR_wr_eof : IN std_logic; DDR_wr_v : IN std_logic; DDR_wr_FA : IN std_logic; DDR_wr_Shift : IN std_logic; DDR_wr_Mask : IN std_logic_vector(2-1 downto 0); DDR_wr_din : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : OUT std_logic; DDR_rdc_sof : IN std_logic; DDR_rdc_eof : IN std_logic; DDR_rdc_v : IN std_logic; DDR_rdc_FA : IN std_logic; DDR_rdc_Shift : IN std_logic; DDR_rdc_din : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full : OUT std_logic; -- DDR_rdD_sof : OUT std_logic; -- DDR_rdD_eof : OUT std_logic; -- DDR_rdDout_V : OUT std_logic; -- DDR_rdDout : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR payload FIFO Read Port DDR_FIFO_RdEn : IN std_logic; DDR_FIFO_Empty : OUT std_logic; DDR_FIFO_RdQout : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Common interface DDR_Ready : OUT std_logic; DDR_blinker : OUT std_logic; Sim_Zeichen : OUT std_logic; mem_clk : IN std_logic; trn_clk : IN std_logic; trn_reset_n : IN std_logic ); end entity bram_DDRs_Control; architecture Behavioral of bram_DDRs_Control is -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- COMPONENT DDR_ClkGen PORT( ddr_Clock : OUT std_logic; ddr_Clock_n : OUT std_logic; ddr_Clock90 : OUT std_logic; ddr_Clock90_n : OUT std_logic; Clk_ddr_rddata : OUT std_logic; Clk_ddr_rddata_n : OUT std_logic; ddr_DCM_locked : OUT std_logic; clk_in : IN std_logic; trn_reset_n : IN std_logic ); END COMPONENT; -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- COMPONENT asyn_rw_FIFO72 -- GENERIC ( -- OUTPUT_REGISTERED : BOOLEAN -- ); PORT( wClk : IN std_logic; wEn : IN std_logic; Din : IN std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); aFull : OUT std_logic; Full : OUT std_logic; rClk : IN std_logic; rEn : IN std_logic; Qout : OUT std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); aEmpty : OUT std_logic; Empty : OUT std_logic; Rst : IN std_logic ); END COMPONENT; component k7_prime_FIFO_plain port ( wr_clk : IN std_logic; wr_en : IN std_logic; din : IN std_logic_VECTOR(C_ASYNFIFO_WIDTH-1 downto 0); full : OUT std_logic; prog_full: OUT std_logic; rd_clk : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_VECTOR(C_ASYNFIFO_WIDTH-1 downto 0); empty : OUT std_logic; rst : IN std_logic ); end component; -- component fifo_512x36_v4_2 -- port ( -- wr_clk : IN std_logic; -- wr_en : IN std_logic; -- din : IN std_logic_VECTOR(35 downto 0); -- prog_full : OUT std_logic; -- full : OUT std_logic; -- -- rd_clk : IN std_logic; -- rd_en : IN std_logic; -- dout : OUT std_logic_VECTOR(35 downto 0); -- prog_empty : OUT std_logic; -- empty : OUT std_logic; -- -- rst : IN std_logic -- ); -- end component; component fifo_512x72_v4_4 port ( wr_clk : IN std_logic; wr_en : IN std_logic; din : IN std_logic_VECTOR(C_ASYNFIFO_WIDTH-1 downto 0); prog_full : OUT std_logic; full : OUT std_logic; rd_clk : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_VECTOR(C_ASYNFIFO_WIDTH-1 downto 0); -- prog_empty : OUT std_logic; empty : OUT std_logic; rst : IN std_logic ); end component; ---- Dual-port block RAM for packets --- Core output registered -- -- component v5bram4096x32 -- port ( -- clka : IN std_logic; -- addra : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0); -- wea : IN std_logic_vector(0 downto 0); -- dina : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- douta : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- -- clkb : IN std_logic; -- addrb : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0); -- web : IN std_logic_vector(0 downto 0); -- dinb : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- doutb : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0) -- ); -- end component; --SIMONE DEBUG --component pcie_userlogic_02_cw -- port ( -- addra: in std_logic_vector(11 downto 0); -- addrb: in std_logic_vector(11 downto 0); -- ce: in std_logic := '1'; -- clk: in std_logic; -- clock period = 5.0 ns (200.0 Mhz) -- dina: in std_logic_vector(63 downto 0); -- dinb: in std_logic_vector(63 downto 0); -- douta: in std_logic_vector(63 downto 0); -- doutb: in std_logic_vector(63 downto 0); -- rst_i: in std_logic; -- wea: in std_logic_vector(7 downto 0); -- web: in std_logic_vector(7 downto 0); -- rst_o: out std_logic -- ); --end component; component k7_bram4096x64 port ( clka : IN std_logic; addra : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0); wea : IN std_logic_vector(7 downto 0); dina : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); douta : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); clkb : IN std_logic; addrb : IN std_logic_vector(C_PRAM_AWIDTH-1 downto 0); web : IN std_logic_vector(7 downto 0); dinb : IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); doutb : OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0) ); end component; -- Blinking -_-_-_-_-_-_-_-_-_-_-_-_-_-_- COMPONENT DDR_Blink PORT( DDR_Blinker : OUT std_logic; DDR_Write : IN std_logic; DDR_Read : IN std_logic; DDR_Both : IN std_logic; ddr_Clock : IN std_logic; DDr_Rst_n : IN std_logic ); END COMPONENT; -- --------------------------------------------------------------------- signal ddr_DCM_locked : std_logic; -- -- --------------------------------------------------------------------- signal Rst_i : std_logic; -- -- --------------------------------------------------------------------- signal DDR_Ready_i : std_logic; -- -- --------------------------------------------------------------------- signal ddr_Clock : std_logic; signal ddr_Clock_n : std_logic; signal ddr_Clock90 : std_logic; signal ddr_Clock90_n : std_logic; signal Clk_ddr_rddata : std_logic; signal Clk_ddr_rddata_n : std_logic; -- -- -- Write Pipe Channel signal wpipe_wEn : std_logic; signal wpipe_Din : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal wpipe_aFull : std_logic; signal wpipe_Full : std_logic; -- Earlier calculate for better timing signal DDR_wr_Cross_Row : std_logic; signal DDR_wr_din_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_write_ALC : std_logic_vector(11-1 downto 0); signal wpipe_rEn : std_logic; signal wpipe_Qout : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); -- signal wpipe_aEmpty : std_logic; signal wpipe_Empty : std_logic; signal wpipe_Qout_latch : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); -- -- -- Read Pipe Command Channel signal rpipec_wEn : std_logic; signal rpipec_Din : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal rpipec_aFull : std_logic; signal rpipec_Full : std_logic; -- Earlier calculate for better timing signal DDR_rd_Cross_Row : std_logic; signal DDR_rdc_din_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_read_ALC : std_logic_vector(11-1 downto 0); signal rpipec_rEn : std_logic; signal rpipec_Qout : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); -- signal rpipec_aEmpty : std_logic; signal rpipec_Empty : std_logic; -- -- -- Read Pipe Data Channel signal rpiped_wEn : std_logic; signal rpiped_Din : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); signal rpiped_aFull : std_logic; signal rpiped_Full : std_logic; -- signal rpiped_rEn : std_logic; signal rpiped_Qout : std_logic_vector(C_ASYNFIFO_WIDTH-1 downto 0); -- signal rpiped_aEmpty : std_logic; -- signal rpiped_Empty : std_logic; -- write State machine type bram_wrStates is ( wrST_bram_RESET , wrST_bram_IDLE -- , wrST_bram_Address , wrST_bram_1st_Data , wrST_bram_1st_Data_b2b , wrST_bram_more_Data , wrST_bram_last_DW ); -- State variables signal pseudo_DDR_wr_State : bram_wrStates; -- Block RAM signal pRAM_weA : std_logic_vector(7 downto 0); signal pRAM_addrA : std_logic_vector(C_PRAM_AWIDTH-1 downto 0); signal pRAM_dinA : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal pRAM_doutA : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal user_wr_doutA : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal user_rd_weB : std_logic_vector(7 downto 0); signal user_rd_dinB : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal pRAM_weB : std_logic_vector(7 downto 0); signal pRAM_dinB : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal pRAM_addrB : std_logic_vector(C_PRAM_AWIDTH-1 downto 0); signal pRAM_doutB : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal pRAM_doutB_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal pRAM_doutB_shifted : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wpipe_qout_lo32b : std_logic_vector(33-1 downto 0); signal wpipe_QW_Aligned : std_logic; signal pRAM_AddrA_Inc : std_logic; signal wpipe_read_valid : std_logic; -- read State machine type bram_rdStates is ( rdST_bram_RESET , rdST_bram_IDLE , rdST_bram_b4_LA , rdST_bram_LA -- , rdST_bram_b4_Length -- , rdST_bram_Length -- , rdST_bram_b4_Address -- , rdST_bram_Address , rdST_bram_Data -- , rdST_bram_Data_shift ); -- State variables signal pseudo_DDR_rd_State : bram_rdStates; signal rpiped_rd_counter : std_logic_vector(10-1 downto 0); signal rpiped_wEn_b3 : std_logic; signal rpiped_wEn_b2 : std_logic; signal rpiped_wEn_b1 : std_logic; signal rpiped_wr_EOF : std_logic; signal rpipec_read_valid : std_logic; signal rpiped_wr_skew : std_logic; signal rpiped_wr_postpone : std_logic; signal simone_debug : std_logic; begin Rst_i <= not trn_reset_n; DDR_Ready <= DDR_Ready_i; pRAM_doutB_shifted <= pRAM_doutB_r1(32-1 downto 0) & pRAM_doutB(64-1 downto 32); -- Delay Syn_Shifting_pRAM_doutB: process ( trn_clk) begin if trn_clk'event and trn_clk = '1' then pRAM_doutB_r1 <= pRAM_doutB; end if; end process; -- ----------------------------------------------- -- Syn_DDR_CKE: process (trn_clk, Rst_i) begin if Rst_i = '1' then DDR_Ready_i <= '0'; elsif trn_clk'event and trn_clk = '1' then DDR_Ready_i <= '1'; -- ddr_DCM_locked; end if; end process; -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- DDR_Clock_Generator: -- DDR_ClkGen -- PORT MAP( -- ddr_Clock => ddr_Clock , -- OUT std_logic; -- ddr_Clock_n => ddr_Clock_n , -- OUT std_logic; -- ddr_Clock90 => ddr_Clock90 , -- OUT std_logic; -- ddr_Clock90_n => ddr_Clock90_n , -- OUT std_logic; -- Clk_ddr_rddata => Clk_ddr_rddata , -- OUT std_logic; -- Clk_ddr_rddata_n => Clk_ddr_rddata_n , -- OUT std_logic; -- ddr_DCM_locked => ddr_DCM_locked , -- OUT std_logic; -- -- clk_in => mem_clk , -- IN std_logic; -- trn_reset_n => trn_reset_n -- IN std_logic -- ); -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- DDR_pipe_write_fifo: -- asyn_rw_FIFO -- GENERIC MAP ( -- OUTPUT_REGISTERED => TRUE -- ) -- PORT MAP( -- wClk => trn_clk , -- wEn => wpipe_wEn , -- Din => wpipe_Din , -- aFull => wpipe_aFull , -- Full => wpipe_Full , -- -- rClk => ddr_Clock , -- ddr_Clock_n , -- rEn => wpipe_rEn , -- Qout => wpipe_Qout , -- aEmpty => wpipe_aEmpty , -- Empty => wpipe_Empty , -- -- Rst => Rst_i -- ); -- DDR_pipe_write_fifo: -- asyn_rw_FIFO72 -- PORT MAP( -- wClk => trn_clk , -- wEn => wpipe_wEn , -- Din => wpipe_Din , -- aFull => wpipe_aFull , -- Full => open , -- -- rClk => ddr_Clock , -- rEn => wpipe_rEn , -- Qout => wpipe_Qout , -- aEmpty => open , -- Empty => wpipe_Empty , -- -- Rst => Rst_i -- ); DDR_pipe_write_fifo: k7_prime_FIFO_plain PORT MAP( wr_clk => trn_clk , -- IN std_logic; wr_en => wpipe_wEn , -- IN std_logic; din => wpipe_Din , -- IN std_logic_VECTOR(35 downto 0); prog_full => wpipe_aFull , -- OUT std_logic; full => wpipe_Full , -- OUT std_logic; rd_clk => trn_clk , -- IN std_logic; rd_en => wpipe_rEn , -- IN std_logic; dout => wpipe_Qout , -- OUT std_logic_VECTOR(35 downto 0); empty => wpipe_Empty , -- OUT std_logic; rst => Rst_i -- IN std_logic ); wpipe_wEn <= DDR_wr_v; wpipe_Din <= DDR_wr_Mask & DDR_wr_Shift & '0' & DDR_wr_sof & DDR_wr_eof & DDR_wr_Cross_Row & DDR_wr_FA & DDR_wr_din; DDR_wr_full <= wpipe_aFull; Sim_Zeichen <= simone_debug; --S wpipe_Empty; Syn_DDR_wrD_Cross_Row: process (trn_clk) begin if trn_clk'event and trn_clk = '1' then DDR_wr_din_r1(64-1 downto 10) <= (OTHERS=>'0'); DDR_wr_din_r1( 9 downto 0) <= DDR_wr_din(9 downto 0) - "100"; end if; end process; DDR_write_ALC <= (DDR_wr_din_r1(10 downto 2) &"00") + ('0' & DDR_wr_din(9 downto 2) &"00"); DDR_wr_Cross_Row <= '0'; -- DDR_write_ALC(10); -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- DDR_pipe_read_C_fifo: -- asyn_rw_FIFO -- GENERIC MAP ( -- OUTPUT_REGISTERED => TRUE -- ) -- PORT MAP( -- wClk => trn_clk , -- wEn => rpipec_wEn , -- Din => rpipec_Din , -- aFull => rpipec_aFull , -- Full => rpipec_Full , -- -- rClk => ddr_Clock , -- ddr_Clock_n , -- rEn => rpipec_rEn , -- Qout => rpipec_Qout , -- aEmpty => rpipec_aEmpty , -- Empty => rpipec_Empty , -- -- Rst => Rst_i -- ); -- -- DDR_pipe_read_C_fifo: -- asyn_rw_FIFO72 -- PORT MAP( -- wClk => trn_clk , -- wEn => rpipec_wEn , -- Din => rpipec_Din , -- aFull => rpipec_aFull , -- Full => open , -- -- rClk => ddr_Clock , -- rEn => rpipec_rEn , -- Qout => rpipec_Qout , -- aEmpty => open , -- Empty => rpipec_Empty , -- -- Rst => Rst_i -- ); DDR_pipe_read_C_fifo: k7_prime_FIFO_plain PORT MAP( wr_clk => trn_clk , -- IN std_logic; wr_en => rpipec_wEn , -- IN std_logic; din => rpipec_Din , -- IN std_logic_VECTOR(35 downto 0); prog_full => rpipec_aFull , -- OUT std_logic; full => open, --rpipec_Full , -- OUT std_logic; rd_clk => trn_clk , -- IN std_logic; rd_en => rpipec_rEn , -- IN std_logic; dout => rpipec_Qout , -- OUT std_logic_VECTOR(35 downto 0); empty => rpipec_Empty , -- OUT std_logic; rst => Rst_i -- IN std_logic ); rpipec_wEn <= DDR_rdc_v; rpipec_Din <= "00" & DDR_rdc_Shift & '0' & DDR_rdc_sof & DDR_rdc_eof & DDR_rd_Cross_Row & DDR_rdc_FA & DDR_rdc_din; DDR_rdc_full <= rpipec_aFull; Syn_DDR_rdC_Cross_Row: process (trn_clk) begin if trn_clk'event and trn_clk = '1' then DDR_rdc_din_r1(64-1 downto 10) <= (OTHERS=>'0'); DDR_rdc_din_r1( 9 downto 0) <= DDR_rdc_din(9 downto 0) - "100"; end if; end process; DDR_read_ALC <= (DDR_rdc_din_r1(10 downto 2) &"00") + ('0' & DDR_rdc_din(9 downto 2) &"00"); DDR_rd_Cross_Row <= '0'; -- DDR_read_ALC(10); -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- DDR_pipe_read_D_fifo: -- asyn_rw_FIFO -- GENERIC MAP ( -- OUTPUT_REGISTERED => TRUE -- ) -- PORT MAP( -- wClk => ddr_Clock, -- Clk_ddr_rddata , -- ddr_Clock , -- ddr_Clock_n , -- wEn => rpiped_wEn , -- Din => rpiped_Din , -- aFull => rpiped_aFull , -- Full => rpiped_Full , -- -- rClk => trn_clk , -- rEn => DDR_FIFO_RdEn , -- rpiped_rEn , -- Qout => rpiped_Qout , -- aEmpty => open , -- rpiped_aEmpty , -- Empty => DDR_FIFO_Empty , -- rpiped_Empty , -- -- Rst => Rst_i -- ); -- DDR_pipe_read_D_fifo: -- asyn_rw_FIFO72 -- PORT MAP( -- wClk => ddr_Clock , -- wEn => rpiped_wEn , -- Din => rpiped_Din , -- aFull => rpiped_aFull , -- Full => open , -- -- rClk => trn_clk , -- rEn => DDR_FIFO_RdEn , -- Qout => rpiped_Qout , -- aEmpty => open , -- Empty => DDR_FIFO_Empty , -- -- Rst => Rst_i -- ); DDR_pipe_read_D_fifo: k7_prime_FIFO_plain PORT MAP( wr_clk => trn_clk , -- IN std_logic; wr_en => rpiped_wEn , -- IN std_logic; din => rpiped_Din , -- IN std_logic_VECTOR(35 downto 0); prog_full => rpiped_aFull , -- OUT std_logic; full => open, -- rpiped_Full , -- OUT std_logic; rd_clk => trn_clk , -- IN std_logic; rd_en => DDR_FIFO_RdEn , -- IN std_logic; dout => rpiped_Qout , -- OUT std_logic_VECTOR(35 downto 0); empty => DDR_FIFO_Empty , -- OUT std_logic; rst => Rst_i -- IN std_logic ); DDR_FIFO_RdQout <= rpiped_Qout(C_DBUS_WIDTH-1 downto 0); -- ------------------------------------------------- -- pkt_RAM instantiate -- pkt_RAM_IN: k7_bram4096x64 port map ( clka => trn_clk , addra => pRAM_addrA , wea => pRAM_weA , dina => pRAM_dinA , douta => pRAM_doutA , clkb => user_rd_clk , addrb => user_rd_addrB , web => user_rd_weB , --'0' dinb => user_rd_dinB , --'0' doutb => user_rd_doutB ); user_rd_weB <= X"00"; user_rd_dinB <= (Others =>'0'); pkt_RAM_OUT: k7_bram4096x64 port map ( clka => user_wr_clk , addra => user_wr_addrA , wea => user_wr_weA , dina => user_wr_dinA , douta => user_wr_doutA , --'open' clkb => trn_clk , addrb => pRAM_addrB , web => pRAM_weB , dinb => pRAM_dinB , doutb => pRAM_doutB ); pRAM_weB <= X"00"; pRAM_dinB <= (Others =>'0'); --my_pcie_userlogic_02_cw : pcie_userlogic_02_cw -- port map ( -- -- addra => pRAM_addrA, -- addrb => pRAM_addrB, -- ce => '1', -- clk => trn_clk, -- dina => pRAM_dinA, -- dinb => pRAM_dinB, -- douta => pRAM_doutA, -- doutb => pRAM_doutB, -- rst_i => Rst_i, -- wea => pRAM_weA, -- web => pRAM_weB, -- rst_o => simone_debug -- ); simone_debug <= '0'; -- ------------------------------------------------ -- write States synchronous -- Syn_Pseudo_DDR_wr_States: process ( trn_clk, trn_reset_n) begin if trn_reset_n = '0' then pseudo_DDR_wr_State <= wrST_bram_RESET; pRAM_addrA <= (OTHERS=>'1'); pRAM_weA <= (OTHERS=>'0'); pRAM_dinA <= (OTHERS=>'0'); wpipe_qout_lo32b <= (OTHERS=>'0'); wpipe_QW_Aligned <= '1'; pRAM_AddrA_Inc <= '1'; elsif trn_clk'event and trn_clk = '1' then case pseudo_DDR_wr_State is when wrST_bram_RESET => pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_addrA <= (OTHERS=>'1'); wpipe_QW_Aligned <= '1'; wpipe_qout_lo32b <= (OTHERS=>'0'); pRAM_weA <= (OTHERS=>'0'); pRAM_dinA <= (OTHERS=>'0'); pRAM_AddrA_Inc <= '1'; when wrST_bram_IDLE => pRAM_addrA <= wpipe_Qout(14 downto 3); pRAM_AddrA_Inc <= wpipe_Qout(2); wpipe_QW_Aligned <= not wpipe_Qout(69); wpipe_qout_lo32b <= (32=>'1', OTHERS=>'0'); pRAM_weA <= (OTHERS=>'0'); pRAM_dinA <= pRAM_dinA; if wpipe_read_valid = '1' then pseudo_DDR_wr_State <= wrST_bram_1st_Data; -- wrST_bram_Address; else pseudo_DDR_wr_State <= wrST_bram_IDLE; end if; when wrST_bram_1st_Data => pRAM_addrA <= pRAM_addrA; if wpipe_read_valid = '0' then pseudo_DDR_wr_State <= wrST_bram_1st_Data; pRAM_weA <= (OTHERS=>'0'); --pRAM_weA; pRAM_dinA <= pRAM_dinA; elsif wpipe_Qout(66)='1' then -- eof if wpipe_QW_Aligned='1' then pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= not ( wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) ); pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); elsif wpipe_Qout(70)='1' then -- mask(0) pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= not ( wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_dinA <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); elsif wpipe_Qout(71)='1' then -- mask(1) pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= X"F0"; pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1-32 downto 0) & X"00000000"; else pseudo_DDR_wr_State <= wrST_bram_last_DW; pRAM_weA <= not ( wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_dinA <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); end if; else if wpipe_QW_Aligned='1' then pseudo_DDR_wr_State <= wrST_bram_more_Data; pRAM_weA <= not ( wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) ); pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); elsif pRAM_AddrA_Inc='1' then pseudo_DDR_wr_State <= wrST_bram_more_Data; pRAM_weA <= not ( wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_dinA <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); else pseudo_DDR_wr_State <= wrST_bram_1st_Data; pRAM_AddrA_Inc <= '1'; pRAM_weA <= X"00"; pRAM_dinA <= pRAM_dinA; wpipe_qout_lo32b <= wpipe_Qout(70) & wpipe_Qout(32-1 downto 0); end if; end if; when wrST_bram_more_Data => if wpipe_read_valid = '0' then pseudo_DDR_wr_State <= wrST_bram_more_Data; -- wrST_bram_1st_Data; pRAM_weA <= (OTHERS=>'0'); --pRAM_weA; pRAM_addrA <= pRAM_addrA; pRAM_dinA <= pRAM_dinA; elsif wpipe_Qout(66)='1' then -- eof if wpipe_QW_Aligned='1' then pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= not ( wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) ); pRAM_addrA <= pRAM_addrA + '1'; pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); elsif wpipe_Qout(70)='1' then -- mask(0) pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= not ( wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_addrA <= pRAM_addrA + '1'; pRAM_dinA <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); else pseudo_DDR_wr_State <= wrST_bram_last_DW; pRAM_weA <= not ( wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_addrA <= pRAM_addrA + '1'; pRAM_dinA <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); end if; else if wpipe_QW_Aligned='1' then pseudo_DDR_wr_State <= wrST_bram_more_Data; pRAM_weA <= not ( wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) ); pRAM_addrA <= pRAM_addrA + '1'; pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); else pseudo_DDR_wr_State <= wrST_bram_more_Data; pRAM_weA <= not ( wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_qout_lo32b(32) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_addrA <= pRAM_addrA + '1'; pRAM_dinA <= wpipe_qout_lo32b(32-1 downto 0) & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); end if; end if; when wrST_bram_last_DW => -- pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= X"F0"; pRAM_addrA <= pRAM_addrA + '1'; pRAM_dinA <= wpipe_qout_lo32b(32-1 downto 0) & X"00000000"; if wpipe_read_valid = '1' then pseudo_DDR_wr_State <= wrST_bram_1st_Data_b2b; -- wrST_bram_Address; wpipe_Qout_latch <= wpipe_Qout; else pseudo_DDR_wr_State <= wrST_bram_IDLE; wpipe_Qout_latch <= wpipe_Qout; end if; when wrST_bram_1st_Data_b2b => pRAM_addrA <= wpipe_Qout_latch(14 downto 3); wpipe_QW_Aligned <= not wpipe_Qout_latch(69); if wpipe_read_valid = '0' then pseudo_DDR_wr_State <= wrST_bram_1st_Data; pRAM_weA <= (OTHERS=>'0'); --pRAM_weA; pRAM_dinA <= pRAM_dinA; pRAM_AddrA_Inc <= wpipe_Qout_latch(2); wpipe_qout_lo32b <= (32=>'1', OTHERS=>'0'); elsif wpipe_Qout(66)='1' then -- eof if wpipe_Qout_latch(69)='0' then -- wpipe_QW_Aligned pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= not ( wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) ); pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); pRAM_AddrA_Inc <= wpipe_Qout_latch(2); wpipe_qout_lo32b <= (32=>'1', OTHERS=>'0'); elsif wpipe_Qout(70)='1' then -- mask(0) pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= not ( X"f" & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_dinA <= X"00000000" & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); pRAM_AddrA_Inc <= wpipe_Qout_latch(2); wpipe_qout_lo32b <= (32=>'1', OTHERS=>'0'); elsif wpipe_Qout(71)='1' then -- mask(1) pseudo_DDR_wr_State <= wrST_bram_IDLE; pRAM_weA <= X"F0"; pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1-32 downto 0) & X"00000000"; pRAM_AddrA_Inc <= wpipe_Qout_latch(2); wpipe_qout_lo32b <= (32=>'1', OTHERS=>'0'); else pseudo_DDR_wr_State <= wrST_bram_last_DW; pRAM_weA <= not ( X"f" & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_dinA <= X"00000000" & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); pRAM_AddrA_Inc <= wpipe_Qout_latch(2); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); end if; else if wpipe_Qout_latch(69)='0' then -- wpipe_QW_Aligned pseudo_DDR_wr_State <= wrST_bram_more_Data; pRAM_weA <= not ( wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) & wpipe_Qout(70) ); pRAM_dinA <= wpipe_Qout(C_DBUS_WIDTH-1 downto 0); pRAM_AddrA_Inc <= wpipe_Qout_latch(2); wpipe_qout_lo32b <= (32=>'1', OTHERS=>'0'); elsif wpipe_Qout_latch(2)='1' then -- pRAM_AddrA_Inc pseudo_DDR_wr_State <= wrST_bram_more_Data; pRAM_weA <= not ( X"f" & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) & wpipe_Qout(71) ); pRAM_dinA <= X"00000000" & wpipe_Qout(C_DBUS_WIDTH-1 downto 32); pRAM_AddrA_Inc <= wpipe_Qout_latch(2); wpipe_qout_lo32b <= '0' & wpipe_Qout(32-1 downto 0); else pseudo_DDR_wr_State <= wrST_bram_1st_Data; pRAM_AddrA_Inc <= '1'; pRAM_weA <= X"00"; pRAM_dinA <= pRAM_dinA; wpipe_qout_lo32b <= wpipe_Qout(70) & wpipe_Qout(32-1 downto 0); end if; end if; when OTHERS => pseudo_DDR_wr_State <= wrST_bram_RESET; pRAM_addrA <= (OTHERS=>'1'); pRAM_weA <= (OTHERS=>'0'); pRAM_dinA <= (OTHERS=>'0'); wpipe_qout_lo32b <= (OTHERS=>'0'); wpipe_QW_Aligned <= '1'; pRAM_AddrA_Inc <= '1'; end case; end if; end process; -- Syn_wPipe_read: process ( trn_clk, DDR_Ready_i) begin if DDR_Ready_i = '0' then wpipe_rEn <= '0'; wpipe_read_valid <= '0'; elsif trn_clk'event and trn_clk = '1' then wpipe_rEn <= '1'; wpipe_read_valid <= wpipe_rEn and not wpipe_Empty; end if; end process; -- Syn_rPipeC_read: process ( trn_clk, DDR_Ready_i) begin if DDR_Ready_i = '0' then rpipec_read_valid <= '0'; rpiped_wr_postpone <= '0'; rpiped_wr_skew <= '0'; elsif trn_clk'event and trn_clk = '1' then rpipec_read_valid <= rpipec_rEn and not rpipec_Empty; if rpipec_read_valid='1' then rpiped_wr_postpone <= rpipec_Qout(2) and not rpipec_Qout(69); rpiped_wr_skew <= rpipec_Qout(69) xor rpipec_Qout(2); else rpiped_wr_postpone <= rpiped_wr_postpone; rpiped_wr_skew <= rpiped_wr_skew; end if; end if; end process; -- ------------------------------------------------ -- Read States synchronous -- Syn_Pseudo_DDR_rd_States: process ( trn_clk, DDR_Ready_i) begin if DDR_Ready_i = '0' then pseudo_DDR_rd_State <= rdST_bram_RESET; rpipec_rEn <= '0'; pRAM_addrB <= (OTHERS=>'1'); rpiped_rd_counter <= (OTHERS=>'0'); rpiped_wEn_b3 <= '0'; rpiped_wr_EOF <= '0'; elsif trn_clk'event and trn_clk = '1' then case pseudo_DDR_rd_State is when rdST_bram_RESET => pseudo_DDR_rd_State <= rdST_bram_IDLE; rpipec_rEn <= '0'; pRAM_addrB <= (OTHERS=>'1'); rpiped_rd_counter <= (OTHERS=>'0'); rpiped_wEn_b3 <= '0'; rpiped_wr_EOF <= '0'; when rdST_bram_IDLE => pRAM_addrB <= pRAM_addrB; rpiped_rd_counter <= (OTHERS=>'0'); rpiped_wEn_b3 <= '0'; rpiped_wr_EOF <= '0'; if rpipec_Empty = '0' then rpipec_rEn <= '1'; pseudo_DDR_rd_State <= rdST_bram_b4_LA; --rdST_bram_b4_Length; else rpipec_rEn <= '0'; pseudo_DDR_rd_State <= rdST_bram_IDLE; end if; when rdST_bram_b4_LA => pRAM_addrB <= pRAM_addrB; rpiped_rd_counter <= (OTHERS=>'0'); rpiped_wEn_b3 <= '0'; rpiped_wr_EOF <= '0'; rpipec_rEn <= '0'; pseudo_DDR_rd_State <= rdST_bram_LA; when rdST_bram_LA => rpipec_rEn <= '0'; pRAM_addrB <= rpipec_Qout(14 downto 3); rpiped_wr_EOF <= '0'; rpiped_wEn_b3 <= '0'; if rpipec_Qout(2+32)='1' then rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32) + '1'; elsif rpipec_Qout(2)='1' and rpipec_Qout(69)='1' then rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32) + "10"; elsif rpipec_Qout(2)='0' and rpipec_Qout(69)='1' then rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32) + "10"; elsif rpipec_Qout(2)='1' and rpipec_Qout(69)='0' then rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32); else rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32); end if; -- elsif rpipec_Qout(2)='1' then -- rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32) + "10"; -- elsif rpipec_Qout(69)='1' then -- rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32) + "10"; -- else -- rpiped_rd_counter <= rpipec_Qout(11+32 downto 2+32); -- end if; pseudo_DDR_rd_State <= rdST_bram_Data; when rdST_bram_Data => rpipec_rEn <= '0'; if rpiped_rd_counter = CONV_STD_LOGIC_VECTOR(2, 10) then pRAM_addrB <= pRAM_addrB + '1'; rpiped_rd_counter <= rpiped_rd_counter; rpiped_wEn_b3 <= '1'; rpiped_wr_EOF <= '1'; pseudo_DDR_rd_State <= rdST_bram_IDLE; elsif rpiped_aFull = '1' then pRAM_addrB <= pRAM_addrB; rpiped_rd_counter <= rpiped_rd_counter; rpiped_wEn_b3 <= '0'; rpiped_wr_EOF <= '0'; pseudo_DDR_rd_State <= rdST_bram_Data; else pRAM_addrB <= pRAM_addrB + '1'; rpiped_rd_counter <= rpiped_rd_counter - "10"; rpiped_wEn_b3 <= '1'; rpiped_wr_EOF <= '0'; pseudo_DDR_rd_State <= rdST_bram_Data; end if; when OTHERS => rpipec_rEn <= '0'; pRAM_addrB <= pRAM_addrB; rpiped_rd_counter <= rpiped_rd_counter; rpiped_wEn_b3 <= '0'; rpiped_wr_EOF <= '0'; pseudo_DDR_rd_State <= rdST_bram_RESET; end case; end if; end process; Syn_Pseudo_DDR_rdd_write: process ( trn_clk, DDR_Ready_i) begin if DDR_Ready_i = '0' then rpiped_wEn_b1 <= '0'; rpiped_wEn_b2 <= '0'; rpiped_wEn <= '0'; rpiped_Din <= (OTHERS=>'0'); elsif trn_clk'event and trn_clk = '1' then rpiped_wEn_b2 <= rpiped_wEn_b3; rpiped_wEn_b1 <= rpiped_wEn_b2; if rpiped_wr_skew='1' then -- rpiped_wEn <= rpiped_wEn_b2; rpiped_wEn <= (rpiped_wEn_b2 and not rpiped_wr_postpone) or (rpiped_wEn_b1 and rpiped_wr_postpone); rpiped_Din <= "0000" & '0' & rpiped_wr_EOF & "00" & pRAM_doutB_shifted; else -- rpiped_wEn <= rpiped_wEn_b2; rpiped_wEn <= (rpiped_wEn_b2 and not rpiped_wr_postpone) or (rpiped_wEn_b1 and rpiped_wr_postpone); rpiped_Din <= "0000" & '0' & rpiped_wr_EOF & "00" & pRAM_doutB; end if; end if; end process; -- DDR_Blinker_Module: DDR_Blink PORT MAP( DDR_Blinker => DDR_Blinker , DDR_Write => wpipe_rEn , DDR_Read => rpiped_wEn , DDR_Both => '0' , ddr_Clock => trn_clk , DDr_Rst_n => DDR_Ready_i -- DDR_CKE_i ); end architecture Behavioral;
------------------------------------------------------------------------------- -- -- Title : ALU_procedure -- Design : de1 -- Author : [email protected] -- Company : homes -- ------------------------------------------------------------------------------- -- -- File : ALU_procedure.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU_procedure is port( cin : in STD_LOGIC; a : in STD_LOGIC_VECTOR(7 downto 0); b : in STD_LOGIC_VECTOR(7 downto 0); sel : in STD_LOGIC_VECTOR(3 downto 0); y : out STD_LOGIC_VECTOR(7 downto 0) ); end ALU_procedure; --}} End of automatically maintained section architecture ALU_procedure of ALU_procedure is procedure hamlogic ( signal x1,x2: in std_logic_vector(7 downto 0); signal sel : in STD_LOGIC_VECTOR(2 downto 0); signal y1: out std_logic_vector(7 downto 0) ) is begin if sel = "000" then y1<= not x1; elsif sel ="001" then y1<= not x2; elsif sel ="010" then y1<= x1 and x2; elsif sel ="011" then y1<= x1 or x2; elsif sel ="100" then y1<= x1 nand x2; elsif sel ="101" then y1<= x1 nor x2; elsif sel ="110" then y1<= x1 xor x2; elsif sel ="111" then y1<= x1 xnor x2; end if ; end hamlogic; procedure hamsohoc ( signal x1,x2: in std_logic_vector(7 downto 0) ; signal sel : in STD_LOGIC_VECTOR(2 downto 0); signal cin: in std_logic; signal y2: out std_logic_vector(7 downto 0) ) is begin if sel ="000" then y2<= a; elsif sel ="001" then y2<=a+1; elsif sel ="010" then y2<=a-1; elsif sel ="011" then y2<=b; elsif sel ="100" then y2<=b+1; elsif sel ="101" then y2<=b-1; elsif sel ="110" then y2<=a+b; elsif sel ="111" then y2<=a+b+cin; end if; end hamsohoc; procedure hammux ( signal y1: in std_logic_vector(7 downto 0) ; signal y2: in std_logic_vector(7 downto 0); signal sel : in STD_LOGIC; signal y: out std_logic_vector(7 downto 0) ) is begin if sel='0'then y<=y1; else y<=y2; end if; end hammux; signal w1,w2: std_logic_vector (7 downto 0); begin process(sel,a,b,cin) begin hamlogic(a,b,sel(2 downto 0),w1); hamsohoc(a,b,sel(2 downto 0),cin,w2); hammux(w1,w2,sel(3),y); end process; end ALU_procedure;
------------------------------------------------------------ -- School: University of Massachusetts Dartmouth -- -- Department: Computer and Electrical Engineering -- -- Class: ECE 368 Digital Design -- -- Engineer: Daniel Noyes -- -- Massarrah Tannous -- ------------------------------------------------------------ -- -- Create Date: Spring 2014 -- Module Name: GenReg_16 -- Project Name: UMD-RISC 24 -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- -- Description: -- Code was modified from Handout Code: Dr.Fortier(c) -- 16 General Purpose Registers -- -- Notes: -- [Insert Notes] -- -- Revision: -- 0.01 - File Created -- 0.02 - Incorporated a memory init [1] -- -- Additional Comments: -- [1]: code adaptive from the following blog -- http://myfpgablog.blogspot.com/2011/12/memory-initialization-methods.html -- this site pointed to XST user guide -- ----------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SREG_4 is generic( REGS_WIDTH: integer:=2; -- select between 4 different possible registers DATA_WIDTH: integer:=24 ); port( CLOCK : in std_logic; SR_WE : in std_logic; --RESETN : in std_logic; --Register OUT SR_SEL : in std_logic_vector(REGS_WIDTH-1 downto 0); SR_OUT : out std_logic_vector(DATA_WIDTH-1 downto 0); --CHANGE REGISTER SR_IN_SEL : in std_logic_vector(REGS_WIDTH-1 downto 0); SR_IN : in std_logic_vector(DATA_WIDTH-1 downto 0) ); end SREG_4; architecture REG_S_ARCH of SREG_4 is type ram_type is array (0 to 2**REGS_WIDTH-1) of std_logic_vector (DATA_WIDTH-1 downto 0); signal ram: ram_type := ( x"000000", -- 0 x"000000", -- 1 x"000000", -- 2 x"000000" -- 3 ); signal ADDR_S_REG: std_logic_vector(REGS_WIDTH-1 downto 0); begin process(CLOCK,SR_WE) begin if (CLOCK'event and CLOCK = '0') then if (SR_WE = '1') then ram(to_integer(unsigned(SR_IN_SEL))) <= SR_IN; end if; ADDR_S_REG <= SR_IN_SEL; end if; end process; SR_OUT <= ram(to_integer(unsigned(ADDR_S_REG))); end REG_S_ARCH;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ============================================================================= -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- Configuration file for a Xilinx S3SK board. -- -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= -- -- package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "S3SK"; -- S3SK - Xilinx Spartan-3 Starter Kit reference design board: XC3S200 constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- constant MY_VERBOSE : boolean := FALSE; -- activate detailed report statements in functions and procedures end package;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1760.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s05b01x00p21n01i01760ent IS port (PT: inout integer; PT2: inout character); END c09s05b01x00p21n01i01760ent; ARCHITECTURE c09s05b01x00p21n01i01760arch OF c09s05b01x00p21n01i01760ent IS signal S1, S2 : boolean; BEGIN PT <= 5 when S1 /= S2 else 6 when S1 > S2 else 7 when S1 + S2 else -- Failure_here --ERROR conditions must be like those of -- an if statement in a process statement 9; PT2 <= 'A' when S1 - S2 else -- Failure_here --ERROR the waveform must be like if statement -- in a process statement. 'B' when S2 = S2 else 'C' ; TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c09s05b01x00p21n01i01760 - The if statement must be such that it is in a process statement." severity ERROR; wait; END PROCESS TESTING; END c09s05b01x00p21n01i01760arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1760.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s05b01x00p21n01i01760ent IS port (PT: inout integer; PT2: inout character); END c09s05b01x00p21n01i01760ent; ARCHITECTURE c09s05b01x00p21n01i01760arch OF c09s05b01x00p21n01i01760ent IS signal S1, S2 : boolean; BEGIN PT <= 5 when S1 /= S2 else 6 when S1 > S2 else 7 when S1 + S2 else -- Failure_here --ERROR conditions must be like those of -- an if statement in a process statement 9; PT2 <= 'A' when S1 - S2 else -- Failure_here --ERROR the waveform must be like if statement -- in a process statement. 'B' when S2 = S2 else 'C' ; TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c09s05b01x00p21n01i01760 - The if statement must be such that it is in a process statement." severity ERROR; wait; END PROCESS TESTING; END c09s05b01x00p21n01i01760arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1760.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s05b01x00p21n01i01760ent IS port (PT: inout integer; PT2: inout character); END c09s05b01x00p21n01i01760ent; ARCHITECTURE c09s05b01x00p21n01i01760arch OF c09s05b01x00p21n01i01760ent IS signal S1, S2 : boolean; BEGIN PT <= 5 when S1 /= S2 else 6 when S1 > S2 else 7 when S1 + S2 else -- Failure_here --ERROR conditions must be like those of -- an if statement in a process statement 9; PT2 <= 'A' when S1 - S2 else -- Failure_here --ERROR the waveform must be like if statement -- in a process statement. 'B' when S2 = S2 else 'C' ; TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c09s05b01x00p21n01i01760 - The if statement must be such that it is in a process statement." severity ERROR; wait; END PROCESS TESTING; END c09s05b01x00p21n01i01760arch;
library ieee; use ieee.std_logic_1164.all; entity arr02 is port (v : std_logic_vector(0 to 7); h : out std_logic_vector(0 to 3); l : out std_logic_vector(3 downto 0)); end arr02; architecture behav of arr02 is begin l <= v (4 to 7); h <= v (0 to 3); end behav;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; ------------------------------------------------------------------------- entity a2sComplement is Generic ( BITS : INTEGER := 4 ); Port ( CI : in STD_LOGIC; A : in STD_LOGIC_VECTOR (BITS-1 downto 0); Z : out STD_LOGIC_VECTOR (BITS-1 downto 0); CO : out STD_LOGIC ); end a2sComplement; ------------------------------------------------------------------------- architecture Behavioral of a2sComplement is signal Sum : UNSIGNED (BITS downto 0) := (others => '0'); signal A_unsig, B_unsig : UNSIGNED (BITS downto 0); signal a1sCompl : STD_LOGIC_VECTOR (BITS-1 downto 0); signal B : STD_LOGIC_VECTOR (BITS-1 downto 0) := (others => '0'); begin a1sCompl <= not(A); B(0) <= '1'; A_unsig <= unsigned('0' & a1sCompl); B_unsig <= unsigned('0' & B); Sum <= A_unsig + B_unsig + ('0' & CI); Z <= std_logic_vector(Sum(BITS-1 downto 0)); CO <= Sum(BITS); end Behavioral;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package sid_debug_pkg is type t_voice_debug is record state : unsigned(1 downto 0); enveloppe : unsigned(7 downto 0); pre15 : unsigned(14 downto 0); pre5 : unsigned(4 downto 0); presc : unsigned(14 downto 0); gate : std_logic; attack : std_logic_vector(3 downto 0); decay : std_logic_vector(3 downto 0); sustain : std_logic_vector(3 downto 0); release : std_logic_vector(3 downto 0); end record; type t_voice_debug_array is array(natural range <>) of t_voice_debug; end;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package sid_debug_pkg is type t_voice_debug is record state : unsigned(1 downto 0); enveloppe : unsigned(7 downto 0); pre15 : unsigned(14 downto 0); pre5 : unsigned(4 downto 0); presc : unsigned(14 downto 0); gate : std_logic; attack : std_logic_vector(3 downto 0); decay : std_logic_vector(3 downto 0); sustain : std_logic_vector(3 downto 0); release : std_logic_vector(3 downto 0); end record; type t_voice_debug_array is array(natural range <>) of t_voice_debug; end;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package sid_debug_pkg is type t_voice_debug is record state : unsigned(1 downto 0); enveloppe : unsigned(7 downto 0); pre15 : unsigned(14 downto 0); pre5 : unsigned(4 downto 0); presc : unsigned(14 downto 0); gate : std_logic; attack : std_logic_vector(3 downto 0); decay : std_logic_vector(3 downto 0); sustain : std_logic_vector(3 downto 0); release : std_logic_vector(3 downto 0); end record; type t_voice_debug_array is array(natural range <>) of t_voice_debug; end;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package sid_debug_pkg is type t_voice_debug is record state : unsigned(1 downto 0); enveloppe : unsigned(7 downto 0); pre15 : unsigned(14 downto 0); pre5 : unsigned(4 downto 0); presc : unsigned(14 downto 0); gate : std_logic; attack : std_logic_vector(3 downto 0); decay : std_logic_vector(3 downto 0); sustain : std_logic_vector(3 downto 0); release : std_logic_vector(3 downto 0); end record; type t_voice_debug_array is array(natural range <>) of t_voice_debug; end;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package sid_debug_pkg is type t_voice_debug is record state : unsigned(1 downto 0); enveloppe : unsigned(7 downto 0); pre15 : unsigned(14 downto 0); pre5 : unsigned(4 downto 0); presc : unsigned(14 downto 0); gate : std_logic; attack : std_logic_vector(3 downto 0); decay : std_logic_vector(3 downto 0); sustain : std_logic_vector(3 downto 0); release : std_logic_vector(3 downto 0); end record; type t_voice_debug_array is array(natural range <>) of t_voice_debug; end;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2010 Gideon's Logic Architectures' -- ------------------------------------------------------------------------------- -- -- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com) -- -- Note that this file is copyrighted, and is not supposed to be used in other -- projects without written permission from the author. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package sid_debug_pkg is type t_voice_debug is record state : unsigned(1 downto 0); enveloppe : unsigned(7 downto 0); pre15 : unsigned(14 downto 0); pre5 : unsigned(4 downto 0); presc : unsigned(14 downto 0); gate : std_logic; attack : std_logic_vector(3 downto 0); decay : std_logic_vector(3 downto 0); sustain : std_logic_vector(3 downto 0); release : std_logic_vector(3 downto 0); end record; type t_voice_debug_array is array(natural range <>) of t_voice_debug; end;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; --================================================================================================= package axilite_bfm_pkg is --=============================================================================================== -- Types and constants for AXILITE BFMs --=============================================================================================== constant C_SCOPE : string := "AXILITE_BFM"; -- EXOKAY not supported for AXI-Lite, will raise TB_FAILURE type t_xresp is ( OKAY, SLVERR, DECERR, EXOKAY ); type t_axprot is( UNPRIVILEGED_NONSECURE_DATA, UNPRIVILEGED_NONSECURE_INSTRUCTION, UNPRIVILEGED_SECURE_DATA, UNPRIVILEGED_SECURE_INSTRUCTION, PRIVILEGED_NONSECURE_DATA, PRIVILEGED_NONSECURE_INSTRUCTION, PRIVILEGED_SECURE_DATA, PRIVILEGED_SECURE_INSTRUCTION ); -- Configuration record to be assigned in the test harness. type t_axilite_bfm_config is record max_wait_cycles : natural; -- Used for setting the maximum cycles to wait before an alert is issued when waiting for ready and valid signals from the DUT. max_wait_cycles_severity : t_alert_level; -- The above timeout will have this severity clock_period : time; -- Period of the clock signal. clock_period_margin : time; -- Input clock period margin to specified clock_period clock_margin_severity : t_alert_level; -- The above margin will have this severity setup_time : time; -- Setup time for generated signals, set to clock_period/4 hold_time : time; -- Hold time for generated signals, set to clock_period/4 bfm_sync : t_bfm_sync; -- Synchronisation of the BFM procedures, i.e. using clock signals, using setup_time and hold_time. match_strictness : t_match_strictness; -- Matching strictness for std_logic values in check procedures. expected_response : t_xresp; -- Sets the expected response for both read and write transactions. expected_response_severity : t_alert_level; -- A response mismatch will have this severity. protection_setting : t_axprot; -- Sets the AXI access permissions (e.g. write to data/instruction, privileged and secure access). num_aw_pipe_stages : natural; -- Write Address Channel pipeline steps. num_w_pipe_stages : natural; -- Write Data Channel pipeline steps. num_ar_pipe_stages : natural; -- Read Address Channel pipeline steps. num_r_pipe_stages : natural; -- Read Data Channel pipeline steps. num_b_pipe_stages : natural; -- Response Channel pipeline steps. id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the AXI-Lite BFM id_for_bfm_wait : t_msg_id; -- The message ID used for logging waits in the AXI-Lite BFM id_for_bfm_poll : t_msg_id; -- The message ID used for logging polling in the AXI-Lite BFM end record; constant C_AXILITE_BFM_CONFIG_DEFAULT : t_axilite_bfm_config := ( max_wait_cycles => 10, max_wait_cycles_severity => TB_FAILURE, clock_period => -1 ns, clock_period_margin => 0 ns, clock_margin_severity => TB_ERROR, setup_time => -1 ns, hold_time => -1 ns, bfm_sync => SYNC_ON_CLOCK_ONLY, match_strictness => MATCH_EXACT, expected_response => OKAY, expected_response_severity => TB_FAILURE, protection_setting => UNPRIVILEGED_NONSECURE_DATA, num_aw_pipe_stages => 1, num_w_pipe_stages => 1, num_ar_pipe_stages => 1, num_r_pipe_stages => 1, num_b_pipe_stages => 1, id_for_bfm => ID_BFM, id_for_bfm_wait => ID_BFM_WAIT, id_for_bfm_poll => ID_BFM_POLL ); -- AXI-Lite Interface signals type t_axilite_write_address_channel is record --DUT inputs awaddr : std_logic_vector; awvalid : std_logic; awprot : std_logic_vector(2 downto 0); -- [0: '0' - unpriviliged access, '1' - priviliged access; 1: '0' - secure access, '1' - non-secure access, 2: '0' - Data access, '1' - Instruction accesss] --DUT outputs awready : std_logic; end record; type t_axilite_write_data_channel is record --DUT inputs wdata : std_logic_vector; wstrb : std_logic_vector; wvalid : std_logic; --DUT outputs wready : std_logic; end record; type t_axilite_write_response_channel is record --DUT inputs bready : std_logic; --DUT outputs bresp : std_logic_vector(1 downto 0); bvalid : std_logic; end record; type t_axilite_read_address_channel is record --DUT inputs araddr : std_logic_vector; arvalid : std_logic; arprot : std_logic_vector(2 downto 0); -- [0: '0' - unpriviliged access, '1' - priviliged access; 1: '0' - secure access, '1' - non-secure access, 2: '0' - Data access, '1' - Instruction accesss] --DUT outputs arready : std_logic; end record; type t_axilite_read_data_channel is record --DUT inputs rready : std_logic; --DUT outputs rdata : std_logic_vector; rresp : std_logic_vector(1 downto 0); rvalid : std_logic; end record; type t_axilite_if is record write_address_channel : t_axilite_write_address_channel; write_data_channel : t_axilite_write_data_channel; write_response_channel : t_axilite_write_response_channel; read_address_channel : t_axilite_read_address_channel; read_data_channel : t_axilite_read_data_channel; end record; --=============================================================================================== -- BFM procedures --=============================================================================================== ------------------------------------------ -- init_axilite_if_signals ------------------------------------------ -- - This function returns an AXILITE interface with initialized signals. -- - All AXILITE input signals are initialized to 0 -- - All AXILITE output signals are initialized to Z -- - awprot and arprot are initialized to UNPRIVILEGED_NONSECURE_DATA function init_axilite_if_signals( addr_width : natural; data_width : natural ) return t_axilite_if; ------------------------------------------ -- axilite_write ------------------------------------------ -- This procedure writes data to the AXILITE interface specified in axilite_if -- - The protection setting is set to UNPRIVILEGED_NONSECURE_DATA in this procedure -- - The byte enable input is set to 1 for all bytes in this procedure -- - When the write is completed, a log message is issued with log ID id_for_bfm procedure axilite_write ( constant addr_value : in unsigned; constant data_value : in std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- axilite_write ------------------------------------------ -- This procedure writes data to the AXILITE interface specified in axilite_if -- - When the write is completed, a log message is issued with log ID id_for_bfm procedure axilite_write ( constant addr_value : in unsigned; constant data_value : in std_logic_vector; constant byte_enable : in std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- axilite_read ------------------------------------------ -- This procedure reads data from the AXILITE interface specified in axilite_if, -- and returns the read data in data_value. procedure axilite_read ( constant addr_value : in unsigned; variable data_value : out std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ); ------------------------------------------ -- axilite_check ------------------------------------------ -- This procedure reads data from the AXILITE interface specified in axilite_if, -- and compares it to the data in data_exp. -- - If the received data inconsistent with data_exp, an alert with severity -- alert_level is issued. -- - If the received data was correct, a log message with ID id_for_bfm is issued. procedure axilite_check ( constant addr_value : in unsigned; constant data_exp : in std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT ); function axprot_to_slv( axprot : t_axprot ) return std_logic_vector; function xresp_to_slv( constant axilite_response_status : in t_xresp; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ) return std_logic_vector; end package axilite_bfm_pkg; --================================================================================================= --================================================================================================= package body axilite_bfm_pkg is ---------------------------------------------------- -- Support procedures ---------------------------------------------------- function axprot_to_slv( axprot : t_axprot ) return std_logic_vector is variable v_axprot_slv : std_logic_vector(2 downto 0); begin case axprot is when UNPRIVILEGED_SECURE_DATA => v_axprot_slv := "000"; when PRIVILEGED_SECURE_DATA => v_axprot_slv := "001"; when UNPRIVILEGED_NONSECURE_DATA => v_axprot_slv := "010"; when PRIVILEGED_NONSECURE_DATA => v_axprot_slv := "011"; when UNPRIVILEGED_SECURE_INSTRUCTION => v_axprot_slv := "100"; when PRIVILEGED_SECURE_INSTRUCTION => v_axprot_slv := "101"; when UNPRIVILEGED_NONSECURE_INSTRUCTION => v_axprot_slv := "110"; when PRIVILEGED_NONSECURE_INSTRUCTION => v_axprot_slv := "111"; end case; return v_axprot_slv; end function axprot_to_slv; function xresp_to_slv( constant axilite_response_status : in t_xresp; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ) return std_logic_vector is variable v_axilite_response_status_slv : std_logic_vector(1 downto 0); begin check_value(axilite_response_status /= EXOKAY, TB_FAILURE, "EXOKAY response status is not supported in AXI-Lite", scope, ID_NEVER, msg_id_panel); case axilite_response_status is when OKAY => v_axilite_response_status_slv := "00"; when SLVERR => v_axilite_response_status_slv := "10"; when DECERR => v_axilite_response_status_slv := "11"; when EXOKAY => v_axilite_response_status_slv := "01"; end case; return v_axilite_response_status_slv; end function; ---------------------------------------------------- -- BFM procedures ---------------------------------------------------- function init_axilite_if_signals( addr_width : natural; data_width : natural ) return t_axilite_if is variable init_if : t_axilite_if( write_address_channel( awaddr( addr_width -1 downto 0)), write_data_channel( wdata( data_width -1 downto 0), wstrb(( data_width/8) -1 downto 0)), read_address_channel( araddr( addr_width -1 downto 0)), read_data_channel( rdata( data_width -1 downto 0))); begin -- Write Address Channel init_if.write_address_channel.awaddr := (init_if.write_address_channel.awaddr'range => '0'); init_if.write_address_channel.awvalid := '0'; init_if.write_address_channel.awprot := axprot_to_slv(UNPRIVILEGED_NONSECURE_DATA); --"010" init_if.write_address_channel.awready := 'Z'; -- Write Data Channel init_if.write_data_channel.wdata := (init_if.write_data_channel.wdata'range => '0'); init_if.write_data_channel.wstrb := (init_if.write_data_channel.wstrb'range => '0'); init_if.write_data_channel.wvalid := '0'; init_if.write_data_channel.wready := 'Z'; -- Write Response Channel init_if.write_response_channel.bready := '0'; init_if.write_response_channel.bresp := (init_if.write_response_channel.bresp'range => 'Z'); init_if.write_response_channel.bvalid := 'Z'; -- Read Address Channel init_if.read_address_channel.araddr := (init_if.read_address_channel.araddr'range => '0'); init_if.read_address_channel.arvalid := '0'; init_if.read_address_channel.arprot := axprot_to_slv(UNPRIVILEGED_NONSECURE_DATA); --"010" init_if.read_address_channel.arready := 'Z'; -- Read Data Channel init_if.read_data_channel.rready := '0'; init_if.read_data_channel.rdata := (init_if.read_data_channel.rdata'range => 'Z'); init_if.read_data_channel.rresp := (init_if.read_data_channel.rresp'range => 'Z'); init_if.read_data_channel.rvalid := 'Z'; return init_if; end function; procedure axilite_write ( constant addr_value : in unsigned; constant data_value : in std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT ) is constant C_BYTE_ENABLE : std_logic_vector(axilite_if.write_data_channel.wstrb'length-1 downto 0) := (others => '1'); begin axilite_write(addr_value, data_value, C_BYTE_ENABLE, msg, clk, axilite_if, scope, msg_id_panel, config); end procedure axilite_write; procedure axilite_write ( constant addr_value : in unsigned; constant data_value : in std_logic_vector; constant byte_enable : in std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT ) is constant proc_call : string := "axilite_write(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data_value, HEX, AS_IS, INCL_RADIX) & ")"; constant max_pipe_stages : integer := maximum(maximum(config.num_w_pipe_stages, config.num_aw_pipe_stages), config.num_b_pipe_stages); variable v_await_awready : boolean := true; variable v_await_wready : boolean := true; variable v_await_bvalid : boolean := true; -- Normalize to the DUT addr/data widths variable v_normalized_addr : std_logic_vector(axilite_if.write_address_channel.awaddr'length-1 downto 0) := normalize_and_check(std_logic_vector(addr_value), axilite_if.write_address_channel.awaddr, ALLOW_NARROWER, "addr", "axilite_if.write_address_channel.awaddr", msg); variable v_normalized_data : std_logic_vector(axilite_if.write_data_channel.wdata'length-1 downto 0) := normalize_and_check(data_value, axilite_if.write_data_channel.wdata, ALLOW_NARROWER, "data", "axilite_if.write_data_channel.wdata", msg); -- Helper variables variable v_time_of_rising_edge : time := -1 ns; -- time stamp for clk period checking variable v_time_of_falling_edge : time := -1 ns; -- time stamp for clk period checking variable v_wready : std_logic; variable v_awready : std_logic; begin check_value(v_normalized_data'length = 32 or v_normalized_data'length = 64, TB_ERROR, "AXI-lite data width must be either 32 or 64!", scope, ID_NEVER, msg_id_panel); if config.bfm_sync = SYNC_WITH_SETUP_AND_HOLD then check_value(config.clock_period > -1 ns, TB_FAILURE, "Sanity check: Check that clock_period is set.", scope, ID_NEVER, msg_id_panel, proc_call); check_value(config.setup_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that setup_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, proc_call); check_value(config.hold_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that hold_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, proc_call); end if; for cycle in 0 to config.max_wait_cycles loop -- Wait according to config.bfm_sync setup wait_on_bfm_sync_start(clk, config.bfm_sync, config.setup_time, config.clock_period, v_time_of_falling_edge, v_time_of_rising_edge); if cycle = config.num_w_pipe_stages then axilite_if.write_data_channel.wdata <= v_normalized_data; axilite_if.write_data_channel.wstrb <= byte_enable; axilite_if.write_data_channel.wvalid <= '1'; end if; if cycle = config.num_aw_pipe_stages then axilite_if.write_address_channel.awaddr <= v_normalized_addr; axilite_if.write_address_channel.awvalid <= '1'; axilite_if.write_address_channel.awprot <= axprot_to_slv(config.protection_setting); end if; wait until rising_edge(clk); if v_time_of_rising_edge = -1 ns then v_time_of_rising_edge := now; end if; check_clock_period_margin(clk, config.bfm_sync, v_time_of_falling_edge, v_time_of_rising_edge, config.clock_period, config.clock_period_margin, config.clock_margin_severity); -- Sample ready signals v_wready := axilite_if.write_data_channel.wready; v_awready := axilite_if.write_address_channel.awready; -- Wait according to config.bfm_sync setup wait_on_bfm_exit(clk, config.bfm_sync, config.hold_time, v_time_of_falling_edge, v_time_of_rising_edge); if v_wready = '1' and cycle >= config.num_w_pipe_stages then axilite_if.write_data_channel.wdata <= (axilite_if.write_data_channel.wdata'range => '0'); axilite_if.write_data_channel.wstrb <= (axilite_if.write_data_channel.wstrb'range => '0'); axilite_if.write_data_channel.wvalid <= '0'; v_await_wready := false; end if; if v_awready = '1' and cycle >= config.num_aw_pipe_stages then axilite_if.write_address_channel.awaddr <= (axilite_if.write_address_channel.awaddr'range => '0'); axilite_if.write_address_channel.awvalid <= '0'; v_await_awready := false; end if; if not v_await_awready and not v_await_wready then exit; end if; end loop; check_value(not v_await_wready, config.max_wait_cycles_severity, ": Timeout waiting for WREADY", scope, ID_NEVER, msg_id_panel, proc_call); check_value(not v_await_awready, config.max_wait_cycles_severity, ": Timeout waiting for AWREADY", scope, ID_NEVER, msg_id_panel, proc_call); for cycle in 0 to config.max_wait_cycles loop -- Wait according to config.bfm_sync setup wait_on_bfm_sync_start(clk, config.bfm_sync, config.setup_time, config.clock_period, v_time_of_falling_edge, v_time_of_rising_edge); -- Brady - Add support for num_b_pipe_stages if cycle = config.num_b_pipe_stages then axilite_if.write_response_channel.bready <= '1'; end if; wait until rising_edge(clk); if v_time_of_rising_edge = -1 ns then v_time_of_rising_edge := now; end if; if axilite_if.write_response_channel.bvalid = '1' and cycle >= config.num_b_pipe_stages then check_value(axilite_if.write_response_channel.bresp, xresp_to_slv(config.expected_response), config.expected_response_severity, ": BRESP detected", scope, BIN, KEEP_LEADING_0, ID_NEVER, msg_id_panel, proc_call); -- Wait according to config.bfm_sync setup wait_on_bfm_exit(clk, config.bfm_sync, config.hold_time, v_time_of_falling_edge, v_time_of_rising_edge); axilite_if.write_response_channel.bready <= '0'; v_await_bvalid := false; end if; if not v_await_bvalid then exit; end if; end loop; check_value(not v_await_bvalid, config.max_wait_cycles_severity, ": Timeout waiting for BVALID", scope, ID_NEVER, msg_id_panel, proc_call); log(config.id_for_bfm, proc_call & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel); end procedure axilite_write; procedure axilite_read ( constant addr_value : in unsigned; variable data_value : out std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ) is constant local_proc_name : string := "axilite_read"; -- Local proc_name; used if called from sequncer or VVC constant local_proc_call : string := local_proc_name & "(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) & ")"; -- Local proc_call; used if called from sequncer or VVC -- Normalize to the DUT addr/data widths variable v_normalized_addr : std_logic_vector(axilite_if.read_address_channel.araddr'length-1 downto 0) := normalize_and_check(std_logic_vector(addr_value), axilite_if.read_address_channel.araddr, ALLOW_NARROWER, "addr", "axilite_if.read_address_channel.araddr", msg); -- Helper variables variable v_proc_call : line; variable v_await_arready : boolean := true; variable v_await_rvalid : boolean := true; variable v_data_value : std_logic_vector(axilite_if.read_data_channel.rdata'length-1 downto 0); variable v_time_of_rising_edge : time := -1 ns; -- time stamp for clk period checking variable v_time_of_falling_edge : time := -1 ns; -- time stamp for clk period checking begin if config.bfm_sync = SYNC_WITH_SETUP_AND_HOLD then check_value(config.clock_period > -1 ns, TB_FAILURE, "Sanity check: Check that clock_period is set.", scope, ID_NEVER, msg_id_panel, local_proc_call); check_value(config.setup_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that setup_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, local_proc_call); check_value(config.hold_time < config.clock_period/2, TB_FAILURE, "Sanity check: Check that hold_time do not exceed clock_period/2.", scope, ID_NEVER, msg_id_panel, local_proc_call); end if; if ext_proc_call = "" then -- Called directly from sequencer/VVC, log 'axilite_read...' write(v_proc_call, local_proc_call); else -- Called from another BFM procedure, log 'ext_proc_call while executing axilite_read...' write(v_proc_call, ext_proc_call & " while executing " & local_proc_name); end if; check_value(v_data_value'length = 32 or v_data_value'length = 64, TB_ERROR, "AXI-lite data width must be either 32 or 64!" & add_msg_delimiter(msg), scope, ID_NEVER, msg_id_panel); for cycle in 0 to config.max_wait_cycles loop -- Wait according to config.bfm_sync setup wait_on_bfm_sync_start(clk, config.bfm_sync, config.setup_time, config.clock_period, v_time_of_falling_edge, v_time_of_rising_edge); -- Brady - Add support for num_ar_pipe_stages if cycle = config.num_ar_pipe_stages then axilite_if.read_address_channel.araddr <= v_normalized_addr; axilite_if.read_address_channel.arprot <= axprot_to_slv(config.protection_setting); axilite_if.read_address_channel.arvalid <= '1'; end if; wait until rising_edge(clk); if v_time_of_rising_edge = -1 ns then v_time_of_rising_edge := now; end if; check_clock_period_margin(clk, config.bfm_sync, v_time_of_falling_edge, v_time_of_rising_edge, config.clock_period, config.clock_period_margin, config.clock_margin_severity); if axilite_if.read_address_channel.arready = '1' and cycle >= config.num_ar_pipe_stages then -- Wait according to config.bfm_sync setup wait_on_bfm_exit(clk, config.bfm_sync, config.hold_time, v_time_of_falling_edge, v_time_of_rising_edge); axilite_if.read_address_channel.araddr <= (axilite_if.read_address_channel.araddr'range => '0'); axilite_if.read_address_channel.arprot <= (others=>'0'); axilite_if.read_address_channel.arvalid <= '0'; v_await_arready := false; end if; if not v_await_arready then exit; end if; end loop; check_value(not v_await_arready, config.max_wait_cycles_severity, ": Timeout waiting for ARREADY", scope, ID_NEVER, msg_id_panel, v_proc_call.all); for cycle in 0 to config.max_wait_cycles loop -- Wait according to config.bfm_sync setup wait_on_bfm_sync_start(clk, config.bfm_sync, config.setup_time, config.clock_period, v_time_of_falling_edge, v_time_of_rising_edge); -- Brady - Add support for num_r_pipe_stages if cycle = config.num_r_pipe_stages then axilite_if.read_data_channel.rready <= '1'; end if; wait until rising_edge(clk); if v_time_of_rising_edge = -1 ns then v_time_of_rising_edge := now; end if; if axilite_if.read_data_channel.rvalid = '1' and cycle >= config.num_r_pipe_stages then v_await_rvalid := false; check_value(axilite_if.read_data_channel.rresp, xresp_to_slv(config.expected_response), config.expected_response_severity, ": RRESP detected", scope, BIN, KEEP_LEADING_0, ID_NEVER, msg_id_panel, v_proc_call.all); v_data_value := axilite_if.read_data_channel.rdata; -- Wait according to config.bfm_sync setup wait_on_bfm_exit(clk, config.bfm_sync, config.hold_time, v_time_of_falling_edge, v_time_of_rising_edge); axilite_if.read_data_channel.rready <= '0'; end if; if not v_await_rvalid then exit; end if; end loop; check_value(not v_await_rvalid, config.max_wait_cycles_severity, ": Timeout waiting for RVALID", scope, ID_NEVER, msg_id_panel, v_proc_call.all); data_value := v_data_value; if ext_proc_call = "" then log(config.id_for_bfm, v_proc_call.all & "=> " & to_string(v_data_value, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else -- Log will be handled by calling procedure (e.g. axilite_check) end if; DEALLOCATE(v_proc_call); end procedure axilite_read; procedure axilite_check ( constant addr_value : in unsigned; constant data_exp : in std_logic_vector; constant msg : in string; signal clk : in std_logic; signal axilite_if : inout t_axilite_if; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_axilite_bfm_config := C_AXILITE_BFM_CONFIG_DEFAULT ) is constant proc_call : string := "axilite_check(A:" & to_string(addr_value, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; variable v_data_value : std_logic_vector(axilite_if.write_data_channel.wdata'length-1 downto 0) := (others => '0'); variable v_check_ok : boolean := true; variable v_alert_radix : t_radix; -- Normalize to the DUT addr/data widths variable v_normalized_data : std_logic_vector(axilite_if.write_data_channel.wdata'length-1 downto 0) := normalize_and_check(data_exp, axilite_if.write_data_channel.wdata, ALLOW_NARROWER, "data", "axilite_if.write_data_channel.wdata", msg); begin axilite_read(addr_value, v_data_value, msg, clk, axilite_if, scope, msg_id_panel, config, proc_call); for i in v_normalized_data'range loop -- Allow don't care in expected value and use match strictness from config for comparison if v_normalized_data(i) = '-' or check_value(v_data_value(i), v_normalized_data(i), config.match_strictness, NO_ALERT, msg, scope, ID_NEVER) then v_check_ok := true; else v_check_ok := false; exit; end if; end loop; if not v_check_ok then -- Use binary representation when mismatch is due to weak signals v_alert_radix := BIN when config.match_strictness = MATCH_EXACT and check_value(v_data_value, v_normalized_data, MATCH_STD, NO_ALERT, msg, scope, HEX_BIN_IF_INVALID, KEEP_LEADING_0, ID_NEVER) else HEX; alert(alert_level, proc_call & "=> Failed. Was " & to_string(v_data_value, v_alert_radix, AS_IS, INCL_RADIX) & ". Expected " & to_string(v_normalized_data, v_alert_radix, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope); else log(config.id_for_bfm, proc_call & "=> OK, received data = " & to_string(v_normalized_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure axilite_check; end package body axilite_bfm_pkg;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:21:46 01/03/2014 -- Design Name: -- Module Name: C:/Users/Ruy/Desktop/LCSE_lab/ram/tb_ram.vhd -- Project Name: ram -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ram -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE IEEE.std_logic_1164.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_unsigned.all; USE work.PIC_pkg.all; ENTITY tb_ram IS END tb_ram; ARCHITECTURE behavior OF tb_ram IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ram PORT( Clk : IN std_logic; Reset : IN std_logic; WriteEnable : IN std_logic; OutputEnable : IN std_logic; ChipSelect : IN std_logic; Address : IN std_logic_vector(7 downto 0); Databus : INOUT std_logic_vector(7 downto 0) := (others => 'Z'); Switches : OUT std_logic_vector(7 downto 0); Temp_L : OUT std_logic_vector(6 downto 0); Temp_h : OUT std_logic_vector(6 downto 0) ); END COMPONENT; --Inputs signal Clk : std_logic := '0'; signal Reset : std_logic := '0'; signal WriteEnable : std_logic := '0'; signal OutputEnable : std_logic := '0'; signal ChipSelect : std_logic := '0'; signal Address : std_logic_vector(7 downto 0) := (others => '0'); --BiDirs signal Databus : std_logic_vector(7 downto 0) := (others => 'Z'); --Outputs signal Switches : std_logic_vector(7 downto 0); signal Temp_L : std_logic_vector(6 downto 0); signal Temp_h : std_logic_vector(6 downto 0); -- Clock period definitions constant Clk_period : time := 25 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ram PORT MAP ( Clk => Clk, Reset => Reset, WriteEnable => WriteEnable, OutputEnable => OutputEnable, ChipSelect => ChipSelect, Address => Address, Databus => Databus, Switches => Switches, Temp_L => Temp_L, Temp_h => Temp_h ); Clk <= not Clk after Clk_period; -- Stimulus process process begin wait for 100 ns; Reset <= '1'; wait; end process; process variable aux_address : integer range 0 to 255; begin wait for 150 ns; ChipSelect <= '1'; WriteEnable <= '1'; OutputEnable <= '0'; Databus <= X"55"; for aux_address in 0 to 255 loop Address <= conv_std_logic_vector(aux_address, 8); wait for 50 ns; end loop; WriteEnable <= '0'; OutputEnable <= '1'; Databus <= (others => 'Z'); for aux_address in 0 to 255 loop Address <= conv_std_logic_vector(aux_address, 8); wait for 50 ns; end loop; wait; end process; END;
-------------------------------------------------------------------------------- -- Title : VME Arbiter -- Project : 16z002-01 -------------------------------------------------------------------------------- -- File : vme_arbiter.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 10/02/03 -------------------------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : Quartus 15.1 -------------------------------------------------------------------------------- -- Description : -- This Unit contains the Arbiter and the Bus Arbitration Timer. -- These Functions are -- only enabled if the Bridge resides on a module in Slot 1 of a VMEbus system. -- If this is the case, then after reset, the input pin 'bg3n_in' sets the -- SYSCON bit in the SYSCTL-Register. If this bit is set wrong (external -- Slot01-detection failed), it can be set/reset through the PowerPC-bus. -- -- The WBB2VME core supports bus arbitration for all levels. If the location is -- detected in slot 1, the arbitration logic is enabled and handles all requests -- on signals vme_br[3..0] in a round-robin manner. -- If more than one master requests the bus on the same level, the daisy-chain -- architecture arbitrates the accesses. This results in: if a master is located -- near to slot 1, it has the higher priority than the one which is located far -- away from slot 1. -- If more than one master request the bus on different levels, the arbitration -- scheme is round-robin, which results in an equal bus occupation of the -- masters. -- The bus occupation is also depending on the requesters behavior and need to be -- considered for system arbitration concepts. There are two options: -- release-on-request and release-when-done. -------------------------------------------------------------------------------- -- Hierarchy: -- wbb2vme -- vme_ctrl -- vme_arbiter -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- History: -------------------------------------------------------------------------------- -- $Revision: 1.3 $ -- -- $Log: vme_arbiter.vhd,v $ -- Revision 1.3 2012/11/12 08:13:15 MMiehling -- changed comments -- -- Revision 1.2 2012/08/27 12:57:27 MMiehling -- changed comments -- -- Revision 1.1 2012/03/29 10:14:53 MMiehling -- Initial Revision -- -- Revision 1.6 2006/05/18 14:28:59 MMiehling -- changed fsm to moore-type -- arbitration failures when pci2vme is in slot1 => bugfix in deglitcher -- -- Revision 1.5 2004/11/02 11:29:48 mmiehling -- removed cnt from severity list -- -- Revision 1.4 2003/12/01 10:03:46 MMiehling -- changed arbitres -- -- Revision 1.3 2003/06/13 10:06:29 MMiehling -- deglitched bbsyn -- -- Revision 1.2 2003/04/22 11:02:54 MMiehling -- improved fsm -- -- Revision 1.1 2003/04/01 13:04:39 MMiehling -- Initial Revision -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY vme_arbiter IS PORT ( clk : IN std_logic; rst : IN std_logic; bgintn : OUT std_logic_vector(3 DOWNTO 0); -- bus grant for all levels -- vme_du set_ato : OUT std_logic; -- if bit is set => ato bit will be set sysc_bit : IN std_logic; -- '1' if board is in slot 1 => enables this vme arbiter bgouten : IN std_logic; -- enables SGL and bg3out signal -- PINs: br_i_n : IN std_logic_vector(3 DOWNTO 0); -- bus requests monitored (FAIR) bg_i_n : IN std_logic_vector(3 DOWNTO 0); -- passed in idle state bbsyn_in : IN std_logic ); -- END vme_arbiter; ARCHITECTURE vme_arbiter_arc OF vme_arbiter IS -- TYPE arbit_states IS (idle, grant); -- SGL states TYPE arbit_states IS (grant_0, grant_0_idle, grant_1, grant_1_idle, grant_2, grant_2_idle, grant_3, grant_3_idle); -- Round-Robin states SIGNAL arbit_state : arbit_states; SIGNAL br_i_n_q : std_logic_vector(3 DOWNTO 0); SIGNAL bbsyn_degl : std_logic; SIGNAL bg_i_n_q : std_logic_vector(3 DOWNTO 0); SIGNAL atoresn : std_logic; SIGNAL arbitres : std_logic; SIGNAL ibgoutn : std_logic_vector(3 DOWNTO 0); SIGNAL bbsyn_q : std_logic; SIGNAL bbsyn_qq : std_logic; SIGNAL bbsyn_qqq : std_logic; SIGNAL cnt : std_logic_vector(10 DOWNTO 0); BEGIN ------------------------------------------------------------------------------- -- Synchronizing asynchronous VMEbus inputs: ------------------------------------------------------------------------------- syncinp : PROCESS (clk, rst) BEGIN IF rst = '1' THEN br_i_n_q <= (OTHERS => '1'); bg_i_n_q <= (OTHERS => '1'); bbsyn_degl <= '1'; bbsyn_q <= '1'; bbsyn_qq <= '1'; bbsyn_qqq <= '1'; ELSIF clk'event AND clk = '1' THEN br_i_n_q <= br_i_n; bg_i_n_q <= bg_i_n; bbsyn_q <= bbsyn_in; bbsyn_qq <= bbsyn_q; bbsyn_qqq <= bbsyn_qq; -- deglitching of bus busy signal IF bbsyn_q = '0' AND bbsyn_qq = '0' AND bbsyn_qqq = '0' THEN bbsyn_degl <= '0'; ELSIF bbsyn_q = '1' AND bbsyn_qq = '1' AND bbsyn_qqq = '1' THEN bbsyn_degl <= '1'; ELSE bbsyn_degl <= bbsyn_degl; END IF; END IF; END PROCESS syncinp; ------------------------------------------------------------------------------- -- Arbiter drives bus grant daisy chain if in slot 1 ------------------------------------------------------------------------------- -- depending on activation of internal Arbiter, the bus grant signal comes -- either from VMEbus or internal Arbiter. Selection with System Controller bit -- the slot1 detection takes longer than this, therefore the enable signal bgouten -- controls the startup until slot1-detection is done bgintn <= ibgoutn WHEN sysc_bit = '1' AND bgouten = '1' ELSE -- if in slot1 => insert single level arbiter bg_i_n_q WHEN sysc_bit = '0' AND bgouten = '1' ELSE -- if not slot1 => feed through bus grant "1111"; -- during powerup => drive with '1' for slot1 detection logic --------------------------------------------------------------------------------- ---- The Single Level Arbiter (SGL). sysc_bit must be set for it to work. --------------------------------------------------------------------------------- --arbit_fsm : PROCESS (clk, rst) -- BEGIN -- IF rst = '1' THEN -- arbit_state <= idle; -- ibgoutn <= "1111"; -- ELSIF clk'EVENT AND clk = '1' THEN -- CASE arbit_state IS -- WHEN idle => -- IF br_i_n_q(3) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN -- arbit_state <= grant; -- ibgoutn <= "0111"; -- grant on level 3 -- ELSE -- arbit_state <= idle; -- ibgoutn <= "1111"; -- END IF; -- -- WHEN grant => -- IF bbsyn_degl = '0' THEN -- arbit_state <= idle; -- ibgoutn <= "1111"; -- ELSE -- arbit_state <= grant; -- ibgoutn <= "0111"; -- grant on level 3 -- END IF; -- -- WHEN OTHERS => -- arbit_state <= idle; -- ibgoutn <= "1111"; -- END CASE; -- END IF; -- END PROCESS arbit_fsm; ------------------------------------------------------------------------------- -- Round-Robin Arbiter: sysc_bit must be set for it to work. ------------------------------------------------------------------------------- arbit_fsm : PROCESS (clk, rst) BEGIN IF rst = '1' THEN arbit_state <= grant_0_idle; ibgoutn <= "1111"; ELSIF clk'EVENT AND clk = '1' THEN CASE arbit_state IS WHEN grant_0 => IF bbsyn_degl = '0' THEN -- master has occupied bus => remove grant arbit_state <= grant_0_idle; ibgoutn <= "1111"; ELSIF br_i_n_q(0) /= '0' OR arbitres = '1' THEN -- request was removed before access started or arbitration timeout occured arbit_state <= grant_0_idle; ibgoutn <= "1111"; ELSE arbit_state <= grant_0; ibgoutn <= "1110"; -- grant on level 0 END IF; WHEN grant_0_idle => IF br_i_n_q(1) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_1; ibgoutn <= "1101"; -- grant on level 1 ELSIF br_i_n_q(2) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_2; ibgoutn <= "1011"; -- grant on level 2 ELSIF br_i_n_q(3) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_3; ibgoutn <= "0111"; -- grant on level 3 ELSIF br_i_n_q(0) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_0; ibgoutn <= "1110"; -- grant on level 0 ELSE arbit_state <= grant_0_idle; ibgoutn <= "1111"; END IF; WHEN grant_1 => IF bbsyn_degl = '0' THEN arbit_state <= grant_1_idle; ibgoutn <= "1111"; ELSIF br_i_n_q(1) /= '0' OR arbitres = '1' THEN -- request was removed before access started or arbitration timeout occured arbit_state <= grant_0_idle; ibgoutn <= "1111"; ELSE arbit_state <= grant_1; ibgoutn <= "1101"; -- grant on level 1 END IF; WHEN grant_1_idle => IF br_i_n_q(2) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_2; ibgoutn <= "1011"; -- grant on level 2 ELSIF br_i_n_q(3) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_3; ibgoutn <= "0111"; -- grant on level 3 ELSIF br_i_n_q(0) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_0; ibgoutn <= "1110"; -- grant on level 0 ELSIF br_i_n_q(1) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_1; ibgoutn <= "1101"; -- grant on level 1 ELSE arbit_state <= grant_1_idle; ibgoutn <= "1111"; END IF; WHEN grant_2 => IF bbsyn_degl = '0' THEN arbit_state <= grant_2_idle; ibgoutn <= "1111"; ELSIF br_i_n_q(2) /= '0' OR arbitres = '1' THEN -- request was removed before access started or arbitration timeout occured arbit_state <= grant_0_idle; ibgoutn <= "1111"; ELSE arbit_state <= grant_2; ibgoutn <= "1011"; -- grant on level 2 END IF; WHEN grant_2_idle => IF br_i_n_q(3) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_3; ibgoutn <= "0111"; -- grant on level 3 ELSIF br_i_n_q(0) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_0; ibgoutn <= "1110"; -- grant on level 0 ELSIF br_i_n_q(1) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_1; ibgoutn <= "1101"; -- grant on level 1 ELSIF br_i_n_q(2) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_2; ibgoutn <= "1011"; -- grant on level 2 ELSE arbit_state <= grant_2_idle; ibgoutn <= "1111"; END IF; WHEN grant_3 => IF bbsyn_degl = '0' THEN arbit_state <= grant_3_idle; ibgoutn <= "1111"; ELSIF br_i_n_q(3) /= '0' OR arbitres = '1' THEN -- request was removed before access started or arbitration timeout occured arbit_state <= grant_0_idle; ibgoutn <= "1111"; ELSE arbit_state <= grant_3; ibgoutn <= "0111"; -- grant on level 3 END IF; WHEN grant_3_idle => IF br_i_n_q(0) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_0; ibgoutn <= "1110"; -- grant on level 0 ELSIF br_i_n_q(1) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_1; ibgoutn <= "1101"; -- grant on level 1 ELSIF br_i_n_q(2) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_2; ibgoutn <= "1011"; -- grant on level 2 ELSIF br_i_n_q(3) = '0' AND bbsyn_degl = '1' AND sysc_bit = '1' THEN arbit_state <= grant_3; ibgoutn <= "0111"; -- grant on level 3 ELSE arbit_state <= grant_3_idle; ibgoutn <= "1111"; END IF; WHEN OTHERS => arbit_state <= grant_0_idle; ibgoutn <= "1111"; END CASE; END IF; END PROCESS arbit_fsm; ------------------------------------------------------------------------------- -- The Counters (Timers) ------------------------------------------------------------------------------- -- Arbiter TimeOut. Works only when sysc_bit is set. atoresn <= '0' WHEN (rst = '1' OR bbsyn_degl = '0' OR sysc_bit = '0') ELSE '1'; arbit_to : PROCESS (clk, rst, cnt) BEGIN IF rst = '1' THEN cnt <= (OTHERS => '0'); ELSIF clk'event AND clk = '1' THEN IF atoresn = '0' THEN cnt <= (OTHERS => '0'); ELSIF ibgoutn /= "1111" THEN -- each time bus is granted, counting cnt <= cnt + '1'; END IF; END IF; arbitres <= cnt(10); END PROCESS arbit_to; set_ato <= arbitres; END vme_arbiter_arc;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of ent_ab -- -- Generated -- by: wig -- on: Tue Jun 27 05:23:07 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -sheet HIER=HIER_MIXED ../../verilog.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ent_ab-rtl-a.vhd,v 1.5 2006/07/04 09:54:10 wig Exp $ -- $Date: 2006/07/04 09:54:10 $ -- $Log: ent_ab-rtl-a.vhd,v $ -- Revision 1.5 2006/07/04 09:54:10 wig -- Update more testcases, add configuration/cfgfile -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp -- -- Generator: mix_0.pl Revision: 1.46 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of ent_ab -- architecture rtl of ent_ab is -- -- Generated Constant Declarations -- -- -- Generated Components -- -- -- Generated Signal List -- -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- -- Generated Signal Assignments -- -- -- Generated Instances and Port Mappings -- end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ram4 is generic ( WIDTHB : integer := 32; SIZEB : integer := 64; ADDRWIDTHB : integer := 6 ); port ( clkB : in std_logic; enB : in std_logic; weB : in std_logic; addrB : in std_logic_vector(ADDRWIDTHB-1 downto 0); diB : in std_logic_vector(WIDTHB-1 downto 0); doB : out std_logic_vector(WIDTHB-1 downto 0) ); end ram4; architecture behavioral of ram4 is constant WIDTH : natural := WIDTHB / 4; constant SIZE : natural := SIZEB * 4; type ramType is array (0 to SIZE-1) of std_logic_vector(WIDTH-1 downto 0); shared variable ram : ramType := (others => (others => '0')); begin process (clkB) begin if rising_edge(clkB) then if enB = '1' then if weB = '1' then ram(to_integer(unsigned(addrB)&"00")) := diB(WIDTH-1 downto 0); ram(to_integer(unsigned(addrB)&"01")) := diB(2*WIDTH-1 downto WIDTH); ram(to_integer(unsigned(addrB)&"10")) := diB(3*WIDTH-1 downto 2*WIDTH); ram(to_integer(unsigned(addrB)&"11")) := diB(4*WIDTH-1 downto 3*WIDTH); end if; doB(WIDTH-1 downto 0) <= ram(to_integer(unsigned(addrB)&"00")); doB(2*WIDTH-1 downto WIDTH) <= ram(to_integer(unsigned(addrB)&"01")); doB(3*WIDTH-1 downto 2*WIDTH) <= ram(to_integer(unsigned(addrB)&"10")); doB(4*WIDTH-1 downto 3*WIDTH) <= ram(to_integer(unsigned(addrB)&"11")); end if; end if; end process; end behavioral;
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity Add_Frame is port ( Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_col_counter : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_frame_in : out std_logic_vector(1-1 downto 0); Add_Frame_Add_Frame_Module_row_counter : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_state : out std_logic_vector(3-1 downto 0); Avalon_MM_Slave_address : in std_logic_vector(3-1 downto 0); Avalon_MM_Slave_write : in std_logic; Avalon_MM_Slave_writedata : in std_logic_vector(32-1 downto 0); Avalon_ST_Sink_data : in std_logic_vector(24-1 downto 0); Avalon_ST_Sink_endofpacket : in std_logic; Avalon_ST_Sink_ready : out std_logic; Avalon_ST_Sink_startofpacket : in std_logic; Avalon_ST_Sink_valid : in std_logic; Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic; aclr : in std_logic ); end entity Add_Frame; architecture rtl of Add_Frame is component Add_Frame_GN is port ( Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_col_counter : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_frame_in : out std_logic_vector(1-1 downto 0); Add_Frame_Add_Frame_Module_row_counter : out std_logic_vector(16-1 downto 0); Add_Frame_Add_Frame_Module_state : out std_logic_vector(3-1 downto 0); Avalon_MM_Slave_address : in std_logic_vector(3-1 downto 0); Avalon_MM_Slave_write : in std_logic; Avalon_MM_Slave_writedata : in std_logic_vector(32-1 downto 0); Avalon_ST_Sink_data : in std_logic_vector(24-1 downto 0); Avalon_ST_Sink_endofpacket : in std_logic; Avalon_ST_Sink_ready : out std_logic; Avalon_ST_Sink_startofpacket : in std_logic; Avalon_ST_Sink_valid : in std_logic; Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic; aclr : in std_logic ); end component Add_Frame_GN; begin Add_Frame_GN_0: if true generate inst_Add_Frame_GN_0: Add_Frame_GN port map(Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col => Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_col, Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row => Add_Frame_Add_Frame_Module_CTRL_DECODER_decoder_row, Add_Frame_Add_Frame_Module_col_counter => Add_Frame_Add_Frame_Module_col_counter, Add_Frame_Add_Frame_Module_frame_in => Add_Frame_Add_Frame_Module_frame_in, Add_Frame_Add_Frame_Module_row_counter => Add_Frame_Add_Frame_Module_row_counter, Add_Frame_Add_Frame_Module_state => Add_Frame_Add_Frame_Module_state, Avalon_MM_Slave_address => Avalon_MM_Slave_address, Avalon_MM_Slave_write => Avalon_MM_Slave_write, Avalon_MM_Slave_writedata => Avalon_MM_Slave_writedata, Avalon_ST_Sink_data => Avalon_ST_Sink_data, Avalon_ST_Sink_endofpacket => Avalon_ST_Sink_endofpacket, Avalon_ST_Sink_ready => Avalon_ST_Sink_ready, Avalon_ST_Sink_startofpacket => Avalon_ST_Sink_startofpacket, Avalon_ST_Sink_valid => Avalon_ST_Sink_valid, Avalon_ST_Source_data => Avalon_ST_Source_data, Avalon_ST_Source_endofpacket => Avalon_ST_Source_endofpacket, Avalon_ST_Source_ready => Avalon_ST_Source_ready, Avalon_ST_Source_startofpacket => Avalon_ST_Source_startofpacket, Avalon_ST_Source_valid => Avalon_ST_Source_valid, Clock => Clock, aclr => aclr); end generate; end architecture rtl;
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.stdlib.all; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.can.all; use gaisler.net.all; use gaisler.jtag.all; use gaisler.spacewire.all; use gaisler.grusb.all; library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_ulogic; clk : in std_ulogic; -- 50 MHz main clock clk3 : in std_ulogic; -- 25 MHz ethernet clock pllref : in std_ulogic; errorn : out std_ulogic; wdogn : out std_ulogic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); ramsn : out std_logic_vector (4 downto 0); ramoen : out std_logic_vector (4 downto 0); rwen : out std_logic_vector (3 downto 0); oen : out std_ulogic; writen : out std_ulogic; read : out std_ulogic; iosn : out std_ulogic; bexcn : in std_ulogic; -- DSU rx data brdyn : in std_ulogic; -- DSU rx data romsn : out std_logic_vector (1 downto 0); sdclk : out std_ulogic; sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select sdwen : out std_ulogic; -- sdram write enable sdrasn : out std_ulogic; -- sdram ras sdcasn : out std_ulogic; -- sdram cas sddqm : out std_logic_vector (3 downto 0); -- sdram dqm dsuen : in std_ulogic; dsubre : in std_ulogic; dsuact : out std_ulogic; txd1 : out std_ulogic; -- UART1 tx data rxd1 : in std_ulogic; -- UART1 rx data ctsn1 : in std_ulogic; -- UART1 rx data rtsn1 : out std_ulogic; -- UART1 rx data txd2 : out std_ulogic; -- UART2 tx data rxd2 : in std_ulogic; -- UART2 rx data ctsn2 : in std_ulogic; -- UART1 rx data rtsn2 : out std_ulogic; -- UART1 rx data pio : inout std_logic_vector(17 downto 0); -- I/O port emdio : inout std_logic; -- ethernet PHY interface etx_clk : in std_ulogic; erx_clk : in std_ulogic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_ulogic; erx_er : in std_ulogic; erx_col : in std_ulogic; erx_crs : in std_ulogic; emdint : in std_ulogic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_ulogic; etx_er : out std_ulogic; emdc : out std_ulogic; ps2clk : inout std_logic_vector(1 downto 0); ps2data : inout std_logic_vector(1 downto 0); vid_clock : out std_ulogic; vid_blankn : out std_ulogic; vid_syncn : out std_ulogic; vid_hsync : out std_ulogic; vid_vsync : out std_ulogic; vid_r : out std_logic_vector(7 downto 0); vid_g : out std_logic_vector(7 downto 0); vid_b : out std_logic_vector(7 downto 0); spw_clk : in std_ulogic; spw_rxdp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxdn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdn : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsn : out std_logic_vector(0 to CFG_SPW_NUM-1); usb_clkout : in std_ulogic; usb_d : inout std_logic_vector(15 downto 0); usb_linestate : in std_logic_vector(1 downto 0); usb_opmode : out std_logic_vector(1 downto 0); usb_reset : out std_ulogic; usb_rxactive : in std_ulogic; usb_rxerror : in std_ulogic; usb_rxvalid : in std_ulogic; usb_suspend : out std_ulogic; usb_termsel : out std_ulogic; usb_txready : in std_ulogic; usb_txvalid : out std_ulogic; usb_validh : inout std_ulogic; usb_xcvrsel : out std_ulogic; usb_vbus : in std_ulogic ); end; architecture rtl of leon3mp is attribute syn_netlist_hierarchy : boolean; attribute syn_netlist_hierarchy of rtl : architecture is false; constant blength : integer := 12; constant fifodepth : integer := 8; constant maxahbm : integer := CFG_NCPU+CFG_AHB_UART+CFG_GRETH+ CFG_AHB_JTAG+CFG_SPW_NUM*CFG_SPW_EN+CFG_GRUSB_DCL+CFG_SVGA_ENABLE+ CFG_GRUSBDC; signal vcc, gnd : std_logic_vector(4 downto 0); signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdram_out_type; signal sdo2 : sdctrl_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal clkm, rstn, rstraw, sdclkl : std_ulogic; signal cgi, cgi2 : clkgen_in_type; signal cgo, cgo2 : clkgen_out_type; signal u1i, u2i, dui : uart_in_type; signal u1o, u2o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal ethi, ethi1, ethi2 : eth_in_type; signal etho, etho1, etho2 : eth_out_type; signal gpti : gptimer_in_type; signal gpto : gptimer_out_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal can_lrx, can_ltx : std_logic_vector(0 to 7); signal lclk, rst, ndsuact, wdogl : std_ulogic; signal tck, tckn, tms, tdi, tdo : std_ulogic; signal ethclk : std_ulogic; signal kbdi : ps2_in_type; signal kbdo : ps2_out_type; signal moui : ps2_in_type; signal mouo : ps2_out_type; signal vgao : apbvga_out_type; constant BOARD_FREQ : integer := 50000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz constant IOAEN : integer := CFG_CAN + CFG_GRUSBDC; signal spwi : grspw_in_type_vector(0 to CFG_SPW_NUM-1); signal spwo : grspw_out_type_vector(0 to CFG_SPW_NUM-1); signal spw_rxclk : std_logic_vector(0 to CFG_SPW_NUM*CFG_SPW_PORTS); signal dtmp : std_logic_vector(0 to CFG_SPW_NUM*CFG_SPW_PORTS-1); signal stmp : std_logic_vector(0 to CFG_SPW_NUM*CFG_SPW_PORTS-1); signal spw_rxtxclk : std_ulogic; signal spw_rxclkn : std_ulogic; signal spw_clkl : std_ulogic; signal spw_clkln : std_ulogic; signal stati : ahbstat_in_type; signal uclk : std_ulogic; signal usbi : grusb_in_type; signal usbo : grusb_out_type; constant SPW_LOOP_BACK : integer := 0; signal dac_clk, video_clk, clk50 : std_logic; -- signals to vga_clkgen. signal clk_sel : std_logic_vector(1 downto 0); attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute syn_keep of clk50 : signal is true; attribute syn_preserve of clk50 : signal is true; attribute keep of clk50 : signal is true; attribute syn_keep of video_clk : signal is true; attribute syn_preserve of video_clk : signal is true; attribute keep of video_clk : signal is true; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref); ethclk_pad : inpad generic map (tech => padtech) port map(clk3, ethclk); clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk); clkgen0 : clkgen -- clock generator generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN, CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ) port map (lclk, lclk, clkm, open, open, sdclkl, open, cgi, cgo, open, clk50); sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (sdclk, sdclkl); resetn_pad : inpad generic map (tech => padtech) port map (resetn, rst); rst0 : rstgen -- reset generator port map (rst, clkm, cgo.clklock, rstn, rstraw); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => maxahbm, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, ndsuact); ndsuact <= not dsuo.active; end generate; end generate; nodsu : if CFG_DSU = 0 generate dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0: ahbuart -- Debug UART generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (rxd2, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (txd2, duo.txd); end generate; nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00"; brdyn_pad : inpad generic map (tech => padtech) port map (brdyn, memi.brdyn); bexcn_pad : inpad generic map (tech => padtech) port map (bexcn, memi.bexcn); mctrl0 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0, srbanks => 2, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN, invclk => CFG_CLK_NOFB, sepbus => CFG_MCTRL_SEPBUS, pageburst => CFG_MCTRL_PAGE) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo); sdpads : if CFG_MCTRL_SDEN = 1 generate -- SDRAM controller sdwen_pad : outpad generic map (tech => padtech) port map (sdwen, sdo.sdwen); sdras_pad : outpad generic map (tech => padtech) port map (sdrasn, sdo.rasn); sdcas_pad : outpad generic map (tech => padtech) port map (sdcasn, sdo.casn); sddqm_pad : outpadv generic map (width =>4, tech => padtech) port map (sddqm, sdo.dqm(3 downto 0)); end generate; sdcsn_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcsn, sdo.sdcsn); addr_pad : outpadv generic map (width => 28, tech => padtech) port map (address, memo.address(27 downto 0)); rams_pad : outpadv generic map (width => 5, tech => padtech) port map (ramsn, memo.ramsn(4 downto 0)); roms_pad : outpadv generic map (width => 2, tech => padtech) port map (romsn, memo.romsn(1 downto 0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); rwen_pad : outpadv generic map (width => 4, tech => padtech) port map (rwen, memo.wrn); roen_pad : outpadv generic map (width => 5, tech => padtech) port map (ramoen, memo.ramoen(4 downto 0)); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); read_pad : outpad generic map (tech => padtech) port map (read, memo.read); iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); bdr : for i in 0 to 3 generate data_pad : iopadv generic map (tech => padtech, width => 8) port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8), memo.bdrive(i), memi.data(31-i*8 downto 24-i*8)); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.extclk <= '0'; rxd1_pad : inpad generic map (tech => padtech) port map (rxd1, u1i.rxd); txd1_pad : outpad generic map (tech => padtech) port map (txd1, u1o.txd); cts1_pad : inpad generic map (tech => padtech) port map (ctsn1, u1i.ctsn); rts1_pad : outpad generic map (tech => padtech) port map (rtsn1, u1o.rtsn); end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; ua2 : if CFG_UART2_ENABLE /= 0 generate uart2 : apbuart -- UART 2 generic map (pindex => 9, paddr => 9, pirq => 3, fifosize => CFG_UART2_FIFO) port map (rstn, clkm, apbi, apbo(9), u2i, u2o); u2i.extclk <= '0'; rxd2_pad : inpad generic map (tech => padtech) port map (rxd2, u2i.rxd); txd2_pad : outpad generic map (tech => padtech) port map (txd2, u2o.txd); cts2_pad : inpad generic map (tech => padtech) port map (ctsn2, u2i.ctsn); rts2_pad : outpad generic map (tech => padtech) port map (rtsn2, u2o.rtsn); end generate; noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; rtsn2 <= '0'; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG) port map (rstn, clkm, apbi, apbo(3), gpti, gpto); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; wden : if CFG_GPT_WDOGEN /= 0 generate wdogl <= gpto.wdogn or not rstn; wdogn_pad : odpad generic map (tech => padtech) port map (wdogn, wdogl); end generate; wddis : if CFG_GPT_WDOGEN = 0 generate wdogn_pad : odpad generic map (tech => padtech) port map (wdogn, vcc(0)); end generate; nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; kbd : if CFG_KBD_ENABLE /= 0 generate ps21 : apbps2 generic map(pindex => 4, paddr => 4, pirq => 4) port map(rstn, clkm, apbi, apbo(4), moui, mouo); ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5) port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo); end generate; nokbd : if CFG_KBD_ENABLE = 0 generate apbo(4) <= apb_none; mouo <= ps2o_none; apbo(5) <= apb_none; kbdo <= ps2o_none; end generate; kbdclk_pad : iopad generic map (tech => padtech) port map (ps2clk(0),kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i); kbdata_pad : iopad generic map (tech => padtech) port map (ps2data(0), kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i); mouclk_pad : iopad generic map (tech => padtech) port map (ps2clk(1),mouo.ps2_clk_o, mouo.ps2_clk_oe, moui.ps2_clk_i); mouata_pad : iopad generic map (tech => padtech) port map (ps2data(1), mouo.ps2_data_o, mouo.ps2_data_oe, moui.ps2_data_i); vga : if CFG_VGA_ENABLE /= 0 generate vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6) port map(rstn, clkm, ethclk, apbi, apbo(6), vgao); video_clock_pad : outpad generic map ( tech => padtech) port map (vid_clock, video_clk); video_clk <= not ethclk; end generate; svga : if CFG_SVGA_ENABLE /= 0 generate svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6, hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, clk0 => 40000, clk1 => 1000000000/((BOARD_FREQ * CFG_CLKMUL)/CFG_CLKDIV), clk2 => 20000, clk3 => 15385, burstlen => 6) port map(rstn, clkm, video_clk, apbi, apbo(6), vgao, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), clk_sel); vgaclk0 : entity work.vga_clkgen port map (rstn, clk_sel, ethclk, clkm, clk50, video_clk); dac_clk <= not video_clk; video_clock_pad : outpad generic map ( tech => padtech) port map (vid_clock, dac_clk); end generate; novga : if (CFG_VGA_ENABLE = 0 and CFG_SVGA_ENABLE = 0) generate apbo(6) <= apb_none; vgao <= vgao_none; video_clk <= not clkm; video_clock_pad : outpad generic map ( tech => padtech) port map (vid_clock, video_clk); end generate; blank_pad : outpad generic map (tech => padtech) port map (vid_blankn, vgao.blank); comp_sync_pad : outpad generic map (tech => padtech) port map (vid_syncn, vgao.comp_sync); vert_sync_pad : outpad generic map (tech => padtech) port map (vid_vsync, vgao.vsync); horiz_sync_pad : outpad generic map (tech => padtech) port map (vid_hsync, vgao.hsync); video_out_r_pad : outpadv generic map (width => 8, tech => padtech) port map (vid_r, vgao.video_out_r); video_out_g_pad : outpadv generic map (width => 8, tech => padtech) port map (vid_g, vgao.video_out_g); video_out_b_pad : outpadv generic map (width => 8, tech => padtech) port map (vid_b, vgao.video_out_b); gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio0: grgpio generic map(pindex => 8, paddr => 8, imask => CFG_GRGPIO_IMASK, nbits => 18) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(8), gpioi => gpioi, gpioo => gpioo); p0 : if (CFG_CAN = 0) or (CFG_CAN_NUM = 1) generate pio_pads : for i in 1 to 2 generate pio_pad : iopad generic map (tech => padtech) port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; p1 : if (CFG_CAN = 0) generate pio_pads : for i in 4 to 5 generate pio_pad : iopad generic map (tech => padtech) port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; pio_pad0 : iopad generic map (tech => padtech) port map (pio(0), gpioo.dout(0), gpioo.oen(0), gpioi.din(0)); pio_pad1 : iopad generic map (tech => padtech) port map (pio(3), gpioo.dout(3), gpioo.oen(3), gpioi.din(3)); pio_pads : for i in 6 to 17 generate pio_pad : iopad generic map (tech => padtech) port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 1, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map( hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE, pindex => 13, paddr => 13, pirq => 6, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, enable_mdint => 1, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE), apbi => apbi, apbo => apbo(13), ethi => ethi, etho => etho); end generate; ethpads : if (CFG_GRETH = 1) generate -- eth pads emdio_pad : iopad generic map (tech => padtech) port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (etx_clk, ethi.tx_clk); erxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (erx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 4) port map (erxd, ethi.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (erx_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (erx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (erx_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (erx_crs, ethi.rx_crs); emdint_pad : inpad generic map (tech => padtech) port map (emdint, ethi.mdint); etxd_pad : outpadv generic map (tech => padtech, width => 4) port map (etxd, etho.txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map ( etx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (etx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (emdc, etho.mdc); end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ocram : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map ( rstn, clkm, ahbsi, ahbso(7)); end generate; ----------------------------------------------------------------------- --- Multi-core CAN --------------------------------------------------- ----------------------------------------------------------------------- can0 : if CFG_CAN = 1 generate can0 : can_mc generic map (slvndx => 4, ioaddr => CFG_CANIO, iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech, ncores => CFG_CAN_NUM, sepirq => CFG_CANSEPIRQ) port map (rstn, clkm, ahbsi, ahbso(4), can_lrx, can_ltx ); can_tx_pad1 : iopad generic map (tech => padtech) port map (pio(5), can_ltx(0), gnd(0), gpioi.din(5)); can_rx_pad1 : iopad generic map (tech => padtech) port map (pio(4), gnd(0), vcc(0), can_lrx(0)); canpas : if CFG_CAN_NUM = 2 generate can_tx_pad2 : iopad generic map (tech => padtech) port map (pio(2), can_ltx(1), gnd(0), gpioi.din(2)); can_rx_pad2 : iopad generic map (tech => padtech) port map (pio(1), gnd(0), vcc(0), can_lrx(1)); end generate; end generate; -- standby controlled by pio(3) and pio(0) ----------------------------------------------------------------------- --- SPACEWIRE ------------------------------------------------------- ----------------------------------------------------------------------- spw : if CFG_SPW_EN > 0 generate core0: if CFG_SPW_GRSPW = 1 generate spw_clkl <= clkm; spw_rxclkn <= not spw_rxtxclk; end generate; core1 : if CFG_SPW_GRSPW = 2 generate cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw; clkgen_spw_rx : clkgen -- clock generator generic map (clktech, 12, 2, 0, 1, 0, 0, 0, 25000) port map (ethclk, ethclk, spw_clkl, spw_clkln, open, open, open, cgi2, cgo2, open, open); spw_rxclkn <= spw_clkln; end generate; spw_rxtxclk <= spw_clkl; swloop : for i in 0 to CFG_SPW_NUM-1 generate -- GRSPW2 PHY spw2_input : if CFG_SPW_GRSPW = 2 generate spw_inputloop: for j in 0 to CFG_SPW_PORTS-1 generate spw_phy0 : grspw2_phy generic map( scantest => 0, tech => fabtech, input_type => CFG_SPW_INPUT) port map( rstn => rstn, rxclki => spw_rxtxclk, rxclkin => spw_rxclkn, nrxclki => spw_rxtxclk, di => dtmp(i*CFG_SPW_PORTS+j), si => stmp(i*CFG_SPW_PORTS+j), do => spwi(i).d(j*2+1 downto j*2), dov => spwi(i).dv(j*2+1 downto j*2), dconnect => spwi(i).dconnect(j*2+1 downto j*2), rxclko => spw_rxclk(i*CFG_SPW_PORTS+j)); end generate spw_inputloop; oneport : if CFG_SPW_PORTS = 1 generate spwi(i).d(3 downto 2) <= "00"; -- For second port spwi(i).dv(3 downto 2) <= "00"; -- For second port spwi(i).dconnect(3 downto 2) <= "00"; -- For second port end generate; spwi(i).nd <= (others => '0'); -- Only used in GRSPW end generate spw2_input; -- GRSPW PHY spw1_input: if CFG_SPW_GRSPW = 1 generate spw_inputloop: for j in 0 to CFG_SPW_PORTS-1 generate spw_phy0 : grspw_phy generic map( tech => fabtech, rxclkbuftype => 1, scantest => 0) port map( rxrst => spwo(i).rxrst, di => dtmp(i*CFG_SPW_PORTS+j), si => stmp(i*CFG_SPW_PORTS+j), rxclko => spw_rxclk(i*CFG_SPW_PORTS+j), do => spwi(i).d(j), ndo => spwi(i).nd(j*5+4 downto j*5), dconnect => spwi(i).dconnect(j*2+1 downto j*2)); end generate spw_inputloop; oneport : if CFG_SPW_PORTS = 1 generate spwi(i).d(1) <= '0'; -- For second port spwi(i).d(3 downto 2) <= "00"; -- For GRSPW2 second port spwi(i).nd(9 downto 5) <= "00000"; -- For second port spwi(i).dconnect(3 downto 2) <= "00"; -- For second port end generate; spwi(i).dv <= (others => '0'); -- Only used in GRSPW2 end generate spw1_input; spwi(i).s(1 downto 0) <= "00"; -- Only used in PHY sw0 : grspwm generic map(tech => memtech, hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+CFG_SVGA_ENABLE+i, sysfreq => CPU_FREQ, usegen => 1, pindex => 10+i, paddr => 10+i, pirq => 10+i, nsync => 1, rmap => CFG_SPW_RMAP, rxunaligned => CFG_SPW_RXUNAL, rmapcrc => CFG_SPW_RMAPCRC, fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 2, dmachan => CFG_SPW_DMACHAN, rmapbufs => CFG_SPW_RMAPBUF, ft => CFG_SPW_FT, ports => CFG_SPW_PORTS, spwcore => CFG_SPW_GRSPW, netlist => CFG_SPW_NETLIST, rxtx_sameclk => CFG_SPW_RTSAME, input_type => CFG_SPW_INPUT, output_type => CFG_SPW_OUTPUT) port map(rstn, clkm, spw_rxclk(i*CFG_SPW_PORTS), spw_rxclk(i*CFG_SPW_PORTS+1), spw_rxtxclk, spw_rxtxclk, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+CFG_SVGA_ENABLE+i), apbi, apbo(10+i), spwi(i), spwo(i)); spwi(i).tickin <= '0'; spwi(i).rmapen <= '1'; spwi(i).clkdiv10 <= conv_std_logic_vector(CPU_FREQ/10000-1, 8) when CFG_SPW_GRSPW = 1 else conv_std_logic_vector((25*12/20)-1, 8); spwi(i).dcrstval <= (others => '0'); spwi(i).timerrstval <= (others => '0'); swportloop1: for j in 0 to CFG_SPW_PORTS-1 generate spwlb0 : if SPW_LOOP_BACK = 1 generate dtmp(CFG_SPW_PORTS*i+j) <= spwo(i).d(j); stmp(CFG_SPW_PORTS*i+j) <= spwo(i).s(j); end generate; nospwlb0 : if SPW_LOOP_BACK = 0 generate spw_rxd_pad : inpad_ds generic map (padtech, lvds, x25v) port map (spw_rxdp(CFG_SPW_PORTS*i+j), spw_rxdn(CFG_SPW_PORTS*i+j), dtmp(CFG_SPW_PORTS*i+j)); spw_rxs_pad : inpad_ds generic map (padtech, lvds, x25v) port map (spw_rxsp(CFG_SPW_PORTS*i+j), spw_rxsn(CFG_SPW_PORTS*i+j), stmp(CFG_SPW_PORTS*i+j)); spw_txd_pad : outpad_ds generic map (padtech, lvds, x25v) port map (spw_txdp(CFG_SPW_PORTS*i+j), spw_txdn(CFG_SPW_PORTS*i+j), spwo(i).d(j), gnd(0)); spw_txs_pad : outpad_ds generic map (padtech, lvds, x25v) port map (spw_txsp(CFG_SPW_PORTS*i+j), spw_txsn(CFG_SPW_PORTS*i+j), spwo(i).s(j), gnd(0)); end generate; end generate; end generate; end generate; ------------------------------------------------------------------------------- --- USB ----------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Note that the GRUSBDC and GRUSB_DCL can not be instantiated at the same -- time (board has only one USB transceiver), therefore they share AHB -- master/slave indexes ----------------------------------------------------------------------------- -- Shared pads ----------------------------------------------------------------------------- usbpads: if (CFG_GRUSBDC + CFG_GRUSB_DCL) = 0 generate usbo.oen <= '1'; usbo.reset <= '1'; end generate; usb_clk_pad : clkpad generic map (tech => padtech, arch => 2) port map (usb_clkout, uclk); usb_d_pad: iopadv generic map(tech => padtech, width => 16, slew => 1) port map (usb_d, usbo.dataout, usbo.oen, usbi.datain); usb_txready_pad : inpad generic map (tech => padtech) port map (usb_txready,usbi.txready); usb_rxvalid_pad : inpad generic map (tech => padtech) port map (usb_rxvalid,usbi.rxvalid); usb_rxerror_pad : inpad generic map (tech => padtech) port map (usb_rxerror,usbi.rxerror); usb_rxactive_pad : inpad generic map (tech => padtech) port map (usb_rxactive,usbi.rxactive); usb_linestate_pad : inpadv generic map (tech => padtech, width => 2) port map (usb_linestate,usbi.linestate); usb_vbus_pad : inpad generic map (tech => padtech) port map (usb_vbus, usbi.vbusvalid); usb_reset_pad : outpad generic map (tech => padtech, slew => 1) port map (usb_reset,usbo.reset); usb_suspend_pad : outpad generic map (tech => padtech, slew => 1) port map (usb_suspend,usbo.suspendm); usb_termsel_pad : outpad generic map (tech => padtech, slew => 1) port map (usb_termsel,usbo.termselect); usb_xcvrsel_pad : outpad generic map (tech => padtech, slew => 1) port map (usb_xcvrsel,usbo.xcvrselect(0)); usb_txvalid_pad : outpad generic map (tech => padtech, slew => 1) port map (usb_txvalid,usbo.txvalid); usb_opmode_pad : outpadv generic map (tech =>padtech ,width =>2, slew =>1) port map (usb_opmode,usbo.opmode); usb_validh_pad:iopad generic map(tech => padtech, slew => 1) port map (usb_validh, usbo.txvalidh, usbo.oen, usbi.rxvalidh); ----------------------------------------------------------------------------- -- USB 2.0 Device Controller ----------------------------------------------------------------------------- usbdc0: if CFG_GRUSBDC = 1 generate usbdc0: grusbdc generic map( hsindex => 5, hirq => 7, haddr => 16#004#, hmask => 16#FFC#, hmindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+ CFG_SVGA_ENABLE+CFG_SPW_NUM*CFG_SPW_EN, aiface => CFG_GRUSBDC_AIFACE, uiface => 0, dwidth => CFG_GRUSBDC_DW, nepi => CFG_GRUSBDC_NEPI, nepo => CFG_GRUSBDC_NEPO, i0 => CFG_GRUSBDC_I0, i1 => CFG_GRUSBDC_I1, i2 => CFG_GRUSBDC_I2, i3 => CFG_GRUSBDC_I3, i4 => CFG_GRUSBDC_I4, i5 => CFG_GRUSBDC_I5, i6 => CFG_GRUSBDC_I6, i7 => CFG_GRUSBDC_I7, i8 => CFG_GRUSBDC_I8, i9 => CFG_GRUSBDC_I9, i10 => CFG_GRUSBDC_I10, i11 => CFG_GRUSBDC_I11, i12 => CFG_GRUSBDC_I12, i13 => CFG_GRUSBDC_I13, i14 => CFG_GRUSBDC_I14, i15 => CFG_GRUSBDC_I15, o0 => CFG_GRUSBDC_O0, o1 => CFG_GRUSBDC_O1, o2 => CFG_GRUSBDC_O2, o3 => CFG_GRUSBDC_O3, o4 => CFG_GRUSBDC_O4, o5 => CFG_GRUSBDC_O5, o6 => CFG_GRUSBDC_O6, o7 => CFG_GRUSBDC_O7, o8 => CFG_GRUSBDC_O8, o9 => CFG_GRUSBDC_O9, o10 => CFG_GRUSBDC_O10, o11 => CFG_GRUSBDC_O11, o12 => CFG_GRUSBDC_O12, o13 => CFG_GRUSBDC_O13, o14 => CFG_GRUSBDC_O14, o15 => CFG_GRUSBDC_O15, memtech => memtech) port map( uclk => uclk, usbi => usbi, usbo => usbo, hclk => clkm, hrst => rstn, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+ CFG_SVGA_ENABLE+CFG_SPW_NUM*CFG_SPW_EN), ahbsi => ahbsi, ahbso => ahbso(5) ); end generate usbdc0; ----------------------------------------------------------------------------- -- USB DCL ----------------------------------------------------------------------------- usb_dcl0: if CFG_GRUSB_DCL = 1 generate usb_dcl0: grusb_dcl generic map ( hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+ CFG_SVGA_ENABLE+CFG_SPW_NUM*CFG_SPW_EN, memtech => memtech, uiface => 0, dwidth => CFG_GRUSB_DCL_DW) port map ( uclk, usbi, usbo, clkm, rstn, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+CFG_SVGA_ENABLE+ CFG_SPW_NUM*CFG_SPW_EN)); end generate usb_dcl0; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- -- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG) to NAHBMST-1 generate -- ahbmo(i) <= ahbm_none; -- end generate; -- nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 GR-XC3S-1500 Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
architecture rtl of fifo is begin process begin loop END loop; LOOP END LOOP; end process; end;
------------------------------------------------------------------------------ -- /home/daniw/data/studium/sem6/add/edk/IVK_HW/t01_hello/hdl/elaborate/clock_generator_0_v4_03_a/hdl/vhdl/clock_generator.vhd ------------------------------------------------------------------------------ -- ClkGen Wrapper HDL file generated by ClkGen's TCL generator library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; library Unisim; use Unisim.vcomponents.all; library clock_generator_v4_03_a; use clock_generator_v4_03_a.all; entity clock_generator is generic ( C_FAMILY : string := "spartan6" ; C_DEVICE : string := "6slx150t"; C_PACKAGE : string := "fgg676"; C_SPEEDGRADE : string := "-3"; C_CLK_GEN : string := "PASSED" ); port ( -- clock generation CLKIN : in std_logic; CLKOUT0 : out std_logic; CLKOUT1 : out std_logic; CLKOUT2 : out std_logic; CLKOUT3 : out std_logic; CLKOUT4 : out std_logic; CLKOUT5 : out std_logic; CLKOUT6 : out std_logic; CLKOUT7 : out std_logic; CLKOUT8 : out std_logic; CLKOUT9 : out std_logic; CLKOUT10 : out std_logic; CLKOUT11 : out std_logic; CLKOUT12 : out std_logic; CLKOUT13 : out std_logic; CLKOUT14 : out std_logic; CLKOUT15 : out std_logic; -- external feedback CLKFBIN : in std_logic; CLKFBOUT : out std_logic; -- variable phase shift PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; PSDONE : out std_logic; -- reset RST : in std_logic; LOCKED : out std_logic ); end clock_generator; architecture STRUCTURE of clock_generator is ---------------------------------------------------------------------------- -- Components ( copy from entity, exact the same in low level parameters ) ---------------------------------------------------------------------------- component pll_module is generic ( C_BANDWIDTH : string := "OPTIMIZED"; C_CLKFBOUT_MULT : integer := 1; C_CLKFBOUT_PHASE : real := 0.0; C_CLKIN1_PERIOD : real := 0.000; -- C_CLKIN2_PERIOD : real := 0.000; C_CLKOUT0_DIVIDE : integer := 1; C_CLKOUT0_DUTY_CYCLE : real := 0.5; C_CLKOUT0_PHASE : real := 0.0; C_CLKOUT1_DIVIDE : integer := 1; C_CLKOUT1_DUTY_CYCLE : real := 0.5; C_CLKOUT1_PHASE : real := 0.0; C_CLKOUT2_DIVIDE : integer := 1; C_CLKOUT2_DUTY_CYCLE : real := 0.5; C_CLKOUT2_PHASE : real := 0.0; C_CLKOUT3_DIVIDE : integer := 1; C_CLKOUT3_DUTY_CYCLE : real := 0.5; C_CLKOUT3_PHASE : real := 0.0; C_CLKOUT4_DIVIDE : integer := 1; C_CLKOUT4_DUTY_CYCLE : real := 0.5; C_CLKOUT4_PHASE : real := 0.0; C_CLKOUT5_DIVIDE : integer := 1; C_CLKOUT5_DUTY_CYCLE : real := 0.5; C_CLKOUT5_PHASE : real := 0.0; C_COMPENSATION : string := "SYSTEM_SYNCHRONOUS"; C_DIVCLK_DIVIDE : integer := 1; -- C_EN_REL : boolean := false; -- C_PLL_PMCD_MODE : boolean := false; C_REF_JITTER : real := 0.100; C_RESET_ON_LOSS_OF_LOCK : boolean := false; C_RST_DEASSERT_CLK : string := "CLKIN1"; C_CLKOUT0_DESKEW_ADJUST : string := "NONE"; C_CLKOUT1_DESKEW_ADJUST : string := "NONE"; C_CLKOUT2_DESKEW_ADJUST : string := "PPC"; C_CLKOUT3_DESKEW_ADJUST : string := "PPC"; C_CLKOUT4_DESKEW_ADJUST : string := "PPC"; C_CLKOUT5_DESKEW_ADJUST : string := "PPC"; C_CLKFBOUT_DESKEW_ADJUST : string := "PPC"; C_CLKIN1_BUF : boolean := false; -- C_CLKIN2_BUF : boolean := false; C_CLKFBOUT_BUF : boolean := false; C_CLKOUT0_BUF : boolean := false; C_CLKOUT1_BUF : boolean := false; C_CLKOUT2_BUF : boolean := false; C_CLKOUT3_BUF : boolean := false; C_CLKOUT4_BUF : boolean := false; C_CLKOUT5_BUF : boolean := false; C_EXT_RESET_HIGH : integer := 1; C_FAMILY : string := "spartan6" ); port ( CLKFBDCM : out std_logic; CLKFBOUT : out std_logic; CLKOUT0 : out std_logic; CLKOUT1 : out std_logic; CLKOUT2 : out std_logic; CLKOUT3 : out std_logic; CLKOUT4 : out std_logic; CLKOUT5 : out std_logic; CLKOUTDCM0 : out std_logic; CLKOUTDCM1 : out std_logic; CLKOUTDCM2 : out std_logic; CLKOUTDCM3 : out std_logic; CLKOUTDCM4 : out std_logic; CLKOUTDCM5 : out std_logic; -- DO : out std_logic_vector (15 downto 0); -- DRDY : out std_logic; LOCKED : out std_logic; CLKFBIN : in std_logic; CLKIN1 : in std_logic; -- CLKIN2 : in std_logic; -- CLKINSEL : in std_logic; -- DADDR : in std_logic_vector (4 downto 0); -- DCLK : in std_logic; -- DEN : in std_logic; -- DI : in std_logic_vector (15 downto 0); -- DWE : in std_logic; -- REL : in std_logic; RST : in std_logic ); end component; ---------------------------------------------------------------------------- -- Functions ---------------------------------------------------------------------------- -- Note : The string functions are put here to remove dependency to other pcore level libraries function UpperCase_Char(char : character) return character is begin -- If char is not an upper case letter then return char if char < 'a' or char > 'z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'a' => return 'A'; when 'b' => return 'B'; when 'c' => return 'C'; when 'd' => return 'D'; when 'e' => return 'E'; when 'f' => return 'F'; when 'g' => return 'G'; when 'h' => return 'H'; when 'i' => return 'I'; when 'j' => return 'J'; when 'k' => return 'K'; when 'l' => return 'L'; when 'm' => return 'M'; when 'n' => return 'N'; when 'o' => return 'O'; when 'p' => return 'P'; when 'q' => return 'Q'; when 'r' => return 'R'; when 's' => return 'S'; when 't' => return 'T'; when 'u' => return 'U'; when 'v' => return 'V'; when 'w' => return 'W'; when 'x' => return 'X'; when 'y' => return 'Y'; when 'z' => return 'Z'; when others => return char; end case; end UpperCase_Char; function UpperCase_String (s : string) return string is variable res : string(s'range); begin -- function LoweerCase_String for I in s'range loop res(I) := UpperCase_Char(s(I)); end loop; -- I return res; end function UpperCase_String; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal function equalString( str1, str2 : string ) return boolean is constant len1 : integer := str1'length; constant len2 : integer := str2'length; variable equal : boolean := true; begin if not (len1 = len2) then equal := false; else for i in str1'range loop if not (UpperCase_Char(str1(i)) = UpperCase_Char(str2(i))) then equal := false; end if; end loop; end if; return equal; end equalString; ---------------------------------------------------------------------------- -- Signals ---------------------------------------------------------------------------- -- signals: gnd signal net_gnd0 : std_logic; signal net_gnd1 : std_logic_vector(0 to 0); signal net_gnd16 : std_logic_vector(0 to 15); -- signals: vdd signal net_vdd0 : std_logic; -- signals : PLL0 wrapper signal SIG_PLL0_CLKFBDCM : std_logic; signal SIG_PLL0_CLKFBOUT : std_logic; signal SIG_PLL0_CLKOUT0 : std_logic; signal SIG_PLL0_CLKOUT1 : std_logic; signal SIG_PLL0_CLKOUT2 : std_logic; signal SIG_PLL0_CLKOUT3 : std_logic; signal SIG_PLL0_CLKOUT4 : std_logic; signal SIG_PLL0_CLKOUT5 : std_logic; signal SIG_PLL0_CLKOUTDCM0 : std_logic; signal SIG_PLL0_CLKOUTDCM1 : std_logic; signal SIG_PLL0_CLKOUTDCM2 : std_logic; signal SIG_PLL0_CLKOUTDCM3 : std_logic; signal SIG_PLL0_CLKOUTDCM4 : std_logic; signal SIG_PLL0_CLKOUTDCM5 : std_logic; signal SIG_PLL0_LOCKED : std_logic; signal SIG_PLL0_CLKFBIN : std_logic; signal SIG_PLL0_CLKIN1 : std_logic; signal SIG_PLL0_RST : std_logic; signal SIG_PLL0_CLKFBOUT_BUF : std_logic; signal SIG_PLL0_CLKOUT0_BUF : std_logic; signal SIG_PLL0_CLKOUT1_BUF : std_logic; signal SIG_PLL0_CLKOUT2_BUF : std_logic; signal SIG_PLL0_CLKOUT3_BUF : std_logic; signal SIG_PLL0_CLKOUT4_BUF : std_logic; signal SIG_PLL0_CLKOUT5_BUF : std_logic; begin ---------------------------------------------------------------------------- -- GND and VCC signals ---------------------------------------------------------------------------- net_gnd0 <= '0'; net_gnd1(0 to 0) <= B"0"; net_gnd16(0 to 15) <= B"0000000000000000"; net_vdd0 <= '1'; ---------------------------------------------------------------------------- -- DCM wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLL wrappers ---------------------------------------------------------------------------- -- PLL0 wrapper PLL0_INST : pll_module generic map ( C_BANDWIDTH => "OPTIMIZED", C_CLKFBOUT_MULT => 10, C_CLKFBOUT_PHASE => 0.0, C_CLKIN1_PERIOD => 10.000000, C_CLKOUT0_DIVIDE => 20, C_CLKOUT0_DUTY_CYCLE => 0.5, C_CLKOUT0_PHASE => 0.0000, C_CLKOUT1_DIVIDE => 1, C_CLKOUT1_DUTY_CYCLE => 0.5, C_CLKOUT1_PHASE => 0.0, C_CLKOUT2_DIVIDE => 1, C_CLKOUT2_DUTY_CYCLE => 0.5, C_CLKOUT2_PHASE => 0.0, C_CLKOUT3_DIVIDE => 1, C_CLKOUT3_DUTY_CYCLE => 0.5, C_CLKOUT3_PHASE => 0.0, C_CLKOUT4_DIVIDE => 1, C_CLKOUT4_DUTY_CYCLE => 0.5, C_CLKOUT4_PHASE => 0.0, C_CLKOUT5_DIVIDE => 1, C_CLKOUT5_DUTY_CYCLE => 0.5, C_CLKOUT5_PHASE => 0.0, C_COMPENSATION => "SYSTEM_SYNCHRONOUS", C_DIVCLK_DIVIDE => 1, C_REF_JITTER => 0.100, C_RESET_ON_LOSS_OF_LOCK => false, C_RST_DEASSERT_CLK => "CLKIN1", C_CLKOUT0_DESKEW_ADJUST => "NONE", C_CLKOUT1_DESKEW_ADJUST => "NONE", C_CLKOUT2_DESKEW_ADJUST => "PPC", C_CLKOUT3_DESKEW_ADJUST => "PPC", C_CLKOUT4_DESKEW_ADJUST => "PPC", C_CLKOUT5_DESKEW_ADJUST => "PPC", C_CLKFBOUT_DESKEW_ADJUST => "PPC", C_CLKIN1_BUF => false, C_CLKFBOUT_BUF => false, C_CLKOUT0_BUF => false, C_CLKOUT1_BUF => false, C_CLKOUT2_BUF => false, C_CLKOUT3_BUF => false, C_CLKOUT4_BUF => false, C_CLKOUT5_BUF => false, C_EXT_RESET_HIGH => 1, C_FAMILY => "spartan6" ) port map ( CLKFBDCM => SIG_PLL0_CLKFBDCM, CLKFBOUT => SIG_PLL0_CLKFBOUT, CLKOUT0 => SIG_PLL0_CLKOUT0, CLKOUT1 => SIG_PLL0_CLKOUT1, CLKOUT2 => SIG_PLL0_CLKOUT2, CLKOUT3 => SIG_PLL0_CLKOUT3, CLKOUT4 => SIG_PLL0_CLKOUT4, CLKOUT5 => SIG_PLL0_CLKOUT5, CLKOUTDCM0 => SIG_PLL0_CLKOUTDCM0, CLKOUTDCM1 => SIG_PLL0_CLKOUTDCM1, CLKOUTDCM2 => SIG_PLL0_CLKOUTDCM2, CLKOUTDCM3 => SIG_PLL0_CLKOUTDCM3, CLKOUTDCM4 => SIG_PLL0_CLKOUTDCM4, CLKOUTDCM5 => SIG_PLL0_CLKOUTDCM5, -- DO -- DRDY LOCKED => SIG_PLL0_LOCKED, CLKFBIN => SIG_PLL0_CLKFBIN, CLKIN1 => SIG_PLL0_CLKIN1, -- CLKIN2 -- CLKINSEL -- DADDR -- DCLK -- DEN -- DI -- DWE -- REL RST => SIG_PLL0_RST ); -- wrapper of clkout : CLKOUT0 PLL0_CLKOUT0_BUFG_INST : BUFG port map ( I => SIG_PLL0_CLKOUT0, O => SIG_PLL0_CLKOUT0_BUF ); -- wrapper of clkout : CLKOUT1 SIG_PLL0_CLKOUT1_BUF <= SIG_PLL0_CLKOUT1; -- wrapper of clkout : CLKOUT2 SIG_PLL0_CLKOUT2_BUF <= SIG_PLL0_CLKOUT2; -- wrapper of clkout : CLKOUT3 SIG_PLL0_CLKOUT3_BUF <= SIG_PLL0_CLKOUT3; -- wrapper of clkout : CLKOUT4 SIG_PLL0_CLKOUT4_BUF <= SIG_PLL0_CLKOUT4; -- wrapper of clkout : CLKOUT5 SIG_PLL0_CLKOUT5_BUF <= SIG_PLL0_CLKOUT5; -- wrapper of clkout : CLKFBOUT PLL0_CLKFBOUT_BUFG_INST : BUFG port map ( I => SIG_PLL0_CLKFBOUT, O => SIG_PLL0_CLKFBOUT_BUF ); ---------------------------------------------------------------------------- -- MMCM wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLE wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- DCMs CLKIN, CLKFB and RST signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLs CLKIN1, CLKFBIN and RST signal connection ---------------------------------------------------------------------------- -- PLL0 CLKIN1 SIG_PLL0_CLKIN1 <= CLKIN; -- PLL0 CLKFBIN SIG_PLL0_CLKFBIN <= SIG_PLL0_CLKFBOUT; -- PLL0 RST SIG_PLL0_RST <= RST; ---------------------------------------------------------------------------- -- MMCMs CLKIN1, CLKFBIN, RST and Variable_Phase_Control signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLEs CLKIN1, CLKFBIN, RST and Variable_Phase_Control signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- CLKGEN CLKOUT, CLKFBOUT and LOCKED signal connection ---------------------------------------------------------------------------- -- CLKGEN CLKOUT CLKOUT0 <= SIG_PLL0_CLKOUT0_BUF; CLKOUT1 <= '0'; CLKOUT2 <= '0'; CLKOUT3 <= '0'; CLKOUT4 <= '0'; CLKOUT5 <= '0'; CLKOUT6 <= '0'; CLKOUT7 <= '0'; CLKOUT8 <= '0'; CLKOUT9 <= '0'; CLKOUT10 <= '0'; CLKOUT11 <= '0'; CLKOUT12 <= '0'; CLKOUT13 <= '0'; CLKOUT14 <= '0'; CLKOUT15 <= '0'; -- CLKGEN CLKFBOUT -- CLKGEN LOCKED LOCKED <= SIG_PLL0_LOCKED; end architecture STRUCTURE; ------------------------------------------------------------------------------ -- High level parameters ------------------------------------------------------------------------------ -- C_CLK_GEN = PASSED -- C_ELABORATE_DIR = -- C_ELABORATE_RES = NOT_SET -- C_FAMILY = spartan6 -- C_DEVICE = 6slx150t -- C_PACKAGE = fgg676 -- C_SPEEDGRADE = -3 ---------------------------------------- -- C_CLKIN_FREQ = 100000000 -- C_CLKOUT0_FREQ = 50000000 -- C_CLKOUT0_PHASE = 0 -- C_CLKOUT0_GROUP = NONE -- C_CLKOUT0_BUF = TRUE -- C_CLKOUT0_VARIABLE_PHASE = FALSE -- C_CLKOUT1_FREQ = 0 -- C_CLKOUT1_PHASE = 0 -- C_CLKOUT1_GROUP = NONE -- C_CLKOUT1_BUF = TRUE -- C_CLKOUT1_VARIABLE_PHASE = FALSE -- C_CLKOUT2_FREQ = 0 -- C_CLKOUT2_PHASE = 0 -- C_CLKOUT2_GROUP = NONE -- C_CLKOUT2_BUF = TRUE -- C_CLKOUT2_VARIABLE_PHASE = FALSE -- C_CLKOUT3_FREQ = 0 -- C_CLKOUT3_PHASE = 0 -- C_CLKOUT3_GROUP = NONE -- C_CLKOUT3_BUF = TRUE -- C_CLKOUT3_VARIABLE_PHASE = FALSE -- C_CLKOUT4_FREQ = 0 -- C_CLKOUT4_PHASE = 0 -- C_CLKOUT4_GROUP = NONE -- C_CLKOUT4_BUF = TRUE -- C_CLKOUT4_VARIABLE_PHASE = FALSE -- C_CLKOUT5_FREQ = 0 -- C_CLKOUT5_PHASE = 0 -- C_CLKOUT5_GROUP = NONE -- C_CLKOUT5_BUF = TRUE -- C_CLKOUT5_VARIABLE_PHASE = FALSE -- C_CLKOUT6_FREQ = 0 -- C_CLKOUT6_PHASE = 0 -- C_CLKOUT6_GROUP = NONE -- C_CLKOUT6_BUF = TRUE -- C_CLKOUT6_VARIABLE_PHASE = FALSE -- C_CLKOUT7_FREQ = 0 -- C_CLKOUT7_PHASE = 0 -- C_CLKOUT7_GROUP = NONE -- C_CLKOUT7_BUF = TRUE -- C_CLKOUT7_VARIABLE_PHASE = FALSE -- C_CLKOUT8_FREQ = 0 -- C_CLKOUT8_PHASE = 0 -- C_CLKOUT8_GROUP = NONE -- C_CLKOUT8_BUF = TRUE -- C_CLKOUT8_VARIABLE_PHASE = FALSE -- C_CLKOUT9_FREQ = 0 -- C_CLKOUT9_PHASE = 0 -- C_CLKOUT9_GROUP = NONE -- C_CLKOUT9_BUF = TRUE -- C_CLKOUT9_VARIABLE_PHASE = FALSE -- C_CLKOUT10_FREQ = 0 -- C_CLKOUT10_PHASE = 0 -- C_CLKOUT10_GROUP = NONE -- C_CLKOUT10_BUF = TRUE -- C_CLKOUT10_VARIABLE_PHASE = FALSE -- C_CLKOUT11_FREQ = 0 -- C_CLKOUT11_PHASE = 0 -- C_CLKOUT11_GROUP = NONE -- C_CLKOUT11_BUF = TRUE -- C_CLKOUT11_VARIABLE_PHASE = FALSE -- C_CLKOUT12_FREQ = 0 -- C_CLKOUT12_PHASE = 0 -- C_CLKOUT12_GROUP = NONE -- C_CLKOUT12_BUF = TRUE -- C_CLKOUT12_VARIABLE_PHASE = FALSE -- C_CLKOUT13_FREQ = 0 -- C_CLKOUT13_PHASE = 0 -- C_CLKOUT13_GROUP = NONE -- C_CLKOUT13_BUF = TRUE -- C_CLKOUT13_VARIABLE_PHASE = FALSE -- C_CLKOUT14_FREQ = 0 -- C_CLKOUT14_PHASE = 0 -- C_CLKOUT14_GROUP = NONE -- C_CLKOUT14_BUF = TRUE -- C_CLKOUT14_VARIABLE_PHASE = FALSE -- C_CLKOUT15_FREQ = 0 -- C_CLKOUT15_PHASE = 0 -- C_CLKOUT15_GROUP = NONE -- C_CLKOUT15_BUF = TRUE -- C_CLKOUT15_VARIABLE_PHASE = FALSE ---------------------------------------- -- C_CLKFBIN_FREQ = 0 -- C_CLKFBIN_DESKEW = NONE -- C_CLKFBOUT_FREQ = 0 -- C_CLKFBOUT_GROUP = NONE -- C_CLKFBOUT_BUF = TRUE ---------------------------------------- -- C_PSDONE_GROUP = NONE ------------------------------------------------------------------------------ -- Low level parameters ------------------------------------------------------------------------------ -- C_CLKOUT0_MODULE = PLL0 -- C_CLKOUT0_PORT = CLKOUT0B -- C_CLKOUT1_MODULE = NONE -- C_CLKOUT1_PORT = NONE -- C_CLKOUT2_MODULE = NONE -- C_CLKOUT2_PORT = NONE -- C_CLKOUT3_MODULE = NONE -- C_CLKOUT3_PORT = NONE -- C_CLKOUT4_MODULE = NONE -- C_CLKOUT4_PORT = NONE -- C_CLKOUT5_MODULE = NONE -- C_CLKOUT5_PORT = NONE -- C_CLKOUT6_MODULE = NONE -- C_CLKOUT6_PORT = NONE -- C_CLKOUT7_MODULE = NONE -- C_CLKOUT7_PORT = NONE -- C_CLKOUT8_MODULE = NONE -- C_CLKOUT8_PORT = NONE -- C_CLKOUT9_MODULE = NONE -- C_CLKOUT9_PORT = NONE -- C_CLKOUT10_MODULE = NONE -- C_CLKOUT10_PORT = NONE -- C_CLKOUT11_MODULE = NONE -- C_CLKOUT11_PORT = NONE -- C_CLKOUT12_MODULE = NONE -- C_CLKOUT12_PORT = NONE -- C_CLKOUT13_MODULE = NONE -- C_CLKOUT13_PORT = NONE -- C_CLKOUT14_MODULE = NONE -- C_CLKOUT14_PORT = NONE -- C_CLKOUT15_MODULE = NONE -- C_CLKOUT15_PORT = NONE ---------------------------------------- -- C_CLKFBOUT_MODULE = NONE -- C_CLKFBOUT_PORT = NONE -- C_CLKFBOUT_get_clkgen_dcm_default_params = NONE ---------------------------------------- -- C_PSDONE_MODULE = NONE ---------------------------------------- -- C_DCM0_DFS_FREQUENCY_MODE = "LOW" -- C_DCM0_DLL_FREQUENCY_MODE = "LOW" -- C_DCM0_DUTY_CYCLE_CORRECTION = true -- C_DCM0_CLKIN_DIVIDE_BY_2 = false -- C_DCM0_CLK_FEEDBACK = "1X" -- C_DCM0_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM0_DSS_MODE = "NONE" -- C_DCM0_STARTUP_WAIT = false -- C_DCM0_PHASE_SHIFT = 0 -- C_DCM0_CLKFX_MULTIPLY = 4 -- C_DCM0_CLKFX_DIVIDE = 1 -- C_DCM0_CLKDV_DIVIDE = 2.0 -- C_DCM0_CLKIN_PERIOD = 41.6666666 -- C_DCM0_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM0_CLKIN_BUF = false -- C_DCM0_CLKFB_BUF = false -- C_DCM0_CLK0_BUF = false -- C_DCM0_CLK90_BUF = false -- C_DCM0_CLK180_BUF = false -- C_DCM0_CLK270_BUF = false -- C_DCM0_CLKDV_BUF = false -- C_DCM0_CLK2X_BUF = false -- C_DCM0_CLK2X180_BUF = false -- C_DCM0_CLKFX_BUF = false -- C_DCM0_CLKFX180_BUF = false -- C_DCM0_EXT_RESET_HIGH = 1 -- C_DCM0_FAMILY = "spartan6" -- C_DCM0_CLKIN_MODULE = NONE -- C_DCM0_CLKIN_PORT = NONE -- C_DCM0_CLKFB_MODULE = NONE -- C_DCM0_CLKFB_PORT = NONE -- C_DCM0_RST_MODULE = NONE -- C_DCM1_DFS_FREQUENCY_MODE = "LOW" -- C_DCM1_DLL_FREQUENCY_MODE = "LOW" -- C_DCM1_DUTY_CYCLE_CORRECTION = true -- C_DCM1_CLKIN_DIVIDE_BY_2 = false -- C_DCM1_CLK_FEEDBACK = "1X" -- C_DCM1_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM1_DSS_MODE = "NONE" -- C_DCM1_STARTUP_WAIT = false -- C_DCM1_PHASE_SHIFT = 0 -- C_DCM1_CLKFX_MULTIPLY = 4 -- C_DCM1_CLKFX_DIVIDE = 1 -- C_DCM1_CLKDV_DIVIDE = 2.0 -- C_DCM1_CLKIN_PERIOD = 41.6666666 -- C_DCM1_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM1_CLKIN_BUF = false -- C_DCM1_CLKFB_BUF = false -- C_DCM1_CLK0_BUF = false -- C_DCM1_CLK90_BUF = false -- C_DCM1_CLK180_BUF = false -- C_DCM1_CLK270_BUF = false -- C_DCM1_CLKDV_BUF = false -- C_DCM1_CLK2X_BUF = false -- C_DCM1_CLK2X180_BUF = false -- C_DCM1_CLKFX_BUF = false -- C_DCM1_CLKFX180_BUF = false -- C_DCM1_EXT_RESET_HIGH = 1 -- C_DCM1_FAMILY = "spartan6" -- C_DCM1_CLKIN_MODULE = NONE -- C_DCM1_CLKIN_PORT = NONE -- C_DCM1_CLKFB_MODULE = NONE -- C_DCM1_CLKFB_PORT = NONE -- C_DCM1_RST_MODULE = NONE -- C_DCM2_DFS_FREQUENCY_MODE = "LOW" -- C_DCM2_DLL_FREQUENCY_MODE = "LOW" -- C_DCM2_DUTY_CYCLE_CORRECTION = true -- C_DCM2_CLKIN_DIVIDE_BY_2 = false -- C_DCM2_CLK_FEEDBACK = "1X" -- C_DCM2_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM2_DSS_MODE = "NONE" -- C_DCM2_STARTUP_WAIT = false -- C_DCM2_PHASE_SHIFT = 0 -- C_DCM2_CLKFX_MULTIPLY = 4 -- C_DCM2_CLKFX_DIVIDE = 1 -- C_DCM2_CLKDV_DIVIDE = 2.0 -- C_DCM2_CLKIN_PERIOD = 41.6666666 -- C_DCM2_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM2_CLKIN_BUF = false -- C_DCM2_CLKFB_BUF = false -- C_DCM2_CLK0_BUF = false -- C_DCM2_CLK90_BUF = false -- C_DCM2_CLK180_BUF = false -- C_DCM2_CLK270_BUF = false -- C_DCM2_CLKDV_BUF = false -- C_DCM2_CLK2X_BUF = false -- C_DCM2_CLK2X180_BUF = false -- C_DCM2_CLKFX_BUF = false -- C_DCM2_CLKFX180_BUF = false -- C_DCM2_EXT_RESET_HIGH = 1 -- C_DCM2_FAMILY = "spartan6" -- C_DCM2_CLKIN_MODULE = NONE -- C_DCM2_CLKIN_PORT = NONE -- C_DCM2_CLKFB_MODULE = NONE -- C_DCM2_CLKFB_PORT = NONE -- C_DCM2_RST_MODULE = NONE -- C_DCM3_DFS_FREQUENCY_MODE = "LOW" -- C_DCM3_DLL_FREQUENCY_MODE = "LOW" -- C_DCM3_DUTY_CYCLE_CORRECTION = true -- C_DCM3_CLKIN_DIVIDE_BY_2 = false -- C_DCM3_CLK_FEEDBACK = "1X" -- C_DCM3_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM3_DSS_MODE = "NONE" -- C_DCM3_STARTUP_WAIT = false -- C_DCM3_PHASE_SHIFT = 0 -- C_DCM3_CLKFX_MULTIPLY = 4 -- C_DCM3_CLKFX_DIVIDE = 1 -- C_DCM3_CLKDV_DIVIDE = 2.0 -- C_DCM3_CLKIN_PERIOD = 41.6666666 -- C_DCM3_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM3_CLKIN_BUF = false -- C_DCM3_CLKFB_BUF = false -- C_DCM3_CLK0_BUF = false -- C_DCM3_CLK90_BUF = false -- C_DCM3_CLK180_BUF = false -- C_DCM3_CLK270_BUF = false -- C_DCM3_CLKDV_BUF = false -- C_DCM3_CLK2X_BUF = false -- C_DCM3_CLK2X180_BUF = false -- C_DCM3_CLKFX_BUF = false -- C_DCM3_CLKFX180_BUF = false -- C_DCM3_EXT_RESET_HIGH = 1 -- C_DCM3_FAMILY = "spartan6" -- C_DCM3_CLKIN_MODULE = NONE -- C_DCM3_CLKIN_PORT = NONE -- C_DCM3_CLKFB_MODULE = NONE -- C_DCM3_CLKFB_PORT = NONE -- C_DCM3_RST_MODULE = NONE ---------------------------------------- -- C_PLL0_BANDWIDTH = "OPTIMIZED" -- C_PLL0_CLKFBOUT_MULT = 10 -- C_PLL0_CLKFBOUT_PHASE = 0.0 -- C_PLL0_CLKIN1_PERIOD = 10.000000 -- C_PLL0_CLKOUT0_DIVIDE = 20 -- C_PLL0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT0_PHASE = 0.0000 -- C_PLL0_CLKOUT1_DIVIDE = 1 -- C_PLL0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT1_PHASE = 0.0 -- C_PLL0_CLKOUT2_DIVIDE = 1 -- C_PLL0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT2_PHASE = 0.0 -- C_PLL0_CLKOUT3_DIVIDE = 1 -- C_PLL0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT3_PHASE = 0.0 -- C_PLL0_CLKOUT4_DIVIDE = 1 -- C_PLL0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT4_PHASE = 0.0 -- C_PLL0_CLKOUT5_DIVIDE = 1 -- C_PLL0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT5_PHASE = 0.0 -- C_PLL0_COMPENSATION = "SYSTEM_SYNCHRONOUS" -- C_PLL0_DIVCLK_DIVIDE = 1 -- C_PLL0_REF_JITTER = 0.100 -- C_PLL0_RESET_ON_LOSS_OF_LOCK = false -- C_PLL0_RST_DEASSERT_CLK = "CLKIN1" -- C_PLL0_CLKOUT0_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT1_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT2_DESKEW_ADJUST = "PPC" -- C_PLL0_CLKOUT3_DESKEW_ADJUST = "PPC" -- C_PLL0_CLKOUT4_DESKEW_ADJUST = "PPC" -- C_PLL0_CLKOUT5_DESKEW_ADJUST = "PPC" -- C_PLL0_CLKFBOUT_DESKEW_ADJUST = "PPC" -- C_PLL0_CLKIN1_BUF = false -- C_PLL0_CLKFBOUT_BUF = TRUE -- C_PLL0_CLKOUT0_BUF = TRUE -- C_PLL0_CLKOUT1_BUF = false -- C_PLL0_CLKOUT2_BUF = false -- C_PLL0_CLKOUT3_BUF = false -- C_PLL0_CLKOUT4_BUF = false -- C_PLL0_CLKOUT5_BUF = false -- C_PLL0_EXT_RESET_HIGH = 1 -- C_PLL0_FAMILY = "spartan6" -- C_PLL0_CLKIN1_MODULE = CLKGEN -- C_PLL0_CLKIN1_PORT = CLKIN -- C_PLL0_CLKFBIN_MODULE = PLL0 -- C_PLL0_CLKFBIN_PORT = CLKFBOUT -- C_PLL0_RST_MODULE = CLKGEN -- C_PLL1_BANDWIDTH = "OPTIMIZED" -- C_PLL1_CLKFBOUT_MULT = 1 -- C_PLL1_CLKFBOUT_PHASE = 0.0 -- C_PLL1_CLKIN1_PERIOD = 0.000 -- C_PLL1_CLKOUT0_DIVIDE = 1 -- C_PLL1_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT0_PHASE = 0.0 -- C_PLL1_CLKOUT1_DIVIDE = 1 -- C_PLL1_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT1_PHASE = 0.0 -- C_PLL1_CLKOUT2_DIVIDE = 1 -- C_PLL1_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT2_PHASE = 0.0 -- C_PLL1_CLKOUT3_DIVIDE = 1 -- C_PLL1_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT3_PHASE = 0.0 -- C_PLL1_CLKOUT4_DIVIDE = 1 -- C_PLL1_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT4_PHASE = 0.0 -- C_PLL1_CLKOUT5_DIVIDE = 1 -- C_PLL1_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT5_PHASE = 0.0 -- C_PLL1_COMPENSATION = "SYSTEM_SYNCHRONOUS" -- C_PLL1_DIVCLK_DIVIDE = 1 -- C_PLL1_REF_JITTER = 0.100 -- C_PLL1_RESET_ON_LOSS_OF_LOCK = false -- C_PLL1_RST_DEASSERT_CLK = "CLKIN1" -- C_PLL1_CLKOUT0_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT1_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT2_DESKEW_ADJUST = "PPC" -- C_PLL1_CLKOUT3_DESKEW_ADJUST = "PPC" -- C_PLL1_CLKOUT4_DESKEW_ADJUST = "PPC" -- C_PLL1_CLKOUT5_DESKEW_ADJUST = "PPC" -- C_PLL1_CLKFBOUT_DESKEW_ADJUST = "PPC" -- C_PLL1_CLKIN1_BUF = false -- C_PLL1_CLKFBOUT_BUF = false -- C_PLL1_CLKOUT0_BUF = false -- C_PLL1_CLKOUT1_BUF = false -- C_PLL1_CLKOUT2_BUF = false -- C_PLL1_CLKOUT3_BUF = false -- C_PLL1_CLKOUT4_BUF = false -- C_PLL1_CLKOUT5_BUF = false -- C_PLL1_EXT_RESET_HIGH = 1 -- C_PLL1_FAMILY = "spartan6" -- C_PLL1_CLKIN1_MODULE = NONE -- C_PLL1_CLKIN1_PORT = NONE -- C_PLL1_CLKFBIN_MODULE = NONE -- C_PLL1_CLKFBIN_PORT = NONE -- C_PLL1_RST_MODULE = NONE ---------------------------------------- -- C_MMCM0_BANDWIDTH = "OPTIMIZED" -- C_MMCM0_CLKFBOUT_MULT_F = 1.0 -- C_MMCM0_CLKFBOUT_PHASE = 0.0 -- C_MMCM0_CLKFBOUT_USE_FINE_PS = false -- C_MMCM0_CLKIN1_PERIOD = 0.000 -- C_MMCM0_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT0_PHASE = 0.0 -- C_MMCM0_CLKOUT1_DIVIDE = 1 -- C_MMCM0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT1_PHASE = 0.0 -- C_MMCM0_CLKOUT2_DIVIDE = 1 -- C_MMCM0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT2_PHASE = 0.0 -- C_MMCM0_CLKOUT3_DIVIDE = 1 -- C_MMCM0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT3_PHASE = 0.0 -- C_MMCM0_CLKOUT4_DIVIDE = 1 -- C_MMCM0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT4_PHASE = 0.0 -- C_MMCM0_CLKOUT4_CASCADE = false -- C_MMCM0_CLKOUT5_DIVIDE = 1 -- C_MMCM0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT5_PHASE = 0.0 -- C_MMCM0_CLKOUT6_DIVIDE = 1 -- C_MMCM0_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT6_PHASE = 0.0 -- C_MMCM0_CLKOUT0_USE_FINE_PS = false -- C_MMCM0_CLKOUT1_USE_FINE_PS = false -- C_MMCM0_CLKOUT2_USE_FINE_PS = false -- C_MMCM0_CLKOUT3_USE_FINE_PS = false -- C_MMCM0_CLKOUT4_USE_FINE_PS = false -- C_MMCM0_CLKOUT5_USE_FINE_PS = false -- C_MMCM0_CLKOUT6_USE_FINE_PS = false -- C_MMCM0_COMPENSATION = "ZHOLD" -- C_MMCM0_DIVCLK_DIVIDE = 1 -- C_MMCM0_REF_JITTER1 = 0.010 -- C_MMCM0_CLKIN1_BUF = false -- C_MMCM0_CLKFBOUT_BUF = false -- C_MMCM0_CLKOUT0_BUF = false -- C_MMCM0_CLKOUT1_BUF = false -- C_MMCM0_CLKOUT2_BUF = false -- C_MMCM0_CLKOUT3_BUF = false -- C_MMCM0_CLKOUT4_BUF = false -- C_MMCM0_CLKOUT5_BUF = false -- C_MMCM0_CLKOUT6_BUF = false -- C_MMCM0_CLOCK_HOLD = false -- C_MMCM0_STARTUP_WAIT = false -- C_MMCM0_EXT_RESET_HIGH = 1 -- C_MMCM0_FAMILY = "spartan6" -- C_MMCM0_CLKIN1_MODULE = NONE -- C_MMCM0_CLKIN1_PORT = NONE -- C_MMCM0_CLKFBIN_MODULE = NONE -- C_MMCM0_CLKFBIN_PORT = NONE -- C_MMCM0_RST_MODULE = NONE -- C_MMCM1_BANDWIDTH = "OPTIMIZED" -- C_MMCM1_CLKFBOUT_MULT_F = 1.0 -- C_MMCM1_CLKFBOUT_PHASE = 0.0 -- C_MMCM1_CLKFBOUT_USE_FINE_PS = false -- C_MMCM1_CLKIN1_PERIOD = 0.000 -- C_MMCM1_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM1_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT0_PHASE = 0.0 -- C_MMCM1_CLKOUT1_DIVIDE = 1 -- C_MMCM1_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT1_PHASE = 0.0 -- C_MMCM1_CLKOUT2_DIVIDE = 1 -- C_MMCM1_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT2_PHASE = 0.0 -- C_MMCM1_CLKOUT3_DIVIDE = 1 -- C_MMCM1_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT3_PHASE = 0.0 -- C_MMCM1_CLKOUT4_DIVIDE = 1 -- C_MMCM1_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT4_PHASE = 0.0 -- C_MMCM1_CLKOUT4_CASCADE = false -- C_MMCM1_CLKOUT5_DIVIDE = 1 -- C_MMCM1_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT5_PHASE = 0.0 -- C_MMCM1_CLKOUT6_DIVIDE = 1 -- C_MMCM1_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT6_PHASE = 0.0 -- C_MMCM1_CLKOUT0_USE_FINE_PS = false -- C_MMCM1_CLKOUT1_USE_FINE_PS = false -- C_MMCM1_CLKOUT2_USE_FINE_PS = false -- C_MMCM1_CLKOUT3_USE_FINE_PS = false -- C_MMCM1_CLKOUT4_USE_FINE_PS = false -- C_MMCM1_CLKOUT5_USE_FINE_PS = false -- C_MMCM1_CLKOUT6_USE_FINE_PS = false -- C_MMCM1_COMPENSATION = "ZHOLD" -- C_MMCM1_DIVCLK_DIVIDE = 1 -- C_MMCM1_REF_JITTER1 = 0.010 -- C_MMCM1_CLKIN1_BUF = false -- C_MMCM1_CLKFBOUT_BUF = false -- C_MMCM1_CLKOUT0_BUF = false -- C_MMCM1_CLKOUT1_BUF = false -- C_MMCM1_CLKOUT2_BUF = false -- C_MMCM1_CLKOUT3_BUF = false -- C_MMCM1_CLKOUT4_BUF = false -- C_MMCM1_CLKOUT5_BUF = false -- C_MMCM1_CLKOUT6_BUF = false -- C_MMCM1_CLOCK_HOLD = false -- C_MMCM1_STARTUP_WAIT = false -- C_MMCM1_EXT_RESET_HIGH = 1 -- C_MMCM1_FAMILY = "spartan6" -- C_MMCM1_CLKIN1_MODULE = NONE -- C_MMCM1_CLKIN1_PORT = NONE -- C_MMCM1_CLKFBIN_MODULE = NONE -- C_MMCM1_CLKFBIN_PORT = NONE -- C_MMCM1_RST_MODULE = NONE -- C_MMCM2_BANDWIDTH = "OPTIMIZED" -- C_MMCM2_CLKFBOUT_MULT_F = 1.0 -- C_MMCM2_CLKFBOUT_PHASE = 0.0 -- C_MMCM2_CLKFBOUT_USE_FINE_PS = false -- C_MMCM2_CLKIN1_PERIOD = 0.000 -- C_MMCM2_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM2_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT0_PHASE = 0.0 -- C_MMCM2_CLKOUT1_DIVIDE = 1 -- C_MMCM2_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT1_PHASE = 0.0 -- C_MMCM2_CLKOUT2_DIVIDE = 1 -- C_MMCM2_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT2_PHASE = 0.0 -- C_MMCM2_CLKOUT3_DIVIDE = 1 -- C_MMCM2_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT3_PHASE = 0.0 -- C_MMCM2_CLKOUT4_DIVIDE = 1 -- C_MMCM2_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT4_PHASE = 0.0 -- C_MMCM2_CLKOUT4_CASCADE = false -- C_MMCM2_CLKOUT5_DIVIDE = 1 -- C_MMCM2_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT5_PHASE = 0.0 -- C_MMCM2_CLKOUT6_DIVIDE = 1 -- C_MMCM2_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT6_PHASE = 0.0 -- C_MMCM2_CLKOUT0_USE_FINE_PS = false -- C_MMCM2_CLKOUT1_USE_FINE_PS = false -- C_MMCM2_CLKOUT2_USE_FINE_PS = false -- C_MMCM2_CLKOUT3_USE_FINE_PS = false -- C_MMCM2_CLKOUT4_USE_FINE_PS = false -- C_MMCM2_CLKOUT5_USE_FINE_PS = false -- C_MMCM2_CLKOUT6_USE_FINE_PS = false -- C_MMCM2_COMPENSATION = "ZHOLD" -- C_MMCM2_DIVCLK_DIVIDE = 1 -- C_MMCM2_REF_JITTER1 = 0.010 -- C_MMCM2_CLKIN1_BUF = false -- C_MMCM2_CLKFBOUT_BUF = false -- C_MMCM2_CLKOUT0_BUF = false -- C_MMCM2_CLKOUT1_BUF = false -- C_MMCM2_CLKOUT2_BUF = false -- C_MMCM2_CLKOUT3_BUF = false -- C_MMCM2_CLKOUT4_BUF = false -- C_MMCM2_CLKOUT5_BUF = false -- C_MMCM2_CLKOUT6_BUF = false -- C_MMCM2_CLOCK_HOLD = false -- C_MMCM2_STARTUP_WAIT = false -- C_MMCM2_EXT_RESET_HIGH = 1 -- C_MMCM2_FAMILY = "spartan6" -- C_MMCM2_CLKIN1_MODULE = NONE -- C_MMCM2_CLKIN1_PORT = NONE -- C_MMCM2_CLKFBIN_MODULE = NONE -- C_MMCM2_CLKFBIN_PORT = NONE -- C_MMCM2_RST_MODULE = NONE -- C_MMCM3_BANDWIDTH = "OPTIMIZED" -- C_MMCM3_CLKFBOUT_MULT_F = 1.0 -- C_MMCM3_CLKFBOUT_PHASE = 0.0 -- C_MMCM3_CLKFBOUT_USE_FINE_PS = false -- C_MMCM3_CLKIN1_PERIOD = 0.000 -- C_MMCM3_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM3_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT0_PHASE = 0.0 -- C_MMCM3_CLKOUT1_DIVIDE = 1 -- C_MMCM3_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT1_PHASE = 0.0 -- C_MMCM3_CLKOUT2_DIVIDE = 1 -- C_MMCM3_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT2_PHASE = 0.0 -- C_MMCM3_CLKOUT3_DIVIDE = 1 -- C_MMCM3_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT3_PHASE = 0.0 -- C_MMCM3_CLKOUT4_DIVIDE = 1 -- C_MMCM3_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT4_PHASE = 0.0 -- C_MMCM3_CLKOUT4_CASCADE = false -- C_MMCM3_CLKOUT5_DIVIDE = 1 -- C_MMCM3_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT5_PHASE = 0.0 -- C_MMCM3_CLKOUT6_DIVIDE = 1 -- C_MMCM3_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT6_PHASE = 0.0 -- C_MMCM3_CLKOUT0_USE_FINE_PS = false -- C_MMCM3_CLKOUT1_USE_FINE_PS = false -- C_MMCM3_CLKOUT2_USE_FINE_PS = false -- C_MMCM3_CLKOUT3_USE_FINE_PS = false -- C_MMCM3_CLKOUT4_USE_FINE_PS = false -- C_MMCM3_CLKOUT5_USE_FINE_PS = false -- C_MMCM3_CLKOUT6_USE_FINE_PS = false -- C_MMCM3_COMPENSATION = "ZHOLD" -- C_MMCM3_DIVCLK_DIVIDE = 1 -- C_MMCM3_REF_JITTER1 = 0.010 -- C_MMCM3_CLKIN1_BUF = false -- C_MMCM3_CLKFBOUT_BUF = false -- C_MMCM3_CLKOUT0_BUF = false -- C_MMCM3_CLKOUT1_BUF = false -- C_MMCM3_CLKOUT2_BUF = false -- C_MMCM3_CLKOUT3_BUF = false -- C_MMCM3_CLKOUT4_BUF = false -- C_MMCM3_CLKOUT5_BUF = false -- C_MMCM3_CLKOUT6_BUF = false -- C_MMCM3_CLOCK_HOLD = false -- C_MMCM3_STARTUP_WAIT = false -- C_MMCM3_EXT_RESET_HIGH = 1 -- C_MMCM3_FAMILY = "spartan6" -- C_MMCM3_CLKIN1_MODULE = NONE -- C_MMCM3_CLKIN1_PORT = NONE -- C_MMCM3_CLKFBIN_MODULE = NONE -- C_MMCM3_CLKFBIN_PORT = NONE -- C_MMCM3_RST_MODULE = NONE ---------------------------------------- -- C_PLLE0_BANDWIDTH = "OPTIMIZED" -- C_PLLE0_CLKFBOUT_MULT = 1 -- C_PLLE0_CLKFBOUT_PHASE = 0.0 -- C_PLLE0_CLKIN1_PERIOD = 0.000 -- C_PLLE0_CLKOUT0_DIVIDE = 1 -- C_PLLE0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT0_PHASE = 0.0 -- C_PLLE0_CLKOUT1_DIVIDE = 1 -- C_PLLE0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT1_PHASE = 0.0 -- C_PLLE0_CLKOUT2_DIVIDE = 1 -- C_PLLE0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT2_PHASE = 0.0 -- C_PLLE0_CLKOUT3_DIVIDE = 1 -- C_PLLE0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT3_PHASE = 0.0 -- C_PLLE0_CLKOUT4_DIVIDE = 1 -- C_PLLE0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT4_PHASE = 0.0 -- C_PLLE0_CLKOUT5_DIVIDE = 1 -- C_PLLE0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT5_PHASE = 0.0 -- C_PLLE0_COMPENSATION = "ZHOLD" -- C_PLLE0_DIVCLK_DIVIDE = 1 -- C_PLLE0_REF_JITTER1 = 0.010 -- C_PLLE0_CLKIN1_BUF = false -- C_PLLE0_CLKFBOUT_BUF = false -- C_PLLE0_CLKOUT0_BUF = false -- C_PLLE0_CLKOUT1_BUF = false -- C_PLLE0_CLKOUT2_BUF = false -- C_PLLE0_CLKOUT3_BUF = false -- C_PLLE0_CLKOUT4_BUF = false -- C_PLLE0_CLKOUT5_BUF = false -- C_PLLE0_STARTUP_WAIT = "false" -- C_PLLE0_EXT_RESET_HIGH = 1 -- C_PLLE0_FAMILY = "virtex7" -- C_PLLE0_CLKIN1_MODULE = NONE -- C_PLLE0_CLKIN1_PORT = NONE -- C_PLLE0_CLKFBIN_MODULE = NONE -- C_PLLE0_CLKFBIN_PORT = NONE -- C_PLLE0_RST_MODULE = NONE ----------------------------------------
------------------------------------------------------------------------------ --! Copyright (C) 2009 , Olivier Girard -- --! Redistribution and use in source and binary forms, with or without --! modification, are permitted provided that the following conditions --! are met: --! * Redistributions of source code must retain the above copyright --! notice, this list of conditions and the following disclaimer. --! * Redistributions in binary form must reproduce the above copyright --! notice, this list of conditions and the following disclaimer in the --! documentation and/or other materials provided with the distribution. --! * Neither the name of the authors nor the names of its contributors --! may be used to endorse or promote products derived from this software --! without specific prior written permission. -- --! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE --! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE --! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE --! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, --! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF --! THE POSSIBILITY OF SUCH DAMAGE -- ------------------------------------------------------------------------------ -- --! @file fmsp_frontend.vhd --! --! @brief fpgaMSP430 Instruction fetch and decode unit -- --! @author Olivier Girard, [email protected] --! @author Emmanuel Amadio, [email protected] (VHDL Rewrite) -- ------------------------------------------------------------------------------ --! @version 1 --! @date: 2017-04-21 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.fmsp_core_package.all; use work.fmsp_functions.all; entity fmsp_frontend is generic ( CPUOFF_EN : boolean := false; --! Wakeup condition from DMA interface DMA_IF_EN : boolean := false; --! Wakeup condition from DMA interface IRQ_nr : integer := 16 --! Number of IRQs ); port ( mclk : in std_logic; --! Main system clock mrst : in std_logic; --! Main system reset --! INPUTs cpu_en_s : in std_logic; --! Enable CPU code execution (synchronous) cpu_halt_cmd : in std_logic; --! Halt CPU command cpuoff : in std_logic; --! Turns off the CPU dbg_reg_sel : in std_logic_vector(3 downto 0); --! Debug selected register for rd/wr access dma_en : in std_logic; --! Direct Memory Access enable (high active) fe_pmem_wait : in std_logic; --! Frontend wait for Instruction fetch gie : in std_logic; --! General interrupt enable irq : in std_logic_vector((IRQ_nr-3) downto 0); --! Maskable interrupts mdb_in : in std_logic_vector(15 downto 0); --! Frontend Memory data bus input nmi_pnd : in std_logic; --! Non-maskable interrupt pending nmi_wkup : in std_logic; --! NMI Wakeup pc_sw : in std_logic_vector(15 downto 0); --! Program counter software value pc_sw_wr : in std_logic; --! Program counter software write wdt_irq : in std_logic; --! Watchdog-timer interrupt wdt_wkup : in std_logic; --! Watchdog Wakeup --! OUTPUTs cpu_halt_st : out std_logic; --! Halt/Run status from CPU decode_noirq : out std_logic; --! Frontend decode instruction e_state : out std_logic_vector(3 downto 0); --! Execution state exec_done : out std_logic; --! Execution completed inst_ad : out std_logic_vector(7 downto 0); --! Decoded Inst: destination addressing mode inst_as : out std_logic_vector(7 downto 0); --! Decoded Inst: source addressing mode inst_alu : out std_logic_vector(11 downto 0); --! ALU control signals inst_bw : out std_logic; --! Decoded Inst: byte width inst_dest : out std_logic_vector(15 downto 0); --! Decoded Inst: destination (one hot) inst_dext : out std_logic_vector(15 downto 0); --! Decoded Inst: destination extended instruction word inst_irq_rst : out std_logic; --! Decoded Inst: Reset interrupt inst_jmp : out std_logic_vector(7 downto 0); --! Decoded Inst: Conditional jump inst_mov : out std_logic; --! Decoded Inst: mov instruction inst_sext : out std_logic_vector(15 downto 0); --! Decoded Inst: source extended instruction word inst_so : out std_logic_vector(7 downto 0); --! Decoded Inst: Single-operand arithmetic inst_src : out std_logic_vector(15 downto 0); --! Decoded Inst: source (one hot) inst_type : out std_logic_vector(2 downto 0); --! Decoded Instruction type irq_acc : out std_logic_vector(13 downto 0); --! Interrupt request accepted (one-hot signal) mab : out std_logic_vector(15 downto 0); --! Frontend Memory address bus mb_en : out std_logic; --! Frontend Memory bus enable -- mclk_dma_enable : out std_logic; --! DMA Sub-System Clock enable -- mclk_dma_wkup : out std_logic; --! DMA Sub-System Clock wake-up (asynchronous) -- mclk_enable : out std_logic; --! Main System Clock enable -- mclk_wkup : out std_logic; --! Main System Clock wake-up (asynchronous) nmi_acc : out std_logic; --! Non-Maskable interrupt request accepted pc : out std_logic_vector(15 downto 0); --! Program counter pc_nxt : out std_logic_vector(15 downto 0) --! Next PC value (for CALL & IRQ) ); end entity fmsp_frontend; architecture RTL of fmsp_frontend is -- constant SUMEXT_D : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(SUMEXT,3)); --! Instructions type constant C_INST_SO : integer := 0; constant C_INST_JMP : integer := 1; constant C_INST_TO : integer := 2; --! Single-operand arithmetic constant C_RRC : integer := 0; constant C_SWPB : integer := 1; constant C_RRA : integer := 2; constant C_SXT : integer := 3; constant C_PUSH : integer := 4; constant C_CALL : integer := 5; constant C_RETI : integer := 6; constant C_IRQ : integer := 7; --! Conditional jump constant C_JNE : integer := 0; constant C_JEQ : integer := 1; constant C_JNC : integer := 2; constant C_JC : integer := 3; constant C_JN : integer := 4; constant C_JGE : integer := 5; constant C_JL : integer := 6; constant C_JMP : integer := 7; --! Two-operand arithmetic constant C_MOV : integer := 0; constant C_ADD : integer := 1; constant C_ADDC : integer := 2; constant C_SUBC : integer := 3; constant C_SUB : integer := 4; constant C_CMP : integer := 5; constant C_DADD : integer := 6; constant C_BIT : integer := 7; constant C_BIC : integer := 8; constant C_BIS : integer := 9; constant C_XOR : integer := 10; constant C_AND : integer := 11; --! Addressing modes constant C_DIR : integer := 0; constant C_IDX : integer := 1; constant C_INDIR : integer := 2; constant C_INDIR_I : integer := 3; constant C_SYMB : integer := 4; constant C_IMM : integer := 5; constant C_ABS : integer := 6; constant C_CONST : integer := 7; type fmsp_frontend_in_type is record cpu_en_s : std_logic; --! Enable CPU code execution (synchronous) cpu_halt_cmd : std_logic; --! Halt CPU command cpuoff : std_logic; --! Turns off the CPU dbg_reg_sel : std_logic_vector(3 downto 0); --! Debug selected register for rd/wr access dma_en : std_logic; --! Direct Memory Access enable (high active) fe_pmem_wait : std_logic; --! Frontend wait for Instruction fetch gie : std_logic; --! General interrupt enable irq : std_logic_vector((IRQ_nr-3) downto 0); --! Maskable interrupts mdb_in : std_logic_vector(15 downto 0); --! Frontend Memory data bus input nmi_pnd : std_logic; --! Non-maskable interrupt pending nmi_wkup : std_logic; --! NMI Wakeup pc_sw : std_logic_vector(15 downto 0); --! Program counter software value pc_sw_wr : std_logic; --! Program counter software write wdt_irq : std_logic; --! Watchdog-timer interrupt wdt_wkup : std_logic; --! Watchdog Wakeup end record; type reg_type is record i_state : std_logic_vector(2 downto 0); i_state_nxt : std_logic_vector(2 downto 0); inst_sz : std_logic_vector(1 downto 0); sconst_nxt : std_logic_vector(15 downto 0); e_state_nxt : std_logic_vector(3 downto 0); cpu_halt_st : std_logic; --! Debug interface cpu status inst_nmi : std_logic; --! Detect nmi interrupt inst_irq_rst : std_logic; --! Detect reset interrupt irq_num : std_logic_vector(5 downto 0); --! Select interrupt vector pc : std_logic_vector(15 downto 0); --! Program counter pmem_busy : std_logic; --! Check if ROM has been busy in order to retry ROM access inst_sext : std_logic_vector(15 downto 0); --! Store source extension word inst_dext : std_logic_vector(15 downto 0); --! Store destination extension word inst_type : std_logic_vector(2 downto 0); inst_so : std_logic_vector(7 downto 0); inst_jmp_bin : std_logic_vector(2 downto 0); inst_mov : std_logic; inst_dest_bin : std_logic_vector(3 downto 0); --! Destination register inst_src_bin : std_logic_vector(3 downto 0); --! Source register inst_as_nxt : std_logic_vector(12 downto 0); inst_as : std_logic_vector(7 downto 0); inst_ad_nxt : std_logic_vector(7 downto 0); inst_ad : std_logic_vector(7 downto 0); inst_bw : std_logic; --! Operation size e_state : std_logic_vector(3 downto 0); --! State machine registers exec_jmp : std_logic; exec_dst_wr : std_logic; exec_src_wr : std_logic; exec_dext_rdy : std_logic; inst_alu : std_logic_vector(11 downto 0); end record; signal d : fmsp_frontend_in_type; signal r : reg_type := ( i_state => "000", i_state_nxt => "000", inst_sz => "00", sconst_nxt => x"0000", e_state_nxt => "0000", cpu_halt_st => '0', --! Debug interface cpu status inst_nmi => '0', --! Detect nmi interrupt inst_irq_rst => '1', --! Detect reset interrupt irq_num => "111111", --! Select interrupt vector pc => x"0000", --! Program counter pmem_busy => '0', --! Check if ROM has been busy in order to retry ROM access inst_sext => x"0000", --! Store source extension word inst_dext => x"0000", --! Store destination extension word inst_type => "000", inst_so => x"00", inst_jmp_bin => "000", inst_mov => '0', inst_dest_bin => "0000", --! Destination register inst_src_bin => "0000", --! Source register inst_as_nxt => "0000000000000", inst_as => x"00", inst_ad_nxt => x"00", inst_ad => x"00", inst_bw => '0', --! Operation size e_state => "0000", --! State machine registers exec_jmp => '0', exec_dst_wr => '0', exec_src_wr => '0', exec_dext_rdy => '0', inst_alu => x"000" ); signal rin : reg_type; begin d.cpu_en_s <= cpu_en_s; d.cpu_halt_cmd <= cpu_halt_cmd; d.cpuoff <= cpuoff; d.dbg_reg_sel <= dbg_reg_sel; d.dma_en <= dma_en; d.fe_pmem_wait <= fe_pmem_wait; d.gie <= gie; d.irq <= irq; d.mdb_in <= mdb_in; d.nmi_pnd <= nmi_pnd; d.nmi_wkup <= nmi_wkup; d.pc_sw <= pc_sw; d.pc_sw_wr <= pc_sw_wr; d.wdt_irq <= wdt_irq; d.wdt_wkup <= wdt_wkup; COMB : process (d, r) variable v : reg_type; variable v_ir : std_logic_vector(15 downto 0); variable v_inst_sz_nxt : std_logic_vector(1 downto 0); variable v_irq_detect : std_logic; variable v_inst_type_nxt : std_logic_vector(2 downto 0); variable v_is_const : std_logic; variable v_cpu_halt_req : std_logic; --! Utility signals variable v_decode_noirq : std_logic; variable v_decode : std_logic; variable v_fetch : std_logic; variable v_irq_addr : std_logic_vector(15 downto 0); --! Interrupt request accepted variable v_irq_all : std_logic_vector(62 downto 0); variable v_irq_acc_all : std_logic_vector(63 downto 0); variable v_irq_acc : std_logic_vector((IRQ_nr-3) downto 0);--! is v_irq_acc_all(13 downto 0); variable v_nmi_acc : std_logic;--! is v_irq_acc_all(14); --! Compute next PC value variable v_pc_incr : std_logic_vector(15 downto 0); variable v_pc_nxt : std_logic_vector(15 downto 0); --! Memory interface variable v_mab : std_logic_vector(15 downto 0); variable v_mb_en : std_logic; --! Instruction register --variable v_ir : std_logic_vector(15 downto 0); --! Detect if source extension word is required variable v_is_sext : std_logic; --! Detect if destination extension word is required -- variable v_is_dext : std_logic; --! For the Symbolic addressing mode, add -2 to the extension word in order to make up for the PC address variable v_ext_incr : std_logic_vector(15 downto 0); --! Source extension word is ready variable v_inst_sext_rdy : std_logic; --! Destination extension word is ready variable v_inst_dext_rdy : std_logic; variable v_inst_so_nxt : std_logic_vector(7 downto 0); variable v_inst_jmp : std_logic_vector(7 downto 0); variable v_inst_to_1hot : std_logic_vector(15 downto 0); variable v_inst_to_nxt : std_logic_vector(11 downto 0); variable v_inst_dest : std_logic_vector(15 downto 0); variable v_inst_src : std_logic_vector(15 downto 0); variable v_src_reg : std_logic_vector(3 downto 0); variable v_dest_reg : std_logic_vector(3 downto 0); variable v_src_acalc_pre : std_logic; variable v_src_rd_pre : std_logic; variable v_dst_acalc_pre : std_logic; variable v_dst_acalc : std_logic; variable v_dst_rd_pre : std_logic; variable v_dst_rd : std_logic; variable v_inst_branch : std_logic; --! Execution first state variable v_e_first_state : std_logic_vector(3 downto 0); variable v_exec_done : std_logic; variable v_alu_src_inv : std_logic; variable v_alu_inc : std_logic; variable v_alu_inc_c : std_logic; variable v_alu_add : std_logic; variable v_alu_and : std_logic; variable v_alu_or : std_logic; variable v_alu_xor : std_logic; variable v_alu_dadd : std_logic; variable v_alu_stat_7 : std_logic; variable v_alu_stat_f : std_logic; variable v_alu_shift : std_logic; variable v_exec_no_wr : std_logic; -- --! 3) FRONTEND STATE MACHINE -- --! The variable v_"conv" is used as state bits to calculate the next response -- variable v_inst_sz_nxt : std_logic_vector(1 downto 0); -- variable v_irq_detect : std_logic; -- variable v_inst_type_nxt : std_logic_vector(2 downto 0); -- variable v_is_const : std_logic; -- --! CPU on/off through the debug interface or cpu_en port -- variable v_cpu_halt_req : std_logic; -- --! Utility signals -- variable v_decode_noirq : std_logic; -- variable v_decode : std_logic; -- variable v_fetch : std_logic; -- --! 4) INTERRUPT HANDLING -- variable v_irq_addr : std_logic_vector(15 downto 0); -- --! Interrupt request accepted -- variable v_irq_acc_all : std_logic_vector(15 downto 0); -- alias v_irq_acc : std_logic_vector(13 downto 0) is irq_acc_all(13 downto 0); -- alias v_nmi_acc : std_logic is irq_acc_all(14); -- --! Compute next PC value -- variable v_pc_incr : std_logic_vector(15 downto 0); -- variable v_pc_nxt : std_logic_vector(15 downto 0); -- --! Memory interface -- alias v_mab : std_logic_vector(15 downto 0) is v_pc_nxtr(15 downto 0); -- variable v_mb_en : std_logic; -- --! 5.2) INSTRUCTION REGISTER -- --! Instruction register -- alias v_ir : std_logic_vector(15 downto 0) is d.mdb_in(15 downto 0); -- --! Detect if source extension word is required -- variable v_is_sext : std_logic; -- --! Detect if destination extension word is required -- variable v_is_dext : std_logic; -- --! For the Symbolic addressing mode, add -2 to the extension word in order to make up for the PC address -- variable v_ext_incr : std_logic_vector(15 downto 0); variable v_ext_nxt : std_logic_vector(15 downto 0); -- --! Source extension word is ready -- variable v_inst_sext_rdy : std_logic; -- --! Destination extension word is ready -- variable v_inst_dext_rdy : std_logic; -- variable v_inst_so_nxt : std_logic_vector(7 downto 0); -- variable v_inst_jmp : std_logic_vector(7 downto 0); -- variable v_inst_to_1hot : std_logic_vector(15 downto 0); -- alias v_inst_to_nxt : std_logic_vector(11 downto 0) is v_inst_to_1hot(15 downto 0); -- variable v_inst_dest : std_logic_vector(15 downto 0); -- variable v_inst_src : std_logic_vector(15 downto 0); -- variable v_src_reg : std_logic_vector(3 downto 0); -- variable v_dest_reg : std_logic_vector(3 downto 0); -- variable v_src_acalc_pre : std_logic; -- variable v_src_rd_pre : std_logic; -- variable v_dst_acalc_pre : std_logic; -- variable v_dst_acalc : std_logic; -- variable v_dst_rd_pre : std_logic; -- variable v_dst_rd : std_logic; -- variable v_inst_branch : std_logic; begin --! default assignment v := r; --! overriding assignments --! The wire "conv" is used as state bits to calculate the next response --! CPU on/off through the debug interface or cpu_en port v_cpu_halt_req := d.cpu_halt_cmd or not(d.cpu_en_s); --! States Transitions case(r.i_state) is when I_IDLE => if ( (v_irq_detect = '1') and (v_cpu_halt_req = '0') ) then v.i_state_nxt := I_IRQ_FETCH; elsif ( (d.cpuoff = '0') and (v_cpu_halt_req = '0') ) then v.i_state_nxt := I_DEC; else v.i_state_nxt := I_IDLE; end if; when I_IRQ_FETCH => v.i_state_nxt := I_IRQ_DONE; when I_IRQ_DONE => v.i_state_nxt := I_DEC; when I_DEC => if (v_irq_detect = '1') then v.i_state_nxt := I_IRQ_FETCH; elsif ( ((d.cpuoff or v_cpu_halt_req) and exec_done) = '1' ) then v.i_state_nxt := I_IDLE; elsif ( (v_cpu_halt_req = '1') and (e_state=E_IDLE) ) then v.i_state_nxt := I_IDLE; elsif (d.pc_sw_wr = '1') then v.i_state_nxt := I_DEC; elsif ( (d.pc_sw_wr = '0') and (e_state/=E_IDLE) ) then v.i_state_nxt := I_DEC; elsif (r.inst_sz /= "00") then v.i_state_nxt := I_EXT1; else v.i_state_nxt := I_DEC; end if; when I_EXT1 => if (d.pc_sw_wr = '1') then v.i_state_nxt := I_DEC; elsif (r.inst_sz /= "01") then v.i_state_nxt := I_EXT2; else v.i_state_nxt := I_DEC; end if; when I_EXT2 => v.i_state_nxt := I_DEC; when others => v.i_state_nxt := I_IRQ_FETCH; end case; --! State machine v.i_state := r.i_state_nxt; --! Utility signals if ( (r.i_state=I_DEC) and ((v_exec_done = '1') or (r.e_state=E_IDLE)) ) then v_decode_noirq := '1'; else v_decode_noirq := '0'; end if; v_decode := v_decode_noirq or v_irq_detect; --! Debug interface cpu status if ( not( (r.i_state=I_DEC) and not((v_exec_done = '1') or (r.e_state=E_IDLE)) ) and (r.e_state_nxt/=E_IDLE) ) then v_fetch := '1'; else v_fetch := '0'; end if; --! Debug interface cpu status if ( (r.i_state_nxt=I_IDLE) and (v_cpu_halt_req = '1') ) then v.cpu_halt_st := '1'; else v.cpu_halt_st := '0'; end if; --============================================================================= --! 4) INTERRUPT HANDLING & SYSTEM WAKEUP --============================================================================= -- --! 4.1) INTERRUPT HANDLING ------------------------------------------- -- --! Detect nmi interrupt -- if (d.nmi_evt = '1') then -- v.inst_nmi :='1'; -- elsif (r.i_state=I_IRQ_DONE) then -- v.inst_nmi :='0'; -- end if; --! Detect reset interrupt if (v_exec_done = '1') then v.inst_irq_rst :='0'; end if; --! Detect other interrupts if ( ( (d.nmi_pnd = '1') or ( ( (d.irq /= "00000000000000") or (d.wdt_irq = '1') ) and (d.gie = '1') ) ) and (v_cpu_halt_req = '0') and (r.cpu_halt_st = '0') and ( (v_exec_done = '1') or (r.i_state=I_IDLE) ) ) then v_irq_detect := '1'; else v_irq_detect := '0'; end if; --! Combine all IRQs v_irq_all := STD_LOGIC_VECTOR(TO_UNSIGNED(0,63)); v_irq_all(62) := d.nmi_pnd; v_irq_all(61 downto (64-IRQ_nr)) := d.irq; v_irq_all(59) := d.wdt_irq or v_irq_all(59); --! Select highest priority IRQ if (v_irq_detect ='1') then v.irq_num := get_irq_num(v_irq_all); end if; --! Generate selected IRQ vector address v_irq_addr := "111111111" & r.irq_num & '0'; --! Interrupt request accepted if (r.i_state=I_IRQ_FETCH) then v_irq_acc_all := one_hot64(r.irq_num); else v_irq_acc_all := x"0000000000000000"; end if; v_irq_acc := v_irq_acc_all(61 downto (64-IRQ_nr)); v_nmi_acc := v_irq_acc_all(62); --============================================================================= --! 5) FETCH INSTRUCTION --============================================================================= -- --! 5.1) PROGRAM COUNTER & MEMORY INTERFACE ------------------------------------------- --! Compute next PC value --------v_pc_incr := r.pc + ("00000000000000" & v_fetch & '0'); if (v_fetch = '1') then v_pc_incr := STD_LOGIC_VECTOR(UNSIGNED(r.pc) + TO_UNSIGNED(2,16)); else v_pc_incr := r.pc; end if; if (d.pc_sw_wr = '1') then v_pc_nxt := d.pc_sw; elsif (r.i_state=I_IRQ_FETCH) then v_pc_nxt := v_irq_addr; elsif (r.i_state=I_IRQ_DONE) then v_pc_nxt := d.mdb_in; else v_pc_nxt := v_pc_incr; end if; v.pc := v_pc_nxt; --! Check if ROM has been busy in order to retry ROM access v.pmem_busy := d.fe_pmem_wait; --! Memory interface v_mab := v_pc_nxt; if ( (v_fetch = '1') or (r.i_state=I_IRQ_FETCH) or (d.pc_sw_wr = '1') or (r.pmem_busy = '1') or ((r.cpu_halt_st and not(v_cpu_halt_req)) = '1') ) then v_mb_en := '1'; else v_mb_en := '0'; end if; -- --! 5.2) INSTRUCTION REGISTER ---------------------------------- --! Instruction register v_ir := d.mdb_in; --! Detect if source extension word is required v_is_sext := r.inst_as(C_IDX) or r.inst_as(C_SYMB) or r.inst_as(C_ABS) or r.inst_as(C_IMM); --! Detect if destination extension word is required -- v_is_dext := r.inst_ad(C_IDX) or r.inst_ad(C_SYMB) or r.inst_ad(C_ABS); --! For the Symbolic addressing mode, add -2 to the extension word in order --! to make up for the PC address if ( ( (r.i_state=I_EXT1) and (r.inst_as(C_SYMB) = '1') ) or ( (r.i_state=I_EXT2) and (r.inst_ad(C_SYMB) = '1') ) or ( (r.i_state=I_EXT1) and (r.inst_as(C_SYMB) = '0') and (r.i_state/=I_EXT2) and (r.inst_ad(C_SYMB) = '1') ) ) then v_ext_incr := x"FFFE"; else v_ext_incr := x"0000"; end if; v_ext_nxt := STD_LOGIC_VECTOR(UNSIGNED(v_ir) + UNSIGNED(v_ext_incr)); --! Store source extension word if ( (v_decode = '1') and (v_is_const = '1') ) then v.inst_sext := r.sconst_nxt; elsif ( (v_decode = '1') and (v_inst_type_nxt(C_INST_JMP) = '1') ) then v.inst_sext := v_ir(9) & v_ir(9) & v_ir(9) & v_ir(9) & v_ir(9) & v_ir(9 downto 0) & '0'; elsif ( (r.i_state=I_EXT1) and (v_is_sext = '1') ) then v.inst_sext := v_ext_nxt; end if; --! Source extension word is ready if ( (r.i_state=I_EXT1) and (v_is_sext = '1') ) then v_inst_sext_rdy := '1'; else v_inst_sext_rdy := '0'; end if; --! Store destination extension word if ( (r.i_state=I_EXT1) and (v_is_sext = '0') ) then v.inst_dext := v_ext_nxt; elsif (r.i_state=I_EXT2) then v.inst_dext := v_ext_nxt; end if; --! Destination extension word is ready if ( ((r.i_state=I_EXT1) and (v_is_sext = '0')) or (r.i_state=I_EXT2) ) then v_inst_dext_rdy := '1'; else v_inst_dext_rdy := '0'; end if; --============================================================================= --! 6) DECODE INSTRUCTION --============================================================================= -- --! 6.1) OPCODE: INSTRUCTION TYPE ------------------------------------------ --! Instructions type is encoded in a one hot fashion as following: -- --! 3'b001: Single-operand arithmetic --! 3'b010: Conditional jump --! 3'b100: Two-operand arithmetic v_inst_type_nxt := ( ( v_ir(15) or v_ir(14) ) and not(v_irq_detect) ) & ( (not(v_ir(15)) and not(v_ir(14)) and v_ir(13) ) and not(v_irq_detect) ) & ( (not(v_ir(15)) and not(v_ir(14)) and not(v_ir(13))) and not(v_irq_detect) ); if (v_decode = '1') then v.inst_type := v_inst_type_nxt; end if; -- --! 6.2) OPCODE: SINGLE-OPERAND ARITHMETIC ------------------------------------------ --! Instructions are encoded in a one hot fashion as following: -- --! 8'b00000001: RRC --! 8'b00000010: SWPB --! 8'b00000100: RRA --! 8'b00001000: SXT --! 8'b00010000: PUSH --! 8'b00100000: CALL --! 8'b01000000: RETI --! 8'b10000000: IRQ if (v_irq_detect = '1') then v_inst_so_nxt := x"80"; elsif (v_inst_type_nxt(C_INST_SO) = '1') then v_inst_so_nxt := one_hot8(v_ir(9 downto 7)); else v_inst_so_nxt := x"00"; end if; if (v_decode = '1') then v.inst_so := v_inst_so_nxt; end if; -- --! 6.3) OPCODE: CONDITIONAL JUMP ---------------------------------- --! Instructions are encoded in a one hot fashion as following: -- --! 8'b00000001: JNE/JNZ --! 8'b00000010: JEQ/JZ --! 8'b00000100: JNC/JLO --! 8'b00001000: JC/JHS --! 8'b00010000: JN --! 8'b00100000: JGE --! 8'b01000000: JL --! 8'b10000000: JMP if (v_decode = '1') then v.inst_jmp_bin := v_ir(12 downto 10); end if; if (r.inst_type(C_INST_JMP) = '1') then v_inst_jmp := one_hot8(r.inst_jmp_bin); else v_inst_jmp := x"00"; end if; -- --! 6.4) OPCODE: TWO-OPERAND ARITHMETIC --------------------------------------- --! Instructions are encoded in a one hot fashion as following: -- --! 12'b000000000001: MOV --! 12'b000000000010: ADD --! 12'b000000000100: ADDC --! 12'b000000001000: SUBC --! 12'b000000010000: SUB --! 12'b000000100000: CMP --! 12'b000001000000: DADD --! 12'b000010000000: BIT --! 12'b000100000000: BIC --! 12'b001000000000: BIS --! 12'b010000000000: XOR --! 12'b100000000000: AND if (v_inst_type_nxt(C_INST_TO) = '1') then v_inst_to_1hot := one_hot16(v_ir(15 downto 12)); else v_inst_to_1hot := x"0000"; end if; v_inst_to_nxt := v_inst_to_1hot(15 downto 4); if (v_decode = '1') then v.inst_mov := v_inst_to_nxt(C_MOV); end if; -- --! 6.5) SOURCE AND DESTINATION REGISTERS ----------------------------------------- --! Destination register v.inst_dest_bin := v_ir(3 downto 0); if (cpu_halt_st = '1') then v_inst_dest := one_hot16(d.dbg_reg_sel); elsif (r.inst_type(C_INST_JMP) = '1') then v_inst_dest := x"0001"; elsif ( ( r.inst_so(C_IRQ) or r.inst_so(C_PUSH) or r.inst_so(C_CALL) ) = '1' ) then v_inst_dest := x"0002"; else v_inst_dest := one_hot16(r.inst_dest_bin); end if; --! Source register v.inst_src_bin := v_ir(11 downto 8); if (r.inst_type(C_INST_TO) = '1') then v_inst_src := one_hot16(r.inst_src_bin); elsif (r.inst_so(C_RETI) = '1') then v_inst_src := x"0002"; elsif (r.inst_so(C_IRQ) = '1') then v_inst_src := x"0001"; elsif (r.inst_so(C_IRQ) = '1') then v_inst_src := one_hot16(r.inst_dest_bin); else v_inst_src := x"0000"; end if; -- --! 6.6) SOURCE ADDRESSING MODES ---------------------------------- --! Source addressing modes are encoded in a one hot fashion as following: -- --! 13'b0000000000001: Register direct. --! 13'b0000000000010: Register indexed. --! 13'b0000000000100: Register indirect. --! 13'b0000000001000: Register indirect autoincrement. --! 13'b0000000010000: Symbolic (operand is in memory at address PC+x). --! 13'b0000000100000: Immediate (operand is next word in the instruction stream). --! 13'b0000001000000: Absolute (operand is in memory at address x). --! 13'b0000010000000: Constant 4. --! 13'b0000100000000: Constant 8. --! 13'b0001000000000: Constant 0. --! 13'b0010000000000: Constant 1. --! 13'b0100000000000: Constant 2. --! 13'b1000000000000: Constant -1. if (v_inst_type_nxt(C_INST_TO) = '1') then v_src_reg := v_ir(3 downto 0); else v_src_reg := v_ir(11 downto 8); end if; if (v_inst_type_nxt(C_INST_JMP) = '1') then v.inst_as_nxt := "0000000000001"; elsif (v_src_reg = x"3") then--! Addressing mode using R3 case (v_ir(5 downto 4)) is when "11" => v.inst_as_nxt := "1000000000000"; when "10" => v.inst_as_nxt := "0100000000000"; when "01" => v.inst_as_nxt := "0010000000000"; when others => v.inst_as_nxt := "0001000000000"; end case; elsif (v_src_reg = x"2") then--! Addressing mode using R2 case (v_ir(5 downto 4)) is when "11" => v.inst_as_nxt := "0000100000000"; when "10" => v.inst_as_nxt := "0000010000000"; when "01" => v.inst_as_nxt := "0000001000000"; when others => v.inst_as_nxt := "0000000000001"; end case; elsif (v_src_reg = x"0") then--! Addressing mode using R0 case (v_ir(5 downto 4)) is when "11" => v.inst_as_nxt := "0000000100000"; when "10" => v.inst_as_nxt := "0000000000100"; when "01" => v.inst_as_nxt := "0000000010000"; when others => v.inst_as_nxt := "0000000000001"; end case; else --! General Addressing mode case (v_ir(5 downto 4)) is when "11" => v.inst_as_nxt := "0000000001000"; when "10" => v.inst_as_nxt := "0000000000100"; when "01" => v.inst_as_nxt := "0000000000010"; when others => v.inst_as_nxt := "0000000000001"; end case; end if; v_is_const := r.inst_as_nxt(12) or r.inst_as_nxt(11) or r.inst_as_nxt(10) or r.inst_as_nxt(9) or r.inst_as_nxt(8) or r.inst_as_nxt(7); v.inst_as := v_is_const & r.inst_as_nxt(6 downto 0); --! 13'b0000010000000: Constant 4. --! 13'b0000100000000: Constant 8. --! 13'b0001000000000: Constant 0. --! 13'b0010000000000: Constant 1. --! 13'b0100000000000: Constant 2. --! 13'b1000000000000: Constant -1. if (r.inst_as_nxt(7) = '1') then v.sconst_nxt := x"0004"; elsif (r.inst_as_nxt(8) = '1') then v.sconst_nxt := x"0008"; elsif (r.inst_as_nxt(9) = '1') then v.sconst_nxt := x"0000"; elsif (r.inst_as_nxt(10) = '1') then v.sconst_nxt := x"0001"; elsif (r.inst_as_nxt(11) = '1') then v.sconst_nxt := x"0002"; elsif (r.inst_as_nxt(12) = '1') then v.sconst_nxt := x"FFFF"; else v.sconst_nxt := x"0000"; end if; -- --! 6.7) DESTINATION ADDRESSING MODES ------------------------------------- --! Destination addressing modes are encoded in a one hot fashion as following: -- --! 8'b00000001: Register direct. --! 8'b00000010: Register indexed. --! 8'b00010000: Symbolic (operand is in memory at address PC+x). --! 8'b01000000: Absolute (operand is in memory at address x). v_dest_reg := v_ir(3 downto 0); if (v_inst_type_nxt(C_INST_TO) = '0') then v.inst_ad_nxt := "00000000"; elsif (v_dest_reg = x"2") then --! Addressing mode using R2 if (v_ir(7) = '1') then v.inst_ad_nxt := "01000000"; else v.inst_ad_nxt := "00000001"; end if; elsif (v_dest_reg=x"0") then --! Addressing mode using R0 if (v_ir(7) = '1') then v.inst_ad_nxt := "00010000"; else v.inst_ad_nxt := "00000001"; end if; else --! General Addressing mode if (v_ir(7) = '1') then v.inst_ad_nxt := "00000010"; else v.inst_ad_nxt := "00000001"; end if; end if; v.inst_ad := r.inst_ad_nxt; -- --! 6.8) REMAINING INSTRUCTION DECODING --------------------------------------- --! Operation size if (v_decode = '1') then v.inst_bw := v_ir(6) and not(v_inst_type_nxt(C_INST_JMP)) and not(v_irq_detect) and not(v_cpu_halt_req); end if; --! Extended instruction size -- v_inst_sz_nxt := STD_LOGIC_VECTOR( UNSIGNED( '0' & (r.inst_as_nxt(C_IDX) or r.inst_as_nxt(C_SYMB) or r.inst_as_nxt(C_ABS) or r.inst_as_nxt(C_IMM)) ) -- + UNSIGNED( '0' & ((r.inst_ad_nxt(C_IDX) or r.inst_ad_nxt(C_SYMB) or r.inst_ad_nxt(C_ABS)) and not(v_inst_type_nxt(C_INST_SO))) ) ); if ( ( (r.inst_as_nxt(C_IDX) or r.inst_as_nxt(C_SYMB) or r.inst_as_nxt(C_ABS) or r.inst_as_nxt(C_IMM)) = '0' ) and ( ((r.inst_ad_nxt(C_IDX) or r.inst_ad_nxt(C_SYMB) or r.inst_ad_nxt(C_ABS)) and not(v_inst_type_nxt(C_INST_SO))) = '0' ) ) then v_inst_sz_nxt := "00"; elsif ( ( (r.inst_as_nxt(C_IDX) or r.inst_as_nxt(C_SYMB) or r.inst_as_nxt(C_ABS) or r.inst_as_nxt(C_IMM)) = '1' ) and ( ((r.inst_ad_nxt(C_IDX) or r.inst_ad_nxt(C_SYMB) or r.inst_ad_nxt(C_ABS)) and not(v_inst_type_nxt(C_INST_SO))) = '1' ) ) then v_inst_sz_nxt := "10"; else v_inst_sz_nxt := "01"; end if; if (v_decode = '1') then v.inst_sz := v_inst_sz_nxt; end if; --============================================================================= --! 7) EXECUTION-UNIT STATE MACHINE --============================================================================= --! State machine control signals ---------------------------------- v_src_acalc_pre := r.inst_as_nxt(C_IDX) or r.inst_as_nxt(C_SYMB) or r.inst_as_nxt(C_ABS); v_src_rd_pre := r.inst_as_nxt(C_INDIR) or r.inst_as_nxt(C_INDIR_I) or r.inst_as_nxt(C_IMM) or v_inst_so_nxt(C_RETI); v_dst_acalc_pre := r.inst_ad_nxt(C_IDX) or r.inst_ad_nxt(C_SYMB) or r.inst_ad_nxt(C_ABS); v_dst_acalc := inst_ad(C_IDX) or inst_ad(C_SYMB) or inst_ad(C_ABS); v_dst_rd_pre := r.inst_ad_nxt(C_IDX) or v_inst_so_nxt(C_PUSH) or v_inst_so_nxt(C_CALL) or v_inst_so_nxt(C_RETI); v_dst_rd := inst_ad(C_IDX) or inst_so(C_PUSH) or inst_so(C_CALL) or inst_so(C_RETI); if ( ((r.inst_ad_nxt(C_DIR) = '1') and (v_ir(3 downto 0) = "0000")) or (v_inst_type_nxt(C_INST_JMP) = '1') or (v_inst_so_nxt(C_RETI) = '1') ) then v_inst_branch := '1'; else v_inst_branch := '0'; end if; if ( (v_inst_branch = '1') and (v_decode = '1') ) then v.exec_jmp := '1'; elsif (e_state = E_JUMP) then v.exec_jmp := '0'; end if; if (r.e_state = E_DST_RD) then v.exec_dst_wr := '1'; elsif (e_state = E_DST_WR) then v.exec_dst_wr := '0'; end if; if ( (inst_type(C_INST_SO) = '1') and (r.e_state = E_SRC_RD) ) then v.exec_src_wr := '1'; elsif ( (r.e_state = E_SRC_WR) or (r.e_state = E_DST_WR) ) then v.exec_src_wr := '0'; end if; if (r.e_state=E_DST_RD) then v.exec_dext_rdy := '0'; elsif (v_inst_dext_rdy = '1') then v.exec_dext_rdy := '1'; end if; --! Execution first state if ( (r.cpu_halt_st = '0') and (v_inst_so_nxt(C_IRQ) = '1') ) then v_e_first_state := E_IRQ_0; elsif ( (v_cpu_halt_req = '1') or (r.i_state = I_IDLE) ) then v_e_first_state := E_IDLE; elsif (d.cpuoff = '1') then v_e_first_state := E_IDLE; elsif (v_src_acalc_pre = '1') then v_e_first_state := E_SRC_AD; elsif (v_src_rd_pre = '1') then v_e_first_state := E_SRC_RD; elsif (v_dst_acalc_pre = '1') then v_e_first_state := E_DST_AD; elsif (v_dst_rd_pre = '1') then v_e_first_state := E_DST_RD; else v_e_first_state := E_EXEC; end if; --! State machine ---------------------------------- --! States Transitions case(r.e_state) is when E_IDLE => v.e_state_nxt := v_e_first_state; when E_IRQ_0 => v.e_state_nxt := E_IRQ_1; when E_IRQ_1 => v.e_state_nxt := E_IRQ_2; when E_IRQ_2 => v.e_state_nxt := E_IRQ_3; when E_IRQ_3 => v.e_state_nxt := E_IRQ_4; when E_IRQ_4 => v.e_state_nxt := E_EXEC; when E_SRC_AD => if (v_inst_sext_rdy = '1') then v.e_state_nxt := E_SRC_RD; else v.e_state_nxt := E_SRC_AD; end if; when E_SRC_RD => if (v_dst_acalc = '1') then v.e_state_nxt := E_DST_AD; elsif (v_dst_rd = '1') then v.e_state_nxt := E_DST_RD; else v.e_state_nxt := E_EXEC; end if; when E_DST_AD => if ( (v_inst_dext_rdy = '1') or (r.exec_dext_rdy = '1') )then v.e_state_nxt := E_DST_RD; else v.e_state_nxt := E_DST_AD; end if; when E_DST_RD => v.e_state_nxt := E_EXEC; when E_EXEC => if (r.exec_dst_wr = '1') then v.e_state_nxt := E_DST_WR; elsif (r.exec_jmp = '1') then v.e_state_nxt := E_JUMP; elsif (r.exec_src_wr = '1') then v.e_state_nxt := E_SRC_WR; else v.e_state_nxt := v_e_first_state; end if; when E_JUMP => v.e_state_nxt := v_e_first_state; when E_DST_WR => if (r.exec_jmp = '1') then v.e_state_nxt := E_JUMP; else v.e_state_nxt := v_e_first_state; end if; when E_SRC_WR => v.e_state_nxt := v_e_first_state; when others => v.e_state_nxt := E_IRQ_0; end case; --! State machine v.e_state := r.e_state_nxt; --! Frontend State machine control signals ------------------------------------------ if (r.exec_jmp = '1') then if (r.e_state = E_JUMP) then v_exec_done := '1'; else v_exec_done := '0'; end if; elsif (r.exec_dst_wr = '1') then if (r.e_state = E_DST_WR) then v_exec_done := '1'; else v_exec_done := '0'; end if; elsif (r.exec_src_wr = '1') then if (r.e_state = E_SRC_WR) then v_exec_done := '1'; else v_exec_done := '0'; end if; else if (r.e_state = E_EXEC) then v_exec_done := '1'; else v_exec_done := '0'; end if; end if; --============================================================================= --! 8) EXECUTION-UNIT STATE CONTROL --============================================================================= -- --! 8.1) ALU CONTROL SIGNALS --------------------------------------- -- --! 12'b000000000001: Enable ALU source inverter --! 12'b000000000010: Enable Incrementer --! 12'b000000000100: Enable Incrementer on carry bit --! 12'b000000001000: Select Adder --! 12'b000000010000: Select AND --! 12'b000000100000: Select OR --! 12'b000001000000: Select XOR --! 12'b000010000000: Select DADD --! 12'b000100000000: Update N, Z & C (C=~Z) --! 12'b001000000000: Update all status bits --! 12'b010000000000: Update status bit for XOR instruction --! 12'b100000000000: Don't write to destination v_alu_src_inv := v_inst_to_nxt(C_SUB) or v_inst_to_nxt(C_SUBC) or v_inst_to_nxt(C_CMP) or v_inst_to_nxt(C_BIC) ; v_alu_inc := v_inst_to_nxt(C_SUB) or v_inst_to_nxt(C_CMP); v_alu_inc_c := v_inst_to_nxt(C_ADDC) or v_inst_to_nxt(C_DADD) or v_inst_to_nxt(C_SUBC); v_alu_add := v_inst_to_nxt(C_ADD) or v_inst_to_nxt(C_ADDC) or v_inst_to_nxt(C_SUB) or v_inst_to_nxt(C_SUBC) or v_inst_to_nxt(C_CMP) or v_inst_type_nxt(C_INST_JMP) or v_inst_so_nxt(C_RETI); v_alu_and := v_inst_to_nxt(C_AND) or v_inst_to_nxt(C_BIC) or v_inst_to_nxt(C_BIT); v_alu_or := v_inst_to_nxt(C_BIS); v_alu_xor := v_inst_to_nxt(C_XOR); v_alu_dadd := v_inst_to_nxt(C_DADD); v_alu_stat_7 := v_inst_to_nxt(C_BIT) or v_inst_to_nxt(C_AND) or v_inst_so_nxt(C_SXT); v_alu_stat_f := v_inst_to_nxt(C_ADD) or v_inst_to_nxt(C_ADDC) or v_inst_to_nxt(C_SUB) or v_inst_to_nxt(C_SUBC) or v_inst_to_nxt(C_CMP) or v_inst_to_nxt(C_DADD) or v_inst_to_nxt(C_BIT) or v_inst_to_nxt(C_XOR) or v_inst_to_nxt(C_AND) or v_inst_so_nxt(C_RRC) or v_inst_so_nxt(C_RRA) or v_inst_so_nxt(C_SXT); v_alu_shift := v_inst_so_nxt(C_RRC) or v_inst_so_nxt(C_RRA); v_exec_no_wr := v_inst_to_nxt(C_CMP) or v_inst_to_nxt(C_BIT); if (v_decode = '1') then v.inst_alu := v_exec_no_wr & v_alu_shift & v_alu_stat_f & v_alu_stat_7 & v_alu_dadd & v_alu_xor & v_alu_or & v_alu_and & v_alu_add & v_alu_inc_c & v_alu_inc & v_alu_src_inv; end if; --! drive register inputs rin <= v; --! drive module outputs cpu_halt_st <= r.cpu_halt_st; --! Halt/Run status from CPU decode_noirq <= v_decode_noirq; --! Frontend v_decode instruction e_state <= r.e_state; --! Execution state exec_done <= v_exec_done; --! Execution completed inst_ad <= r.inst_ad; --! Decoded Inst: destination addressing mode inst_as <= r.inst_as; --! Decoded Inst: source addressing mode inst_alu <= r.inst_alu; --! ALU control signals inst_bw <= r.inst_bw; --! Decoded Inst: byte width inst_dest <= v_inst_dest; --! Decoded Inst: destination (one hot) inst_dext <= r.inst_dext; --! Decoded Inst: destination extended instruction word inst_irq_rst <= r.inst_irq_rst; --! Decoded Inst: Reset interrupt inst_jmp <= v_inst_jmp; --! Decoded Inst: Conditional jump inst_mov <= r.inst_mov; --! Decoded Inst: mov instruction inst_sext <= r.inst_sext; --! Decoded Inst: source extended instruction word inst_so <= r.inst_so; --! Decoded Inst: Single-operand arithmetic inst_src <= v_inst_src; --! Decoded Inst: source (one hot) inst_type <= r.inst_type; --! Decoded Instruction type irq_acc <= v_irq_acc; --! Interrupt request accepted (one-hot signal) mab <= v_mab; --! Frontend Memory address bus mb_en <= v_mb_en; --! Frontend Memory bus enable nmi_acc <= v_nmi_acc; --! Non-Maskable interrupt request accepted pc <= r.pc; --! Program counter pc_nxt <= v_pc_nxt; --! Next PC value (for CALL & IRQ) end process COMB; REGS : process (mclk, mrst) begin if (mrst = '1') then r <= ( i_state => "000", i_state_nxt => "000", inst_sz => "00", sconst_nxt => x"0000", e_state_nxt => "0000", cpu_halt_st => '0', --! Debug interface cpu status inst_nmi => '0', --! Detect nmi interrupt inst_irq_rst => '1', --! Detect reset interrupt irq_num => "111111", --! Select interrupt vector pc => x"0000", --! Program counter pmem_busy => '0', --! Check if ROM has been busy in order to retry ROM access inst_sext => x"0000", --! Store source extension word inst_dext => x"0000", --! Store destination extension word inst_type => "000", inst_so => x"00", inst_jmp_bin => "000", inst_mov => '0', inst_dest_bin => "0000", --! Destination register inst_src_bin => "0000", --! Source register inst_as_nxt => "0000000000000", inst_as => x"00", inst_ad_nxt => x"00", inst_ad => x"00", inst_bw => '0', --! Operation size e_state => "0001", --! State machine registers exec_jmp => '0', exec_dst_wr => '0', exec_src_wr => '0', exec_dext_rdy => '0', inst_alu => x"000" ); elsif rising_edge(mclk) then r <= rin; end if; end process REGS; end RTL;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; entity divisorfrec is PORT ( clk : in STD_LOGIC; reset : in STD_LOGIC; salida:out STD_LOGIC ); end divisorfrec; architecture Behavioral of divisorfrec is signal temporal: std_logic; signal contador: integer range 0 to 299999 :=0; --De 50Mhz a 83Hz begin divisorfrec:process(clk,reset) begin if (reset='1') then temporal <='0'; contador <=0; elsif rising_edge(clk) then if (contador=299999) then temporal<= NOT (temporal); contador <=0; else contador <= contador+1; end if; end if; end process; salida <=temporal; end Behavioral;
--Legal Notice: (C)2013 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library altera_mf; use altera_mf.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library lpm; use lpm.all; entity cpu_0_mult_cell is port ( -- inputs: signal A_mul_src1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal A_mul_src2 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal clk : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; -- outputs: signal A_mul_cell_result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end entity cpu_0_mult_cell; architecture europa of cpu_0_mult_cell is component altmult_add GENERIC ( addnsub_multiplier_pipeline_aclr1 : STRING; addnsub_multiplier_pipeline_register1 : STRING; addnsub_multiplier_register1 : STRING; dedicated_multiplier_circuitry : STRING; input_register_a0 : STRING; input_register_b0 : STRING; input_source_a0 : STRING; input_source_b0 : STRING; intended_device_family : STRING; lpm_type : STRING; multiplier1_direction : STRING; multiplier_aclr0 : STRING; multiplier_register0 : STRING; number_of_multipliers : NATURAL; output_register : STRING; port_addnsub1 : STRING; port_signa : STRING; port_signb : STRING; representation_a : STRING; representation_b : STRING; signed_pipeline_aclr_a : STRING; signed_pipeline_aclr_b : STRING; signed_pipeline_register_a : STRING; signed_pipeline_register_b : STRING; signed_register_a : STRING; signed_register_b : STRING; width_a : NATURAL; width_b : NATURAL; width_result : NATURAL ); PORT ( clock0 : IN STD_LOGIC; result : OUT STD_LOGIC_VECTOR (width_result-1 DOWNTO 0); ena0 : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); aclr0 : IN STD_LOGIC ); end component altmult_add; signal A_mul_cell_result_part_1 : STD_LOGIC_VECTOR (31 DOWNTO 0); signal A_mul_cell_result_part_2 : STD_LOGIC_VECTOR (15 DOWNTO 0); signal mul_clr : STD_LOGIC; begin mul_clr <= NOT reset_n; the_altmult_add_part_1 : altmult_add generic map( addnsub_multiplier_pipeline_aclr1 => "ACLR0", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "UNREGISTERED", dedicated_multiplier_circuitry => "YES", input_register_a0 => "UNREGISTERED", input_register_b0 => "UNREGISTERED", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "CYCLONEII", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR0", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_register => "UNREGISTERED", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_pipeline_aclr_a => "ACLR0", signed_pipeline_aclr_b => "ACLR0", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "UNREGISTERED", signed_register_b => "UNREGISTERED", width_a => 16, width_b => 16, width_result => 32 ) port map( aclr0 => mul_clr, clock0 => clk, dataa => A_mul_src1(15 DOWNTO 0), datab => A_mul_src2(15 DOWNTO 0), ena0 => std_logic'('1'), result => A_mul_cell_result_part_1 ); the_altmult_add_part_2 : altmult_add generic map( addnsub_multiplier_pipeline_aclr1 => "ACLR0", addnsub_multiplier_pipeline_register1 => "CLOCK0", addnsub_multiplier_register1 => "UNREGISTERED", dedicated_multiplier_circuitry => "YES", input_register_a0 => "UNREGISTERED", input_register_b0 => "UNREGISTERED", input_source_a0 => "DATAA", input_source_b0 => "DATAB", intended_device_family => "CYCLONEII", lpm_type => "altmult_add", multiplier1_direction => "ADD", multiplier_aclr0 => "ACLR0", multiplier_register0 => "CLOCK0", number_of_multipliers => 1, output_register => "UNREGISTERED", port_addnsub1 => "PORT_UNUSED", port_signa => "PORT_UNUSED", port_signb => "PORT_UNUSED", representation_a => "UNSIGNED", representation_b => "UNSIGNED", signed_pipeline_aclr_a => "ACLR0", signed_pipeline_aclr_b => "ACLR0", signed_pipeline_register_a => "CLOCK0", signed_pipeline_register_b => "CLOCK0", signed_register_a => "UNREGISTERED", signed_register_b => "UNREGISTERED", width_a => 16, width_b => 16, width_result => 16 ) port map( aclr0 => mul_clr, clock0 => clk, dataa => A_mul_src1(31 DOWNTO 16), datab => A_mul_src2(15 DOWNTO 0), ena0 => std_logic'('1'), result => A_mul_cell_result_part_2 ); A_mul_cell_result <= A_EXT (Std_Logic_Vector'(((std_logic_vector'("0") & (A_mul_cell_result_part_1(31 DOWNTO 16))) + (std_logic_vector'("0") & (A_mul_cell_result_part_2))) & A_mul_cell_result_part_1(15 DOWNTO 0)), 32); end europa;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ljem0jT2hkAG7bhRdvmXHAVfEUeProXYGXchbOoVWcmRnNdpZPyWKtBoJ2wjh5nYNgzCkytc68Y7 W0CfHHpUIA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dVv4Aa3FVyrrc7TTuvW+flVKkTVkdxhYv60neAXeOk9f+4+cRgXD51IQ0+9Mw0pr84GY99rQVba4 qmLnwIZZVDxpgbxXXh/qopbUBKPG+ZlVjwasD7hJ3ycgG3HgLEzX/LPiH52u5cVto9frOua/RtDQ riz7DwZVxqw3rixAWY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cbzeZMOtUz1/ssxKVYCZtH4K9xUnw0/NCebmXmmRI0OLhLArGYx9W2mf4YP9YI1zKVOayn7KOktS sYUxIhWF/PV7JJnRk7kDI0mQNoNanoRgxMD5uI7KdXrYsRlGS/iTTtOFL85IYw80oarrnogLzwMK HTulK3/fyd17Zx7IfAsn2P0xn/lFJTxn6812zgtMUSP6WJGcmusNXXcZDuWcomLpDcI3vxhYyYn5 0VJwuyEICO7Cj0hIbZwFGacfXyZtR/j89AL+fxBdv6TR9ASxe5LQ2FtTBy5pGX7v7LnIetu/MWW5 lrTLugIkKK4OuWSO4cpeV2zLkoGoEM92B1NISA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eQHk2RpFlQo9x7UzqFrAodeJ2Thcqf4WS9JoE8mAuKsYe/DtDT9ZY7IygsxwUyuFG2oQpItK0EO1 GfHIDhbu+j6ftaNF1Zt8Gr6mzDzwfEsITaREodqAuhQFUawyCafl0X8uslWsd3tLWE6xht0vITJV +xcAtKZV2A2Z/c7qsds= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DZ5/eqn037y5ub8QiPgrg+oxJM1E+ns9qUkjtiOzrlA3/GWaHgQrwpbBbwMivr8QpAS+xgTbT/Ii 6Pc+FJ1xhiayVVgRbioS9N6SXHZdtUmMN9tPjpdDwMK9qnc/lRSflVwYNu/ktad8BAVYArV/CYYg zeo0pOboIQdKEcHaRFkjc0b8tNrl6AK1cDyX+JQUAOAH+xAMS6WebMsdwksUWmvPM/61tmYTmNKu kYcvQlAM9vok3igwOXU8FOgmjiUrDQMkMeLHs8tbvoVK8cfghE4slVcRCic3Rdh01QyGXygKjKI2 AXc1nVIVUqTl1KIJdUZyckfrfsVmCp9jne9jpQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9808) `protect data_block 12nUEDmJ6qpFR/AbM9hC47E9UfstI6mxxkJyDiE6BtCpPgwQgvygEMSAk+nunSBNy8tcM0R2/bfn yES5+qXV2qlRth0LMiqaC5egGNo+ybth/zAwTW7xlouepmQZyeAwJjynkF0+snOEoLZqn8UvX/Af VRY/1+hgBi79EIxmpW34ZSZ2OPN2wvePjzEVoAOIaMkN7FRXCrqbnW3SKAydbzeKUFrUdeY5Xn76 DGxE9onYW/9dimktZhvJyY5voQxv2Pe1EFqDqOyIEyfG6QfWQ8cymuaDmPIjoi/MJiFfWxtUNjsu QPFOX4TgMGL9HuREPTSYxi8AoIfF3rEGSXYbnCngX6cg5SdOfdX8oS2R9PuSfzUzYEP4XOa+dYTU W7bdebxtYvWkH7jsH1a+fh2DB0kCb2ZlnB+89czPiBaUEUnzyUO6nSU+gC6G5pNyKtS3BeFjbOC5 b+M7oo7qRPL6cRANyTvqESiAnqfvGvnqMfH5qSAzJWdtY4EkdEoeKzODq+Z+YzXMc8vqwQfIiH9k XV1yqvPGo29Qf/yrlB/IBdvX9gMhnEbMVndSrP5+H8/uYLnzeUQgDl+s8k/YGBE0rf8E2lfaOypS ZoU/+5gX1ZpwvLCq1C3C8ftLS1Ae2VEN1lxPJ5F7BqzEnCwesQ6VZYH1OaVuc+SODtrnbcIjkMFA NxAI9WZgHt5a6H4a8BIgYodtCUgvXRZGs8T7zQnmD4z1KflKXVpH5JMYItHbqPAkJfex82JZRq9I Owa5/rJmisGpX1OYMJE4q1ViWS2+f274QC7d2HEK2m4ckphkn/Ivtb2WOlKV2hXSienXEdjGGcjs 6kZy5GfeaG2nMjLc0HuyB7u0FSH9poR6H1ph8ng2wGELSlyA/PBb5uPJuWbv1s7mpyRkN0N+qMMI aFeEzN3qGuE0MeHlVCGeNX+emQn/xLk6N2I1MqYeMiitoXecMyQx0sFB/ZIthCWxr8E3+EwBjycX mm9+Brtk54lqRNPH78sV2A+ntl2GXGcepf/mLk2+knWFKjL0CcEbHmMIB0eNcSCRF/3MzYq5AF4I IIFR3JXP1S0DCcko2jssanNMnZwtjExNB++krmTD9PjqVt+RtC+ANtK9C3jlyhHV58XVDla2bL4V OHO87Zafhqvr7elpM31v6GVcpBEPCZzFzmaKHdzC/mmMiZICCIAYT+oAIvMEGJYncWGkhmy2hMg6 pLnbt04IduUMXdwihr7oCsckG8YO+I1eQs/BqvJfAcc8+I17wH19rbqapgMjTh3vVHqatb6iC+vd 7tt+gGqHHJ+MVJy0rWomOTSp15XUVjZC3NaCagkCJM9fbDNsLlqDTXKvCbKp2HvLkTY9FzelcWED 8A4HUCWWSulD67yAeq6EK8TSpsyJWq5wA2H9BS0kP/ANAvrHVSj1j75rnRNzC+4nJVObTT9uufMq 5wOoPaFxXsLfjhxBKsCG7QkLGsGNw5EEvIoWXkKPzEaLk3APSdzBmKuP3dfEyC7ucYbXmF0URFdx Xi3drYxGOhFPK30hy++Mi0Lsvckz5HXVxV1CWxoXWFmSNcb97vJrrltLo10QjukW0v5DemgS4/+S mR5nr4+dwRVM+mEfjFBqG+8KFd5GUb5EzQzT8fHIAnaxvOWdUrMbE6USGgJGG9Ax2+vcu+FQ9EcN 6Gt1bGQZmNQ21rH6OKaL6IlWzUS9Ci+q2am9o8BO15wCICW04lFIgmU+nl8iDUPfGOQ/+YewJoih YI/Cdi+0J8VuQdU2XIXmQsBWeqHC7mjqZ39wp0nvnL2LNcz/uWti2+YBqqEHzSmpZRMfkEuNyn50 OqupeU1ar/lLO9A1hD6kEx5O7Pf0n9s/8qP2rnjasYxUkOPQFlqWy6tVd06PKzRBcKCN6CkA3cTL fd5+oSg+ZeKqgyYTjwd77NNaynxaEQ7LB3yPkXzvSFvNZqqhEWBZbrt22QH7rROf5+k82yWAPmsz 9KkolEYfdjs1sAMox8yYgA7ovwQ3N/jQP3ozJtxpY88r05rB5swMgJedVfGYkzx2gTm0EjYs425R f1spo+N2aAMw4HnJUf4XRO4KDA5KLYKEt9d4JPZxooQWuE0e3uODDNRUQczjCU3w4ogvUWu5mal0 /0ulOO+cFjoFD0J4KmFCzOio9WoBc3OY4bKIQoGa/YsJdYZbv9NCyHltsTlHtcz5x2pmT92ylxno Ir8JmOot8zl0w0ULQESiapUJTP/GXYb4A/SNvVAIXqHk5MYoRKKwXF5VidtebcjRxaBSKmFD44Jr IoOebEDvKdGul/kuVI33ceW96C2WCZl7qLokHLCBmD9/bPmNLKGwrZDzLw3JlWdCS+XnNy+2fjJb 2rGMvbpI1dxXL7HT7S3a/BHW20CiD4BNbKuU6PEHoTbSuMj5+23EugLx3d8iH01tCERb1BhsjIBK ml8EExmj4vm9R9sCE8/IzMdaYLUAiTujRevZVh+RjrQgMqbkH6RDmLCfSgJkbAt2CMrLMp3gO8ty IHl6Ff70rBZWoO1SM/hwr7+Unj12QA7cuslZPbAyjZdmmIsbNeKc0IUePx5lkvR5eD6eyQQMUthZ X86KLrQyI6mbXars+MTMfFfie+gK2OcDd8vu8L+V5bVpdm3iK7ycJUTi6hY0HECCWvKoPfQKcAwP tibUL5ruucVZj4djDvCVrZzlyZPteguoe1xo27/0X4dfoPclwifciVJXbgigjJ5Mp+PI07jDqGsH PT+CbmWIFZM5Ccmz0DGoPQuL4pBvYSSsSfjKg68OAU62CfjCDZFR97EB+VBMwP8hFq7pcLJwxu5r a8XOWpdQqGUKpHZCvoSXONXdWW3yc3rlzudWlRpCKSTh3eNB7YFWgdWgRU/Jlsa4rMxHcpp/4GoF UbqQEIKs+fb0E86dETJHrtchSuC662PyVTY6eDsvvAWGUQD9JeAt84OSjsLiBdwhv52WNY6LtXrn RH+WglTjZyS5BsG3BJ66acVkZjBshjNKjvygHvT4xhdAdupaCILgl9PlhWvSBb77Phz+jgOr6p/G zn3vVBj/2f/eByWebaI1yd1DMO3fkYfrJrP5ypYmqn54EyY/NnywOedEryc58dtxC82vcTSFdXHs gPwUP1oHEMMHjl1w436b3UugPzEeXG8JfkLqlMgyJLM21EEOl7N+UbS/A/K5du56aP+cI0uqUBTx daEz5/2h/0OO8M8H8vm+Nsiip6nBprQY0ShMRFdkVDDNaqfXL7Z8GKZwCyRpKx9uMoqIOQkY3Cwg At8T9v1nJq9CeZAGRonyRE9aGh8yYobcJOCh7O2HV17Z6iHMf8HTcLMmVkfDJwZWnaoo9r9jZoMG x2DGywWi9jN9ZMgUFE3w58CqtfcKiT8YnrHrwU9VUty0q5v0nJ4OYdgMunS8crNscwvL+zhOrH2G FRC3A5cH2kXmAZRvpddlHsx9U4VdwQs5POEckvZvh1FJbwdSYHur8fXXiohjYqZ5Cqw8sVCROIxS SEC1/1BOcstN3gJKyNqjjBSorN93c4Uq/ncaBZ4AUQ83ZBIKf/yRIJB3dAIgm/MU5RcXgj1qxRuH WZzX72lEU4I0bV0UbVou65sfoAbHYiaO95HrQxRls+e2HY1Q1A57OufWrxSBdhFY99uvC7h7iSla blhYacUeTVMZD+otJMC9JJ4uNDFL99aIy3D9uQgHxO/AOTIFKzmA6xfF9hhx/pKWXFbjCnJxUDVT l7evk6/VTaExXYOzSU2AMh+7vcTA300t4yKMfQCtyqj+PkODlU0cSRHOpzCTR6+dqHzLDy8gDNSe HZM1pCUZydAwinMXemqmacdFiibcfrZKW3eZDXt0IdU+FocsZrxyDCcCGSgYDDsik9CKM6ED5niG SfCXyUs5a7HaSDJZY9rtkItpka3u7dRcy7ZVjpuNfuvy2wCAgJXnRIEKZW4tJYF8HleOq+kCV13/ c4hemumTErwZ/5/Bny5AV0uOFKVy71EjdMgaCTlOQl2Al3euOujdbsLjogLgqSie9zhuDfvDzCBw L+eu8h0v+gvmJ8r/OBXznnuiFycNQ6egyFBGbsh1qHd+LO5wDNEgmLRHysk4Pfj9gazDb3dhK7B6 vgXT1/sEmwPwmBUbGCGtI1RudNzO5hYvYGLTrUAcpbvOoDjQKbFtJ1EObFLsZJUSMXT7Y39BTgb+ gRcX0Ov8vlmov6USza+heEGc2BHLgLsZvSS3GeOdGJfBtgtCfcrOG0Q5Bwt9+WO/6ppwx/fSaont yPKbwdqiD4NNGPCHiifopouXZI/b9gKhMkPlY1m4txnVMBeUsiZb7uzIh5UQ8LKdRvUD+RfuViVp lcCjalQDwkiSOPWo5gWm+i48K17f8WMBy94kwXAtWSsBbuLCBjv4dyt410WC5yz97GJND7fcYnJJ F21PYseOSaApYhbKIo6f/1OSbNs81WP2iDzhi9/+M4jjXOjeTXSSdK1b7Laa4gV8bdVbROMp/HJ6 6/LwaKDzLHOG5dVtUWiCcuFwtQolqAo7xl6xV/YXQOK8CXB65t2Ufq88Rx44PCqPjLSAQvO/2aZy 4qnk2qikRzHHiJ+2kM702xe8HwwNFBQwbfYsbCt3fXilYPCJEiRTRQG4Bp82F0ZK3fU6ixtJIILl JnT9oeDyUwAe+KKkrE9EpUVkPjWE+rQRjtg9wxjb5LScVxsw9+YGkt9/fAwDYBaSc7LIw1zix2om l7KKKM3uON6tlL8ZQkYO2Nab4lV4dPT4/sAKj+WiLcLSnzRi1A8njefVYb0LYtxWBKh3T8nmOxFz JdcMI5K9hM9G6sTCR7LqC1TqVg6QF+1u8OUMuvqHVfJWfv5iLA/vrJ2X7Y+Y84TNQ9GdTN3zrDT0 PzSOWowj6TRzKJ8VI6t8zO2JkvgIzfnFArWNL5ZWoYAH2M/dFZi9nwBYitlmXG//jhURGrkEyCcL 3THzlxLBP2GEntIkFwefZBhAd28wFLGGcU4aqRSmbBPuT7mY30cZNBFOsJbZLqxq0z0beVkpgzBs ai3CxueUE0v+EHRdHq/2iSbzJV3MKvvUtRWfNlMDReDs+n28vG2fgVuq9xSH94LxUlHYbQJVHxVP RYlhp5tx19asueQHFsGdfFpZ/xIqfyPOd9WeDRiCFeC4fyS8fja+KtJYYDQ24VJiGOYLCZkDvRK8 ofmklXieEMNWtCQAlLhu85F2wb9cmu5f62F8hsDOVJrVIUUAdPMdZpdq/YMNhByw1c2rruxmpQF1 Td70nCm+B6fEpEf2WKNDPBkrcWwN5umzqnULCGgHxclnXgg68z9871TmNElRiLUIYKe4YThLhw6f rjIRYmWmEXSiOSiIIG/VYLhY+fqmkVkDvgbMLrcgrxLRkH8KAWaJ5yLSlCc4qluSYZyFJvBySB6x oYeBjy+abziLmGRvIvrzdbzryZVzWtouaPRhN2W1s88n5vJL4mUtT0ABOYEJv8uQnd5w3FMudn8b S4hL91crAa8xbOhBFCodf6/JIW6nOaUNX68o0mKKw0AykAFafVC7NmWcOGwRcnCjOuxD+ei8R4cO YbDTtY67YvlelqTQ+snd7JyMZQMJpNDpuI7eS0ddDKd2qCMQDkikC/GqvSwpAAhAcPbLcqIQUlEf licfXzLFDi8+voSdl9o3nNSPSYhX09DG5PKXjJxMwR/cXg88AHg+EU0XIfAd4DG8AE3FNvyzQAoS isrCDr5nVrTgdPzoCUz7tgBxXf13aSJCjSgdsQCJ7+gXt0vRkjbw/VU5OYqcq993zGzSlaepAROG jzhXe6njKXl9TOMY5hiZUFCI8MBd/+kTf5qRaCO3wFqqJiVMyBxClTxyKRoAA2E7VBuWykoccZq1 AFg8yGUbQ6qFwJy00CxivWjajnNQ9ISIrklTNafONGkA9+G9INQBm+DCV2ItjbanbCjxudV4vtOi ocP+EZ/yy9TxmfnBBKXqL136XCzMkqUtRqraIuQE86dhpfF2WbJ0d3t7jYGEv0VyhdTgtbCc3DYv wOHJ+Ki5TssZFVanXTMCbsj4IzZEnXJPOP0Pt5lsfs/GOAUFtXDzsm0rUdaW3lLwanhY57bfqXys hr1T8vyMh63mCm/TnlLtxRZooWdq1kpORnI5SkA2kOGmj2jc7uyk7iCxvwJxpmNmKH4RYNVfolw4 dEDJDDzVrOF1DZ89MFyqQOSAeJQN60CldHIkFfQ61D1R2w80webjQgsEAGJpb1Z7s5d+kNjJJtYw GRy6hAWyddRlEHrnK/c0a8PobUTCdJkdm+YdFA5tDfwEAfJzjZ2V0uSurxvKDCTDf+WIyuO5ZoK2 TD54tsjMSR53l5grKWkqhebT9n/N1xUTG89TZh9T65oXhz1HyS+o9Po/89VfwMBGrozIjJSu6PsT 3ZuO7t0t3wWKcZ5S4x+E9Hu2bx7ElEZ/COfcksnju2XbqtmAgC0WnKk4/XPCvBOD21haoJAsaQ2e NVkd/OFNC5FfqHGMvqo2PP8bkhmjbwOfOaJrAYA1iTWUo7UeQzg8p/GusPiBzGLqXOglQg4PJV+b 9GHb+Ocr6WwJbRL8CtqQILIFlpR+FYfGHDfpfC2S4+qGpOd0xFqnViHMw0y1EKbchyM6Lil+3NRC DuWQ102X+1endsnMGCFdamL77rnT1CS7UIE/GA41848MCMc66EcWrvW9QNECcP3Lb+90OnQRKnSI 4FlluJEYbm+49pNZI5TtVz/cHfYxsh3VZtE+xlk9cyoxWsd8HkT5TQ9bG9u4YQwgSCsTcsGSQ733 27EApHHL8NId5oarYbWu76JOVONR3jo0H/9j72suSfVAQjVonaNjwI3aeTBEM03tkJ/3k6CYnWRm HnkphM36IWEuyrCb3BmplM/XjXA2mrsZV+ZMvVSxU+vxvQBayqqLpwwJ7AN4mju84dCm99NWVqKx 28/C7Fvw8M2yWoJuXTgvynpRNzMfOiEr2PYWR32Np7gnkhPI/Vq4A+naUORlUNqu4E+ZjanTSbRL fMSBriK3sBkWq0shHmX4OaOUqX/v4YXKvAsarfbkKS/MceuU/wEo60WYO8Pbq24LgvPSBF96vcXS NqnekPFx+bttTxm6S9Yt20utpS5GrV1Zvk/57qyexVM8XTI60JRUFmJ9AhYUmMblYZgb6tQRoVZf nV3smCwtPvAj1tH4cF0xOkQEjso6zTnoiV5S5v3qHPxrq1Bvv4ktSUCkeD2AUXzH4jy/wlTtyY2/ 201RkeFYV40IzPLQ8snuY6GiscgQc9I2thYzCj5eNGzMoFfRvp8V+lUX5XlxoJP0J3T7SXTobLcz ZLqanTVh1w1xgJFs88DOwgVLBc7uX8Lv2yCxnCv4qeMiwYtnNyVu8ybIiX/Qt7EP/OMdQSN44YPJ B5VP+GeqCiWVG0wenM20kAigG5T5+tdT/vQbaN9QISkZJU5eiSU1HeTnXUOOigE/SuP2f4HaGpkR EnRqXRZ59k9ywUTqJW1aDSkyYJ1yyuYDsl/bmubF/wmeJFCkuHFlmcXH/6zk5I6tKrbeYpwcdidn hpbI7/eUnEGfSr870BrUNV2QzqGeBpnFtHi7FOWpQ9zEFmzRMVrPj/Kb7jeEJGWXSPaR9483rqpH PPJSdkMlVOvNFpmefYZxKGqtPjMJHmdOrbVFFNBlAacj8X4gJHxkrrguYooM6rd9QrYaxUiQz6Cr /tjltf38GYGkD5XvMlulZ1ET8clyL9qTkBjwtWs0q3WXsPeDqPTXoLQ1k1zwivEMZ/ea+yf7ATz3 TQwrXPjqwkM3ofgu0SwWSZSlc0hudOcBiLcPudEqKUwdOUmQIhl0PwwoB1n0bPseNWa9eYBqV861 +A/f0mkfn/PBeLhYGzPAYgLQL1N3Ld3aik2IacNKpCoAbZjDZJqqP0b3QVw62BAApd+PN5V2uOj6 zZD/h7BIMFGdmhtqI0YQEbY+Qy4yyzq9G1Rdcvl5b2O3TuaITr4jL2m570gvS1jxBPtnGktDKunh YBdKZyU2HiPB+5nCOKm8rZq7CAhk5KYBAS6QO+131yeC9NquipFj6t5LYI9AURDrALr1dsGRfkWh HM065CePw0TtaM537PlIYlhoKzqgIoyd3fA9qZ6cDlqQ6loJb/YpA0C7Ci6DEQYSI8w1+zue0zTm GNacHPRCgNRlG4PlC+GfUo/py0RALzlq6L5SpM6nc4JuOufSF/+HeMSmlywCc3M9EOtk1f7eCvs0 cP20ox8L5T7kYxf90pubqp49sx/AY5DhD9mHl7ao1ckJVRi26iR0qm/TY4Yp1ezSj/xj1x5Yajog eTkirpUCbMkTVNx6O0QGefUedEt8E9hmN6X955KCEuG6XPH/wnoRHAOSz52n/2lxmdA9JakcS4kp 88C2DJaZLh9ODXOLVebJXkV9Q/Bek0QHjnGiho3Bv5Nu25XM1o6eyseTyJD84AhenDWrw//bA/HC L1GFA+bgV9yu1hHPHxCpSx1YmqfR+AbvPW0e+UkdjinRu4YjJDk/+9hPWNAae6/1I+tfNr533eWN Tag8uH4zqADyrOdj+1Wo8j2LjRJXx70UTV+p9ZtqRjd9DznGVqBOSbMpMw9Munc7W/wuhKJ7yiSA frkV5KrX82Meunl8vxeoXw6nfYxlosmkD6jA7msHWGeFiHBsL7PyeYf6as1EKA1/Y2PixeAKZusE 7dPnDHkbZn9s44Jp0LtENj6EC1loTGPufeAWlgK6A36Ma32CD3ttoSOPwEy4xu/CbF9+tnvOMAwy ezEqPeZ/2VA+eOk08YKThRnmNOwtjskrHwlk1W6Al7H/fb4Roax1zIFxWmt+awuXqNjcMnAF5VhP IRp55+o05lVCCuzuDK4KjuE//NpD3+ScKnvUoRM44xEX2AkkuQ+AhuD/gmZ3gWtprXvvBRbbiRUq n3N84lDc79/lCQXUB3IGtrD1OfMKlAqKTNIZq4m7ZYC0nQJEbOAjnQi5OgNpoGsk/vFD+1Qszj8v 4qqGUGOcNRKqhmcptIEdmD6szgLoAGEVWp3c2FqnjeRhO64VdvssaKUSbzF4gbnyIcF+MbRDNB/2 SpcaU9W7yLJ+KGrW3fQuASpizuRflA4mEnLKuKjagnccQIhjDmh1xFDMsRoS15sGSNkys6wUbRsZ WgP2RO4gJkL8zEJMc79mUHC2GDVtls2bQGj8a7HbPCa6TZsu1Ky/V3FsmoxZYbLGOvem3Ex1vVEf 00B8ZYf9kbAKPuA/3JbpCZBUrLEkVGQnIzSL/Q6Mh8XIZzVidfvJDnWncEzZN2hPt+5DgqT3SQeu 87OjTM3kABkvBjIz4EpAuMJy+46Q9so7u9E7r1eqzIQW9dY6bcJoq3/KdU/ahCrZMPcvKdF4504B dLGMLGUC3cHRx2rJ/UHZT1RBZchhTLbA8/ZN68o8pIu8TUbYzXeYI7oixoyXlRmdChQreeg0YkOY oooYTKZ2coXLWdA17VIRBlJyph59W45RTFAXJHDYQ+29hfsrEL/g0VF8y8f7VPiiu/AF5nTTKgty pyfSSEwAlL2g9WBA3/RC/FNEARKycKjg6nSf4OsdjwIotBc2QXulUlg9jXvgU5S0fXFo9AQQgAkJ 1bkfUM+CAz8i/VuV9QdVmO+hnqzx2KGK/yMBMRv2l84wrgdnCYPLRVdMY3+aeh+uckO1M5jRX5hJ LTjqS5j8MUHBNIcX440zaS39mx1XiL4b9Ci5ajI5mzJUgLR12d08TdcUgCanTaFKb6VidF851c7f nbymEjpbTuZtZvKwOjMTrDakDP/iRFhesm+VVdSm4c+Tk4PQmY0m20dTd0hEtGI6iFj4bH4sIZbO l+xPxrfEPPAAtCShoV+E8Q0huT4DFB/WiiNtBbe9KQyABOo2JbwKkNgH4v1EsGqyRT8XyI5bCjoV g2FhTOv4hgCUI52EQgcpbkuYuAE35GvaOIPpALYiycDUeRwibkQit7e5KGMBJobi7e5x1iElrTJ/ C5zAMtp+kJBrEDfZiKzH0jWC/DzOcljCZ293eQBvKu4Q/JNySzGE/M6pZWoY9CBZz/CWx5tuBPh9 OzAg8X9g7YZzIWkQfEVpwCW5zY0ueviRBVp8SOIWAsQhYN+VxCtqzwWREWNiDClCZ2fD90ZswibP 44xgi+gsYF3WvE+4hgin1nG0AUktzIq1KeleNHBlpHrYMy9BnDDeF6p8iVtxKyxTYqxo7mJXcwJG o4AqOlO90ij5hbXpSIOGZpq8htNq0I7WueKpVnjoBoLj1tnOmSmBTWcS2jgKa/MQPhCWVFFpjquG nRCMrgVRhoC/8cXjtMHqxyrHHx2TETA4Ps2RNrj2C5n6i23PscXmJclyr1ZZjPh2KSLS7EXyuj/G ZYHctLTk1XeJToABoFz8aCTju1EX5KnOCSl6PKzfVLV2LjYXzL/btOlvOSCGBi5ED6XX3KMNAcys PHbgA8QjiJqLkg+qzOiJUo7ttq1R5fGKzrSydaRM6s+OhwvYcsoQdXdnSGAzFiBMgIlh3juhG/Kz /hYWoU7Gh/TCVb8ijGb9BQA1tfNyw8dcyuCgalS718XFNuH9UJ4JYRPCUuYTmA/6DKxug/DOCLHG pkh+b+BIXfi126YfsgvPdZCXVh9scpbbPeDREa1Abunza0vJaoKkTMlCLf8FTUPkGgk3Tl5Lfdnh xFQlhFg1zeLMn7KrluXf/bM4gLuTFo7lRkOM652gNMRb/6jNKaOsnCGYYyJXAQcaoGBjBDacXn3n UsEF9KrhmEcRiN9sTDuY1yUcSOptKxx96MeUdT/biSp8TgO67pqOddk2jV0PU6/jAkqsUeygiHfs Fmt/bFaUMH49agTk8QhFH10tHB1Q1wun+pxJhE2hBMipoeEITXikskpMDeZJiTyZuJOuHjAM31eG hKxsj1V22kLIGUrZclCQfrpqeQKWUeUvmcFdpEUSJ9Xei7Ca+W4VlMOJuhwa+LEJe3VQd1FonqYo Za2g+WSzH3sP6dQnkYlfCwZbcesMIFYD2C9A1sBtcURmz5za6Z0/wRXtQDICT+MCQ1rMg/atMsCp 7RC6tmjWJYDlKxldmW+OXq6ZudKCYG1SbckyBxq0nVJbF6KO63H+mCZ6X0q+jV30GUjFQX67sg06 8Creg211s4u3+p1GbEpmPqDkja/cLSNGLZZyBa+TnkU+Tg2h84dJ9/JVyENjxNCLTvXozWkwL4Uk +RFZKS3tDsfryGCr45TkHT3Qtw43Mg8kDMNYlqe8OwwDNvwrbNCUNBq7pgYJVgsp3EvbS8+Sn6O9 STyLeTz78QF1hRo9Nv9Y9Uc/syZ7VQzFmQD9epOmVHcnuc0AWFL4gpwu3DaxNYOV5Zko1weH8+TB 2wcRWe8T81FIiCE91UyCvRuVHXkxDHtXSWD7Z9GZ+/Erc7foR2dwq/Yi4FRznyrlFaQb2PhQ6e32 adaH2SWSMDGd8VPTbangiqZOrJQ6w2VDKADpA0ReVEElG9M324Mjuaqbn2wthptWKci4AWNtA1ro MFzW1Lt33m5nuyZoMy//oNDRfe5OUPQkhjreKqWmc4oxU2m0h4epubi4yQFJ0st24QguRuJFMOwG quSA9dxMm58Wsm2u4nSRO7XydmtHvJjynoBv8Pb0rMmL7nfWL1zxDsJsYYvSN54fqb9zbTpQEfLa AVl8y/njN5wwwci1PB/PRKuzcz/mLCdBjm7ZS0624iemHPPkLcEaO1oFGaVDY4oscH9BbGajllk5 WUnK1eZMctcxmmEqzkzmYM4WtLwP+EdgY1qevIAEV0quWk3X7uD+GIOpoV4UKQsTuJ0+ulyqe4hN A260b+eAqwIloQoTQ6GdjdH/0Vy6KG7du00JxyVHXgj2zmtmRFfl+yVd9GZE41wM7S89/bcJ9Uie ZDLj4nEcbCw2pJTbh3tURoHqCahwMsJS2XnYX4oHc2vwrkVtIK4ym7qq3ruGZYovKzcekNZS2iLn WV3DlsFnr+/UmnwNWGyzgSKf1n2K5dSZdsa+0faGQ3MbPs+5Lww3Bp5weCBPIn7wYQ0bJkQbLP3V OK5CyYecPjw3GRyrSbYJiW9OmOoWS7uMan6O0tyR+b0nmu2vAkNPF9GKoh5QbmwSZZ6I057isecA zVi/E7vKROOBnQozbGa4Q4qOpOBofqkHM8K2jkBZ/CKxnjArLqUDEEeQp0DnEs0w8Tl/4CebOk5j GlljVFTxjoA7rVL8yBTtV5Pru0PHRQsdV84mS1FaabgXP2Q0oIAw0CAa/lCPGnGHb9SMpMNcDWLU PRL5TWLLR9GbpXEH4jB3wEQFzINLGVy3i+KMbW+ExuG+MW6HQou7c1K+4CFnRH2lvYopkW0MYtGT +izqu7IUn+n5B3CmiM6hvFcQKKDf/rEEZIFnPeUWNiZiPNPRVb7DmyjMaVsjuvjGGZ02VG0+43uq 6pcAnCFF8vsShgLjKwJ1jG6nLpVNcfowCJayn/QXHhRxqskog7M09Jpi/1d1hQreI6pYYBrHBJHK fFFtjJcPFcvH3rDkQFk8CWFRyKnG66/MGl3lXtKVzyhnIFU/G2wCQz+hr2aI4q2JKPfiiRgDC0wS 8PqPQ2OqK5myJOlZ/MdmkklMJPpXAkhUlHoN2DU1kl6F/1dQ712oriyi9vigrevVLiJcyNVyC5o6 eJQkjcnRG3YKtNG0lwzlBhXZ0/wfI6jVf9VFWrq1J79pboAfarAxv7j8XnqXcdoBfDqwctnBWRVY Ac8/RlEvTQIJTSdGgbYqKT+uGmC9uRZqFsjI5REhAwAWflu8y+OQHFcFmKBrAewyxX0Jc4KVvJHo ZuWBC0QcVNbRVqf83hj+hHPV4fZi9fFvm17VuH5KISan7YcZ24eZQ/VV4OZqYBeb6lIm5eFyp6WT NXIzrtF4HDn7CzrRDzf4QQzLHZWNY5Uppl/wsk5lOV0GgWwEbDM+YDkzg+dpink4uqJx4xKjk1ba txgPOgtTuqqRbxtjtKfjQeVTBG/uf+3jyetvxjJM8m5lCuSirPFipzriQQxiWbR83Z9Q0cvvH1e6 g3dDYihMZrEnN1879q8ImL85xNoT5rQEaKSy/yxCLWqmZwNBx6PT0w+ZzwsEhbgKEGsRXwWwa+XD 3BvkKQ== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ljem0jT2hkAG7bhRdvmXHAVfEUeProXYGXchbOoVWcmRnNdpZPyWKtBoJ2wjh5nYNgzCkytc68Y7 W0CfHHpUIA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dVv4Aa3FVyrrc7TTuvW+flVKkTVkdxhYv60neAXeOk9f+4+cRgXD51IQ0+9Mw0pr84GY99rQVba4 qmLnwIZZVDxpgbxXXh/qopbUBKPG+ZlVjwasD7hJ3ycgG3HgLEzX/LPiH52u5cVto9frOua/RtDQ riz7DwZVxqw3rixAWY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cbzeZMOtUz1/ssxKVYCZtH4K9xUnw0/NCebmXmmRI0OLhLArGYx9W2mf4YP9YI1zKVOayn7KOktS sYUxIhWF/PV7JJnRk7kDI0mQNoNanoRgxMD5uI7KdXrYsRlGS/iTTtOFL85IYw80oarrnogLzwMK HTulK3/fyd17Zx7IfAsn2P0xn/lFJTxn6812zgtMUSP6WJGcmusNXXcZDuWcomLpDcI3vxhYyYn5 0VJwuyEICO7Cj0hIbZwFGacfXyZtR/j89AL+fxBdv6TR9ASxe5LQ2FtTBy5pGX7v7LnIetu/MWW5 lrTLugIkKK4OuWSO4cpeV2zLkoGoEM92B1NISA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eQHk2RpFlQo9x7UzqFrAodeJ2Thcqf4WS9JoE8mAuKsYe/DtDT9ZY7IygsxwUyuFG2oQpItK0EO1 GfHIDhbu+j6ftaNF1Zt8Gr6mzDzwfEsITaREodqAuhQFUawyCafl0X8uslWsd3tLWE6xht0vITJV +xcAtKZV2A2Z/c7qsds= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DZ5/eqn037y5ub8QiPgrg+oxJM1E+ns9qUkjtiOzrlA3/GWaHgQrwpbBbwMivr8QpAS+xgTbT/Ii 6Pc+FJ1xhiayVVgRbioS9N6SXHZdtUmMN9tPjpdDwMK9qnc/lRSflVwYNu/ktad8BAVYArV/CYYg zeo0pOboIQdKEcHaRFkjc0b8tNrl6AK1cDyX+JQUAOAH+xAMS6WebMsdwksUWmvPM/61tmYTmNKu kYcvQlAM9vok3igwOXU8FOgmjiUrDQMkMeLHs8tbvoVK8cfghE4slVcRCic3Rdh01QyGXygKjKI2 AXc1nVIVUqTl1KIJdUZyckfrfsVmCp9jne9jpQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9808) `protect data_block 12nUEDmJ6qpFR/AbM9hC47E9UfstI6mxxkJyDiE6BtCpPgwQgvygEMSAk+nunSBNy8tcM0R2/bfn yES5+qXV2qlRth0LMiqaC5egGNo+ybth/zAwTW7xlouepmQZyeAwJjynkF0+snOEoLZqn8UvX/Af VRY/1+hgBi79EIxmpW34ZSZ2OPN2wvePjzEVoAOIaMkN7FRXCrqbnW3SKAydbzeKUFrUdeY5Xn76 DGxE9onYW/9dimktZhvJyY5voQxv2Pe1EFqDqOyIEyfG6QfWQ8cymuaDmPIjoi/MJiFfWxtUNjsu QPFOX4TgMGL9HuREPTSYxi8AoIfF3rEGSXYbnCngX6cg5SdOfdX8oS2R9PuSfzUzYEP4XOa+dYTU W7bdebxtYvWkH7jsH1a+fh2DB0kCb2ZlnB+89czPiBaUEUnzyUO6nSU+gC6G5pNyKtS3BeFjbOC5 b+M7oo7qRPL6cRANyTvqESiAnqfvGvnqMfH5qSAzJWdtY4EkdEoeKzODq+Z+YzXMc8vqwQfIiH9k XV1yqvPGo29Qf/yrlB/IBdvX9gMhnEbMVndSrP5+H8/uYLnzeUQgDl+s8k/YGBE0rf8E2lfaOypS ZoU/+5gX1ZpwvLCq1C3C8ftLS1Ae2VEN1lxPJ5F7BqzEnCwesQ6VZYH1OaVuc+SODtrnbcIjkMFA NxAI9WZgHt5a6H4a8BIgYodtCUgvXRZGs8T7zQnmD4z1KflKXVpH5JMYItHbqPAkJfex82JZRq9I Owa5/rJmisGpX1OYMJE4q1ViWS2+f274QC7d2HEK2m4ckphkn/Ivtb2WOlKV2hXSienXEdjGGcjs 6kZy5GfeaG2nMjLc0HuyB7u0FSH9poR6H1ph8ng2wGELSlyA/PBb5uPJuWbv1s7mpyRkN0N+qMMI aFeEzN3qGuE0MeHlVCGeNX+emQn/xLk6N2I1MqYeMiitoXecMyQx0sFB/ZIthCWxr8E3+EwBjycX mm9+Brtk54lqRNPH78sV2A+ntl2GXGcepf/mLk2+knWFKjL0CcEbHmMIB0eNcSCRF/3MzYq5AF4I IIFR3JXP1S0DCcko2jssanNMnZwtjExNB++krmTD9PjqVt+RtC+ANtK9C3jlyhHV58XVDla2bL4V OHO87Zafhqvr7elpM31v6GVcpBEPCZzFzmaKHdzC/mmMiZICCIAYT+oAIvMEGJYncWGkhmy2hMg6 pLnbt04IduUMXdwihr7oCsckG8YO+I1eQs/BqvJfAcc8+I17wH19rbqapgMjTh3vVHqatb6iC+vd 7tt+gGqHHJ+MVJy0rWomOTSp15XUVjZC3NaCagkCJM9fbDNsLlqDTXKvCbKp2HvLkTY9FzelcWED 8A4HUCWWSulD67yAeq6EK8TSpsyJWq5wA2H9BS0kP/ANAvrHVSj1j75rnRNzC+4nJVObTT9uufMq 5wOoPaFxXsLfjhxBKsCG7QkLGsGNw5EEvIoWXkKPzEaLk3APSdzBmKuP3dfEyC7ucYbXmF0URFdx Xi3drYxGOhFPK30hy++Mi0Lsvckz5HXVxV1CWxoXWFmSNcb97vJrrltLo10QjukW0v5DemgS4/+S mR5nr4+dwRVM+mEfjFBqG+8KFd5GUb5EzQzT8fHIAnaxvOWdUrMbE6USGgJGG9Ax2+vcu+FQ9EcN 6Gt1bGQZmNQ21rH6OKaL6IlWzUS9Ci+q2am9o8BO15wCICW04lFIgmU+nl8iDUPfGOQ/+YewJoih YI/Cdi+0J8VuQdU2XIXmQsBWeqHC7mjqZ39wp0nvnL2LNcz/uWti2+YBqqEHzSmpZRMfkEuNyn50 OqupeU1ar/lLO9A1hD6kEx5O7Pf0n9s/8qP2rnjasYxUkOPQFlqWy6tVd06PKzRBcKCN6CkA3cTL fd5+oSg+ZeKqgyYTjwd77NNaynxaEQ7LB3yPkXzvSFvNZqqhEWBZbrt22QH7rROf5+k82yWAPmsz 9KkolEYfdjs1sAMox8yYgA7ovwQ3N/jQP3ozJtxpY88r05rB5swMgJedVfGYkzx2gTm0EjYs425R f1spo+N2aAMw4HnJUf4XRO4KDA5KLYKEt9d4JPZxooQWuE0e3uODDNRUQczjCU3w4ogvUWu5mal0 /0ulOO+cFjoFD0J4KmFCzOio9WoBc3OY4bKIQoGa/YsJdYZbv9NCyHltsTlHtcz5x2pmT92ylxno Ir8JmOot8zl0w0ULQESiapUJTP/GXYb4A/SNvVAIXqHk5MYoRKKwXF5VidtebcjRxaBSKmFD44Jr IoOebEDvKdGul/kuVI33ceW96C2WCZl7qLokHLCBmD9/bPmNLKGwrZDzLw3JlWdCS+XnNy+2fjJb 2rGMvbpI1dxXL7HT7S3a/BHW20CiD4BNbKuU6PEHoTbSuMj5+23EugLx3d8iH01tCERb1BhsjIBK ml8EExmj4vm9R9sCE8/IzMdaYLUAiTujRevZVh+RjrQgMqbkH6RDmLCfSgJkbAt2CMrLMp3gO8ty IHl6Ff70rBZWoO1SM/hwr7+Unj12QA7cuslZPbAyjZdmmIsbNeKc0IUePx5lkvR5eD6eyQQMUthZ X86KLrQyI6mbXars+MTMfFfie+gK2OcDd8vu8L+V5bVpdm3iK7ycJUTi6hY0HECCWvKoPfQKcAwP tibUL5ruucVZj4djDvCVrZzlyZPteguoe1xo27/0X4dfoPclwifciVJXbgigjJ5Mp+PI07jDqGsH PT+CbmWIFZM5Ccmz0DGoPQuL4pBvYSSsSfjKg68OAU62CfjCDZFR97EB+VBMwP8hFq7pcLJwxu5r a8XOWpdQqGUKpHZCvoSXONXdWW3yc3rlzudWlRpCKSTh3eNB7YFWgdWgRU/Jlsa4rMxHcpp/4GoF UbqQEIKs+fb0E86dETJHrtchSuC662PyVTY6eDsvvAWGUQD9JeAt84OSjsLiBdwhv52WNY6LtXrn RH+WglTjZyS5BsG3BJ66acVkZjBshjNKjvygHvT4xhdAdupaCILgl9PlhWvSBb77Phz+jgOr6p/G zn3vVBj/2f/eByWebaI1yd1DMO3fkYfrJrP5ypYmqn54EyY/NnywOedEryc58dtxC82vcTSFdXHs gPwUP1oHEMMHjl1w436b3UugPzEeXG8JfkLqlMgyJLM21EEOl7N+UbS/A/K5du56aP+cI0uqUBTx daEz5/2h/0OO8M8H8vm+Nsiip6nBprQY0ShMRFdkVDDNaqfXL7Z8GKZwCyRpKx9uMoqIOQkY3Cwg At8T9v1nJq9CeZAGRonyRE9aGh8yYobcJOCh7O2HV17Z6iHMf8HTcLMmVkfDJwZWnaoo9r9jZoMG x2DGywWi9jN9ZMgUFE3w58CqtfcKiT8YnrHrwU9VUty0q5v0nJ4OYdgMunS8crNscwvL+zhOrH2G FRC3A5cH2kXmAZRvpddlHsx9U4VdwQs5POEckvZvh1FJbwdSYHur8fXXiohjYqZ5Cqw8sVCROIxS SEC1/1BOcstN3gJKyNqjjBSorN93c4Uq/ncaBZ4AUQ83ZBIKf/yRIJB3dAIgm/MU5RcXgj1qxRuH WZzX72lEU4I0bV0UbVou65sfoAbHYiaO95HrQxRls+e2HY1Q1A57OufWrxSBdhFY99uvC7h7iSla blhYacUeTVMZD+otJMC9JJ4uNDFL99aIy3D9uQgHxO/AOTIFKzmA6xfF9hhx/pKWXFbjCnJxUDVT l7evk6/VTaExXYOzSU2AMh+7vcTA300t4yKMfQCtyqj+PkODlU0cSRHOpzCTR6+dqHzLDy8gDNSe HZM1pCUZydAwinMXemqmacdFiibcfrZKW3eZDXt0IdU+FocsZrxyDCcCGSgYDDsik9CKM6ED5niG SfCXyUs5a7HaSDJZY9rtkItpka3u7dRcy7ZVjpuNfuvy2wCAgJXnRIEKZW4tJYF8HleOq+kCV13/ c4hemumTErwZ/5/Bny5AV0uOFKVy71EjdMgaCTlOQl2Al3euOujdbsLjogLgqSie9zhuDfvDzCBw L+eu8h0v+gvmJ8r/OBXznnuiFycNQ6egyFBGbsh1qHd+LO5wDNEgmLRHysk4Pfj9gazDb3dhK7B6 vgXT1/sEmwPwmBUbGCGtI1RudNzO5hYvYGLTrUAcpbvOoDjQKbFtJ1EObFLsZJUSMXT7Y39BTgb+ gRcX0Ov8vlmov6USza+heEGc2BHLgLsZvSS3GeOdGJfBtgtCfcrOG0Q5Bwt9+WO/6ppwx/fSaont yPKbwdqiD4NNGPCHiifopouXZI/b9gKhMkPlY1m4txnVMBeUsiZb7uzIh5UQ8LKdRvUD+RfuViVp lcCjalQDwkiSOPWo5gWm+i48K17f8WMBy94kwXAtWSsBbuLCBjv4dyt410WC5yz97GJND7fcYnJJ F21PYseOSaApYhbKIo6f/1OSbNs81WP2iDzhi9/+M4jjXOjeTXSSdK1b7Laa4gV8bdVbROMp/HJ6 6/LwaKDzLHOG5dVtUWiCcuFwtQolqAo7xl6xV/YXQOK8CXB65t2Ufq88Rx44PCqPjLSAQvO/2aZy 4qnk2qikRzHHiJ+2kM702xe8HwwNFBQwbfYsbCt3fXilYPCJEiRTRQG4Bp82F0ZK3fU6ixtJIILl JnT9oeDyUwAe+KKkrE9EpUVkPjWE+rQRjtg9wxjb5LScVxsw9+YGkt9/fAwDYBaSc7LIw1zix2om l7KKKM3uON6tlL8ZQkYO2Nab4lV4dPT4/sAKj+WiLcLSnzRi1A8njefVYb0LYtxWBKh3T8nmOxFz JdcMI5K9hM9G6sTCR7LqC1TqVg6QF+1u8OUMuvqHVfJWfv5iLA/vrJ2X7Y+Y84TNQ9GdTN3zrDT0 PzSOWowj6TRzKJ8VI6t8zO2JkvgIzfnFArWNL5ZWoYAH2M/dFZi9nwBYitlmXG//jhURGrkEyCcL 3THzlxLBP2GEntIkFwefZBhAd28wFLGGcU4aqRSmbBPuT7mY30cZNBFOsJbZLqxq0z0beVkpgzBs ai3CxueUE0v+EHRdHq/2iSbzJV3MKvvUtRWfNlMDReDs+n28vG2fgVuq9xSH94LxUlHYbQJVHxVP RYlhp5tx19asueQHFsGdfFpZ/xIqfyPOd9WeDRiCFeC4fyS8fja+KtJYYDQ24VJiGOYLCZkDvRK8 ofmklXieEMNWtCQAlLhu85F2wb9cmu5f62F8hsDOVJrVIUUAdPMdZpdq/YMNhByw1c2rruxmpQF1 Td70nCm+B6fEpEf2WKNDPBkrcWwN5umzqnULCGgHxclnXgg68z9871TmNElRiLUIYKe4YThLhw6f rjIRYmWmEXSiOSiIIG/VYLhY+fqmkVkDvgbMLrcgrxLRkH8KAWaJ5yLSlCc4qluSYZyFJvBySB6x oYeBjy+abziLmGRvIvrzdbzryZVzWtouaPRhN2W1s88n5vJL4mUtT0ABOYEJv8uQnd5w3FMudn8b S4hL91crAa8xbOhBFCodf6/JIW6nOaUNX68o0mKKw0AykAFafVC7NmWcOGwRcnCjOuxD+ei8R4cO YbDTtY67YvlelqTQ+snd7JyMZQMJpNDpuI7eS0ddDKd2qCMQDkikC/GqvSwpAAhAcPbLcqIQUlEf licfXzLFDi8+voSdl9o3nNSPSYhX09DG5PKXjJxMwR/cXg88AHg+EU0XIfAd4DG8AE3FNvyzQAoS isrCDr5nVrTgdPzoCUz7tgBxXf13aSJCjSgdsQCJ7+gXt0vRkjbw/VU5OYqcq993zGzSlaepAROG jzhXe6njKXl9TOMY5hiZUFCI8MBd/+kTf5qRaCO3wFqqJiVMyBxClTxyKRoAA2E7VBuWykoccZq1 AFg8yGUbQ6qFwJy00CxivWjajnNQ9ISIrklTNafONGkA9+G9INQBm+DCV2ItjbanbCjxudV4vtOi ocP+EZ/yy9TxmfnBBKXqL136XCzMkqUtRqraIuQE86dhpfF2WbJ0d3t7jYGEv0VyhdTgtbCc3DYv wOHJ+Ki5TssZFVanXTMCbsj4IzZEnXJPOP0Pt5lsfs/GOAUFtXDzsm0rUdaW3lLwanhY57bfqXys hr1T8vyMh63mCm/TnlLtxRZooWdq1kpORnI5SkA2kOGmj2jc7uyk7iCxvwJxpmNmKH4RYNVfolw4 dEDJDDzVrOF1DZ89MFyqQOSAeJQN60CldHIkFfQ61D1R2w80webjQgsEAGJpb1Z7s5d+kNjJJtYw GRy6hAWyddRlEHrnK/c0a8PobUTCdJkdm+YdFA5tDfwEAfJzjZ2V0uSurxvKDCTDf+WIyuO5ZoK2 TD54tsjMSR53l5grKWkqhebT9n/N1xUTG89TZh9T65oXhz1HyS+o9Po/89VfwMBGrozIjJSu6PsT 3ZuO7t0t3wWKcZ5S4x+E9Hu2bx7ElEZ/COfcksnju2XbqtmAgC0WnKk4/XPCvBOD21haoJAsaQ2e NVkd/OFNC5FfqHGMvqo2PP8bkhmjbwOfOaJrAYA1iTWUo7UeQzg8p/GusPiBzGLqXOglQg4PJV+b 9GHb+Ocr6WwJbRL8CtqQILIFlpR+FYfGHDfpfC2S4+qGpOd0xFqnViHMw0y1EKbchyM6Lil+3NRC DuWQ102X+1endsnMGCFdamL77rnT1CS7UIE/GA41848MCMc66EcWrvW9QNECcP3Lb+90OnQRKnSI 4FlluJEYbm+49pNZI5TtVz/cHfYxsh3VZtE+xlk9cyoxWsd8HkT5TQ9bG9u4YQwgSCsTcsGSQ733 27EApHHL8NId5oarYbWu76JOVONR3jo0H/9j72suSfVAQjVonaNjwI3aeTBEM03tkJ/3k6CYnWRm HnkphM36IWEuyrCb3BmplM/XjXA2mrsZV+ZMvVSxU+vxvQBayqqLpwwJ7AN4mju84dCm99NWVqKx 28/C7Fvw8M2yWoJuXTgvynpRNzMfOiEr2PYWR32Np7gnkhPI/Vq4A+naUORlUNqu4E+ZjanTSbRL fMSBriK3sBkWq0shHmX4OaOUqX/v4YXKvAsarfbkKS/MceuU/wEo60WYO8Pbq24LgvPSBF96vcXS NqnekPFx+bttTxm6S9Yt20utpS5GrV1Zvk/57qyexVM8XTI60JRUFmJ9AhYUmMblYZgb6tQRoVZf nV3smCwtPvAj1tH4cF0xOkQEjso6zTnoiV5S5v3qHPxrq1Bvv4ktSUCkeD2AUXzH4jy/wlTtyY2/ 201RkeFYV40IzPLQ8snuY6GiscgQc9I2thYzCj5eNGzMoFfRvp8V+lUX5XlxoJP0J3T7SXTobLcz ZLqanTVh1w1xgJFs88DOwgVLBc7uX8Lv2yCxnCv4qeMiwYtnNyVu8ybIiX/Qt7EP/OMdQSN44YPJ B5VP+GeqCiWVG0wenM20kAigG5T5+tdT/vQbaN9QISkZJU5eiSU1HeTnXUOOigE/SuP2f4HaGpkR EnRqXRZ59k9ywUTqJW1aDSkyYJ1yyuYDsl/bmubF/wmeJFCkuHFlmcXH/6zk5I6tKrbeYpwcdidn hpbI7/eUnEGfSr870BrUNV2QzqGeBpnFtHi7FOWpQ9zEFmzRMVrPj/Kb7jeEJGWXSPaR9483rqpH PPJSdkMlVOvNFpmefYZxKGqtPjMJHmdOrbVFFNBlAacj8X4gJHxkrrguYooM6rd9QrYaxUiQz6Cr /tjltf38GYGkD5XvMlulZ1ET8clyL9qTkBjwtWs0q3WXsPeDqPTXoLQ1k1zwivEMZ/ea+yf7ATz3 TQwrXPjqwkM3ofgu0SwWSZSlc0hudOcBiLcPudEqKUwdOUmQIhl0PwwoB1n0bPseNWa9eYBqV861 +A/f0mkfn/PBeLhYGzPAYgLQL1N3Ld3aik2IacNKpCoAbZjDZJqqP0b3QVw62BAApd+PN5V2uOj6 zZD/h7BIMFGdmhtqI0YQEbY+Qy4yyzq9G1Rdcvl5b2O3TuaITr4jL2m570gvS1jxBPtnGktDKunh YBdKZyU2HiPB+5nCOKm8rZq7CAhk5KYBAS6QO+131yeC9NquipFj6t5LYI9AURDrALr1dsGRfkWh HM065CePw0TtaM537PlIYlhoKzqgIoyd3fA9qZ6cDlqQ6loJb/YpA0C7Ci6DEQYSI8w1+zue0zTm GNacHPRCgNRlG4PlC+GfUo/py0RALzlq6L5SpM6nc4JuOufSF/+HeMSmlywCc3M9EOtk1f7eCvs0 cP20ox8L5T7kYxf90pubqp49sx/AY5DhD9mHl7ao1ckJVRi26iR0qm/TY4Yp1ezSj/xj1x5Yajog eTkirpUCbMkTVNx6O0QGefUedEt8E9hmN6X955KCEuG6XPH/wnoRHAOSz52n/2lxmdA9JakcS4kp 88C2DJaZLh9ODXOLVebJXkV9Q/Bek0QHjnGiho3Bv5Nu25XM1o6eyseTyJD84AhenDWrw//bA/HC L1GFA+bgV9yu1hHPHxCpSx1YmqfR+AbvPW0e+UkdjinRu4YjJDk/+9hPWNAae6/1I+tfNr533eWN Tag8uH4zqADyrOdj+1Wo8j2LjRJXx70UTV+p9ZtqRjd9DznGVqBOSbMpMw9Munc7W/wuhKJ7yiSA frkV5KrX82Meunl8vxeoXw6nfYxlosmkD6jA7msHWGeFiHBsL7PyeYf6as1EKA1/Y2PixeAKZusE 7dPnDHkbZn9s44Jp0LtENj6EC1loTGPufeAWlgK6A36Ma32CD3ttoSOPwEy4xu/CbF9+tnvOMAwy ezEqPeZ/2VA+eOk08YKThRnmNOwtjskrHwlk1W6Al7H/fb4Roax1zIFxWmt+awuXqNjcMnAF5VhP IRp55+o05lVCCuzuDK4KjuE//NpD3+ScKnvUoRM44xEX2AkkuQ+AhuD/gmZ3gWtprXvvBRbbiRUq n3N84lDc79/lCQXUB3IGtrD1OfMKlAqKTNIZq4m7ZYC0nQJEbOAjnQi5OgNpoGsk/vFD+1Qszj8v 4qqGUGOcNRKqhmcptIEdmD6szgLoAGEVWp3c2FqnjeRhO64VdvssaKUSbzF4gbnyIcF+MbRDNB/2 SpcaU9W7yLJ+KGrW3fQuASpizuRflA4mEnLKuKjagnccQIhjDmh1xFDMsRoS15sGSNkys6wUbRsZ WgP2RO4gJkL8zEJMc79mUHC2GDVtls2bQGj8a7HbPCa6TZsu1Ky/V3FsmoxZYbLGOvem3Ex1vVEf 00B8ZYf9kbAKPuA/3JbpCZBUrLEkVGQnIzSL/Q6Mh8XIZzVidfvJDnWncEzZN2hPt+5DgqT3SQeu 87OjTM3kABkvBjIz4EpAuMJy+46Q9so7u9E7r1eqzIQW9dY6bcJoq3/KdU/ahCrZMPcvKdF4504B dLGMLGUC3cHRx2rJ/UHZT1RBZchhTLbA8/ZN68o8pIu8TUbYzXeYI7oixoyXlRmdChQreeg0YkOY oooYTKZ2coXLWdA17VIRBlJyph59W45RTFAXJHDYQ+29hfsrEL/g0VF8y8f7VPiiu/AF5nTTKgty pyfSSEwAlL2g9WBA3/RC/FNEARKycKjg6nSf4OsdjwIotBc2QXulUlg9jXvgU5S0fXFo9AQQgAkJ 1bkfUM+CAz8i/VuV9QdVmO+hnqzx2KGK/yMBMRv2l84wrgdnCYPLRVdMY3+aeh+uckO1M5jRX5hJ LTjqS5j8MUHBNIcX440zaS39mx1XiL4b9Ci5ajI5mzJUgLR12d08TdcUgCanTaFKb6VidF851c7f nbymEjpbTuZtZvKwOjMTrDakDP/iRFhesm+VVdSm4c+Tk4PQmY0m20dTd0hEtGI6iFj4bH4sIZbO l+xPxrfEPPAAtCShoV+E8Q0huT4DFB/WiiNtBbe9KQyABOo2JbwKkNgH4v1EsGqyRT8XyI5bCjoV g2FhTOv4hgCUI52EQgcpbkuYuAE35GvaOIPpALYiycDUeRwibkQit7e5KGMBJobi7e5x1iElrTJ/ C5zAMtp+kJBrEDfZiKzH0jWC/DzOcljCZ293eQBvKu4Q/JNySzGE/M6pZWoY9CBZz/CWx5tuBPh9 OzAg8X9g7YZzIWkQfEVpwCW5zY0ueviRBVp8SOIWAsQhYN+VxCtqzwWREWNiDClCZ2fD90ZswibP 44xgi+gsYF3WvE+4hgin1nG0AUktzIq1KeleNHBlpHrYMy9BnDDeF6p8iVtxKyxTYqxo7mJXcwJG o4AqOlO90ij5hbXpSIOGZpq8htNq0I7WueKpVnjoBoLj1tnOmSmBTWcS2jgKa/MQPhCWVFFpjquG nRCMrgVRhoC/8cXjtMHqxyrHHx2TETA4Ps2RNrj2C5n6i23PscXmJclyr1ZZjPh2KSLS7EXyuj/G ZYHctLTk1XeJToABoFz8aCTju1EX5KnOCSl6PKzfVLV2LjYXzL/btOlvOSCGBi5ED6XX3KMNAcys PHbgA8QjiJqLkg+qzOiJUo7ttq1R5fGKzrSydaRM6s+OhwvYcsoQdXdnSGAzFiBMgIlh3juhG/Kz /hYWoU7Gh/TCVb8ijGb9BQA1tfNyw8dcyuCgalS718XFNuH9UJ4JYRPCUuYTmA/6DKxug/DOCLHG pkh+b+BIXfi126YfsgvPdZCXVh9scpbbPeDREa1Abunza0vJaoKkTMlCLf8FTUPkGgk3Tl5Lfdnh xFQlhFg1zeLMn7KrluXf/bM4gLuTFo7lRkOM652gNMRb/6jNKaOsnCGYYyJXAQcaoGBjBDacXn3n UsEF9KrhmEcRiN9sTDuY1yUcSOptKxx96MeUdT/biSp8TgO67pqOddk2jV0PU6/jAkqsUeygiHfs Fmt/bFaUMH49agTk8QhFH10tHB1Q1wun+pxJhE2hBMipoeEITXikskpMDeZJiTyZuJOuHjAM31eG hKxsj1V22kLIGUrZclCQfrpqeQKWUeUvmcFdpEUSJ9Xei7Ca+W4VlMOJuhwa+LEJe3VQd1FonqYo Za2g+WSzH3sP6dQnkYlfCwZbcesMIFYD2C9A1sBtcURmz5za6Z0/wRXtQDICT+MCQ1rMg/atMsCp 7RC6tmjWJYDlKxldmW+OXq6ZudKCYG1SbckyBxq0nVJbF6KO63H+mCZ6X0q+jV30GUjFQX67sg06 8Creg211s4u3+p1GbEpmPqDkja/cLSNGLZZyBa+TnkU+Tg2h84dJ9/JVyENjxNCLTvXozWkwL4Uk +RFZKS3tDsfryGCr45TkHT3Qtw43Mg8kDMNYlqe8OwwDNvwrbNCUNBq7pgYJVgsp3EvbS8+Sn6O9 STyLeTz78QF1hRo9Nv9Y9Uc/syZ7VQzFmQD9epOmVHcnuc0AWFL4gpwu3DaxNYOV5Zko1weH8+TB 2wcRWe8T81FIiCE91UyCvRuVHXkxDHtXSWD7Z9GZ+/Erc7foR2dwq/Yi4FRznyrlFaQb2PhQ6e32 adaH2SWSMDGd8VPTbangiqZOrJQ6w2VDKADpA0ReVEElG9M324Mjuaqbn2wthptWKci4AWNtA1ro MFzW1Lt33m5nuyZoMy//oNDRfe5OUPQkhjreKqWmc4oxU2m0h4epubi4yQFJ0st24QguRuJFMOwG quSA9dxMm58Wsm2u4nSRO7XydmtHvJjynoBv8Pb0rMmL7nfWL1zxDsJsYYvSN54fqb9zbTpQEfLa AVl8y/njN5wwwci1PB/PRKuzcz/mLCdBjm7ZS0624iemHPPkLcEaO1oFGaVDY4oscH9BbGajllk5 WUnK1eZMctcxmmEqzkzmYM4WtLwP+EdgY1qevIAEV0quWk3X7uD+GIOpoV4UKQsTuJ0+ulyqe4hN A260b+eAqwIloQoTQ6GdjdH/0Vy6KG7du00JxyVHXgj2zmtmRFfl+yVd9GZE41wM7S89/bcJ9Uie ZDLj4nEcbCw2pJTbh3tURoHqCahwMsJS2XnYX4oHc2vwrkVtIK4ym7qq3ruGZYovKzcekNZS2iLn WV3DlsFnr+/UmnwNWGyzgSKf1n2K5dSZdsa+0faGQ3MbPs+5Lww3Bp5weCBPIn7wYQ0bJkQbLP3V OK5CyYecPjw3GRyrSbYJiW9OmOoWS7uMan6O0tyR+b0nmu2vAkNPF9GKoh5QbmwSZZ6I057isecA zVi/E7vKROOBnQozbGa4Q4qOpOBofqkHM8K2jkBZ/CKxnjArLqUDEEeQp0DnEs0w8Tl/4CebOk5j GlljVFTxjoA7rVL8yBTtV5Pru0PHRQsdV84mS1FaabgXP2Q0oIAw0CAa/lCPGnGHb9SMpMNcDWLU PRL5TWLLR9GbpXEH4jB3wEQFzINLGVy3i+KMbW+ExuG+MW6HQou7c1K+4CFnRH2lvYopkW0MYtGT +izqu7IUn+n5B3CmiM6hvFcQKKDf/rEEZIFnPeUWNiZiPNPRVb7DmyjMaVsjuvjGGZ02VG0+43uq 6pcAnCFF8vsShgLjKwJ1jG6nLpVNcfowCJayn/QXHhRxqskog7M09Jpi/1d1hQreI6pYYBrHBJHK fFFtjJcPFcvH3rDkQFk8CWFRyKnG66/MGl3lXtKVzyhnIFU/G2wCQz+hr2aI4q2JKPfiiRgDC0wS 8PqPQ2OqK5myJOlZ/MdmkklMJPpXAkhUlHoN2DU1kl6F/1dQ712oriyi9vigrevVLiJcyNVyC5o6 eJQkjcnRG3YKtNG0lwzlBhXZ0/wfI6jVf9VFWrq1J79pboAfarAxv7j8XnqXcdoBfDqwctnBWRVY Ac8/RlEvTQIJTSdGgbYqKT+uGmC9uRZqFsjI5REhAwAWflu8y+OQHFcFmKBrAewyxX0Jc4KVvJHo ZuWBC0QcVNbRVqf83hj+hHPV4fZi9fFvm17VuH5KISan7YcZ24eZQ/VV4OZqYBeb6lIm5eFyp6WT NXIzrtF4HDn7CzrRDzf4QQzLHZWNY5Uppl/wsk5lOV0GgWwEbDM+YDkzg+dpink4uqJx4xKjk1ba txgPOgtTuqqRbxtjtKfjQeVTBG/uf+3jyetvxjJM8m5lCuSirPFipzriQQxiWbR83Z9Q0cvvH1e6 g3dDYihMZrEnN1879q8ImL85xNoT5rQEaKSy/yxCLWqmZwNBx6PT0w+ZzwsEhbgKEGsRXwWwa+XD 3BvkKQ== `protect end_protected
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_vdma:6.2 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_vdma_v6_2; USE axi_vdma_v6_2.axi_vdma; ENTITY design_1_axi_vdma_1_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; s_axis_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC ); END design_1_axi_vdma_1_0; ARCHITECTURE design_1_axi_vdma_1_0_arch OF design_1_axi_vdma_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_vdma_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_vdma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_VIDPRMTR_READS : INTEGER; C_DYNAMIC_RESOLUTION : INTEGER; C_NUM_FSTORES : INTEGER; C_USE_FSYNC : INTEGER; C_USE_MM2S_FSYNC : INTEGER; C_USE_S2MM_FSYNC : INTEGER; C_FLUSH_ON_FSYNC : INTEGER; C_INCLUDE_INTERNAL_GENLOCK : INTEGER; C_INCLUDE_SG : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_INCLUDE_MM2S : INTEGER; C_MM2S_GENLOCK_MODE : INTEGER; C_MM2S_GENLOCK_NUM_MASTERS : INTEGER; C_MM2S_GENLOCK_REPEAT_EN : INTEGER; C_MM2S_SOF_ENABLE : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_LINEBUFFER_DEPTH : INTEGER; C_MM2S_LINEBUFFER_THRESH : INTEGER; C_MM2S_MAX_BURST_LENGTH : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TUSER_BITS : INTEGER; C_INCLUDE_S2MM : INTEGER; C_S2MM_GENLOCK_MODE : INTEGER; C_S2MM_GENLOCK_NUM_MASTERS : INTEGER; C_S2MM_GENLOCK_REPEAT_EN : INTEGER; C_S2MM_SOF_ENABLE : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_LINEBUFFER_DEPTH : INTEGER; C_S2MM_LINEBUFFER_THRESH : INTEGER; C_S2MM_MAX_BURST_LENGTH : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TUSER_BITS : INTEGER; C_ENABLE_DEBUG_ALL : INTEGER; C_ENABLE_DEBUG_INFO_0 : INTEGER; C_ENABLE_DEBUG_INFO_1 : INTEGER; C_ENABLE_DEBUG_INFO_2 : INTEGER; C_ENABLE_DEBUG_INFO_3 : INTEGER; C_ENABLE_DEBUG_INFO_4 : INTEGER; C_ENABLE_DEBUG_INFO_5 : INTEGER; C_ENABLE_DEBUG_INFO_6 : INTEGER; C_ENABLE_DEBUG_INFO_7 : INTEGER; C_ENABLE_DEBUG_INFO_8 : INTEGER; C_ENABLE_DEBUG_INFO_9 : INTEGER; C_ENABLE_DEBUG_INFO_10 : INTEGER; C_ENABLE_DEBUG_INFO_11 : INTEGER; C_ENABLE_DEBUG_INFO_12 : INTEGER; C_ENABLE_DEBUG_INFO_13 : INTEGER; C_ENABLE_DEBUG_INFO_14 : INTEGER; C_ENABLE_DEBUG_INFO_15 : INTEGER; C_INSTANCE : STRING; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; s_axis_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_fsync : IN STD_LOGIC; mm2s_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_fsync : IN STD_LOGIC; s2mm_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_buffer_empty : OUT STD_LOGIC; mm2s_buffer_almost_empty : OUT STD_LOGIC; s2mm_buffer_full : OUT STD_LOGIC; s2mm_buffer_almost_full : OUT STD_LOGIC; mm2s_fsync_out : OUT STD_LOGIC; s2mm_fsync_out : OUT STD_LOGIC; mm2s_prmtr_update : OUT STD_LOGIC; s2mm_prmtr_update : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_vdma_tstvec : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END COMPONENT axi_vdma; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_axi_vdma_1_0_arch: ARCHITECTURE IS "axi_vdma,Vivado 2015.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_vdma_1_0_arch : ARCHITECTURE IS "design_1_axi_vdma_1_0,axi_vdma,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_vdma_1_0_arch: ARCHITECTURE IS "design_1_axi_vdma_1_0,axi_vdma,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_vdma,x_ipVersion=6.2,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=9,C_S_AXI_LITE_DATA_WIDTH=32,C_DLYTMR_RESOLUTION=125,C_PRMRY_IS_ACLK_ASYNC=0,C_ENABLE_VIDPRMTR_READS=1,C_DYNAMIC_RESOLUTION=1,C_NUM_FSTORES=3,C_USE_FSYNC=1,C_USE_MM2S_FSYNC=0,C_USE_S2MM_FSYNC=2,C_FLUSH_ON_FSYNC=1,C_INCLUDE_INTERNAL_GENLOCK=1,C_INCLUDE_SG=0,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WIDTH=32,C_INCLUDE_MM2S=1,C_MM2S_GENLOCK_MODE=3,C_MM2S_GENLOCK_NUM_MASTERS=1,C_MM2S_GENLOCK_REPEAT_EN=0,C_MM2S_SOF_ENABLE=1,C_INCLUDE_MM2S_DRE=0,C_INCLUDE_MM2S_SF=0,C_MM2S_LINEBUFFER_DEPTH=512,C_MM2S_LINEBUFFER_THRESH=4,C_MM2S_MAX_BURST_LENGTH=8,C_M_AXI_MM2S_ADDR_WIDTH=32,C_M_AXI_MM2S_DATA_WIDTH=64,C_M_AXIS_MM2S_TDATA_WIDTH=32,C_M_AXIS_MM2S_TUSER_BITS=1,C_INCLUDE_S2MM=1,C_S2MM_GENLOCK_MODE=2,C_S2MM_GENLOCK_NUM_MASTERS=1,C_S2MM_GENLOCK_REPEAT_EN=1,C_S2MM_SOF_ENABLE=1,C_INCLUDE_S2MM_DRE=0,C_INCLUDE_S2MM_SF=1,C_S2MM_LINEBUFFER_DEPTH=512,C_S2MM_LINEBUFFER_THRESH=4,C_S2MM_MAX_BURST_LENGTH=8,C_M_AXI_S2MM_ADDR_WIDTH=32,C_M_AXI_S2MM_DATA_WIDTH=32,C_S_AXIS_S2MM_TDATA_WIDTH=32,C_S_AXIS_S2MM_TUSER_BITS=1,C_ENABLE_DEBUG_ALL=0,C_ENABLE_DEBUG_INFO_0=0,C_ENABLE_DEBUG_INFO_1=0,C_ENABLE_DEBUG_INFO_2=0,C_ENABLE_DEBUG_INFO_3=0,C_ENABLE_DEBUG_INFO_4=0,C_ENABLE_DEBUG_INFO_5=0,C_ENABLE_DEBUG_INFO_6=1,C_ENABLE_DEBUG_INFO_7=1,C_ENABLE_DEBUG_INFO_8=0,C_ENABLE_DEBUG_INFO_9=0,C_ENABLE_DEBUG_INFO_10=0,C_ENABLE_DEBUG_INFO_11=0,C_ENABLE_DEBUG_INFO_12=0,C_ENABLE_DEBUG_INFO_13=0,C_ENABLE_DEBUG_INFO_14=1,C_ENABLE_DEBUG_INFO_15=1,C_INSTANCE=axi_vdma,C_FAMILY=zynq}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXIS_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_S2MM_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXIS_S2MM_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_frame_ptr_out: SIGNAL IS "xilinx.com:signal:video_frame_ptr:1.0 MM2S_FRAME_PTR_OUT FRAME_PTR"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_frame_ptr_out: SIGNAL IS "xilinx.com:signal:video_frame_ptr:1.0 S2MM_FRAME_PTR_OUT FRAME_PTR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM WREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_s2mm_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_S2MM BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TUSER"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_s2mm_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_S2MM TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s2mm_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 S2MM_INTROUT INTERRUPT"; BEGIN U0 : axi_vdma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 9, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 0, C_ENABLE_VIDPRMTR_READS => 1, C_DYNAMIC_RESOLUTION => 1, C_NUM_FSTORES => 3, C_USE_FSYNC => 1, C_USE_MM2S_FSYNC => 0, C_USE_S2MM_FSYNC => 2, C_FLUSH_ON_FSYNC => 1, C_INCLUDE_INTERNAL_GENLOCK => 1, C_INCLUDE_SG => 0, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_INCLUDE_MM2S => 1, C_MM2S_GENLOCK_MODE => 3, C_MM2S_GENLOCK_NUM_MASTERS => 1, C_MM2S_GENLOCK_REPEAT_EN => 0, C_MM2S_SOF_ENABLE => 1, C_INCLUDE_MM2S_DRE => 0, C_INCLUDE_MM2S_SF => 0, C_MM2S_LINEBUFFER_DEPTH => 512, C_MM2S_LINEBUFFER_THRESH => 4, C_MM2S_MAX_BURST_LENGTH => 8, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 64, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_M_AXIS_MM2S_TUSER_BITS => 1, C_INCLUDE_S2MM => 1, C_S2MM_GENLOCK_MODE => 2, C_S2MM_GENLOCK_NUM_MASTERS => 1, C_S2MM_GENLOCK_REPEAT_EN => 1, C_S2MM_SOF_ENABLE => 1, C_INCLUDE_S2MM_DRE => 0, C_INCLUDE_S2MM_SF => 1, C_S2MM_LINEBUFFER_DEPTH => 512, C_S2MM_LINEBUFFER_THRESH => 4, C_S2MM_MAX_BURST_LENGTH => 8, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 32, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_S_AXIS_S2MM_TUSER_BITS => 1, C_ENABLE_DEBUG_ALL => 0, C_ENABLE_DEBUG_INFO_0 => 0, C_ENABLE_DEBUG_INFO_1 => 0, C_ENABLE_DEBUG_INFO_2 => 0, C_ENABLE_DEBUG_INFO_3 => 0, C_ENABLE_DEBUG_INFO_4 => 0, C_ENABLE_DEBUG_INFO_5 => 0, C_ENABLE_DEBUG_INFO_6 => 1, C_ENABLE_DEBUG_INFO_7 => 1, C_ENABLE_DEBUG_INFO_8 => 0, C_ENABLE_DEBUG_INFO_9 => 0, C_ENABLE_DEBUG_INFO_10 => 0, C_ENABLE_DEBUG_INFO_11 => 0, C_ENABLE_DEBUG_INFO_12 => 0, C_ENABLE_DEBUG_INFO_13 => 0, C_ENABLE_DEBUG_INFO_14 => 1, C_ENABLE_DEBUG_INFO_15 => 1, C_INSTANCE => "axi_vdma", C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axis_mm2s_aclk => m_axis_mm2s_aclk, m_axi_s2mm_aclk => m_axi_s2mm_aclk, s_axis_s2mm_aclk => s_axis_s2mm_aclk, axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, mm2s_fsync => '0', mm2s_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), mm2s_frame_ptr_out => mm2s_frame_ptr_out, s2mm_fsync => '0', s2mm_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), s2mm_frame_ptr_out => s2mm_frame_ptr_out, m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tuser => m_axis_mm2s_tuser, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axi_s2mm_awaddr => m_axi_s2mm_awaddr, m_axi_s2mm_awlen => m_axi_s2mm_awlen, m_axi_s2mm_awsize => m_axi_s2mm_awsize, m_axi_s2mm_awburst => m_axi_s2mm_awburst, m_axi_s2mm_awprot => m_axi_s2mm_awprot, m_axi_s2mm_awcache => m_axi_s2mm_awcache, m_axi_s2mm_awvalid => m_axi_s2mm_awvalid, m_axi_s2mm_awready => m_axi_s2mm_awready, m_axi_s2mm_wdata => m_axi_s2mm_wdata, m_axi_s2mm_wstrb => m_axi_s2mm_wstrb, m_axi_s2mm_wlast => m_axi_s2mm_wlast, m_axi_s2mm_wvalid => m_axi_s2mm_wvalid, m_axi_s2mm_wready => m_axi_s2mm_wready, m_axi_s2mm_bresp => m_axi_s2mm_bresp, m_axi_s2mm_bvalid => m_axi_s2mm_bvalid, m_axi_s2mm_bready => m_axi_s2mm_bready, s_axis_s2mm_tdata => s_axis_s2mm_tdata, s_axis_s2mm_tkeep => s_axis_s2mm_tkeep, s_axis_s2mm_tuser => s_axis_s2mm_tuser, s_axis_s2mm_tvalid => s_axis_s2mm_tvalid, s_axis_s2mm_tready => s_axis_s2mm_tready, s_axis_s2mm_tlast => s_axis_s2mm_tlast, mm2s_introut => mm2s_introut, s2mm_introut => s2mm_introut ); END design_1_axi_vdma_1_0_arch;
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; use techmap.gencomp.all; use techmap.allclkgen.all; library gaisler; use gaisler.memctrl.all; use gaisler.ddrpkg.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.i2c.all; use gaisler.net.all; use gaisler.jtag.all; library esa; use esa.memoryctrl.all; use work.config.all; use work.ml50x.all; -- pragma translate_off library unisim; use unisim.ODDR; -- pragma translate_on entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( sys_rst_in : in std_ulogic; clk_100 : in std_ulogic; -- 100 MHz main clock clk_200_p : in std_ulogic; -- 200 MHz clk_200_n : in std_ulogic; -- 200 MHz sysace_clk_in : in std_ulogic; -- System ACE clock sram_flash_addr : out std_logic_vector(23 downto 0); sram_flash_data : inout std_logic_vector(31 downto 0); sram_cen : out std_logic; sram_bw : out std_logic_vector (0 to 3); sram_oen : out std_ulogic; sram_flash_we_n : out std_ulogic; flash_ce : out std_logic; flash_oen : out std_logic; flash_adv_n : out std_logic; sram_clk : out std_ulogic; sram_clk_fb : in std_ulogic; sram_mode : out std_ulogic; sram_adv_ld_n : out std_ulogic; --pragma translate_off iosn : out std_ulogic; --pragma translate_on ddr2_ck : out std_logic_vector(1 downto 0); ddr2_ck_n : out std_logic_vector(1 downto 0); ddr2_cke : out std_logic_vector(1 downto 0); ddr2_cs_n : out std_logic_vector(1 downto 0); ddr2_odt : out std_logic_vector(1 downto 0); ddr2_we_n : out std_ulogic; -- ddr write enable ddr2_ras_n : out std_ulogic; -- ddr ras ddr2_cas_n : out std_ulogic; -- ddr cas ddr2_dm : out std_logic_vector (7 downto 0); -- ddr dm ddr2_dqs : inout std_logic_vector (7 downto 0); -- ddr dqs ddr2_dqs_n : inout std_logic_vector (7 downto 0); -- ddr dqs ddr2_a : out std_logic_vector (13 downto 0); -- ddr address ddr2_ba : out std_logic_vector (1+CFG_DDR2SP downto 0); -- ddr bank address ddr2_dq : inout std_logic_vector (63 downto 0); -- ddr data txd1 : out std_ulogic; -- UART1 tx data rxd1 : in std_ulogic; -- UART1 rx data -- txd2 : out std_ulogic; -- UART2 tx data -- rxd2 : in std_ulogic; -- UART2 rx data gpio : inout std_logic_vector(13 downto 0); -- I/O port led : out std_logic_vector(12 downto 0); bus_error : out std_logic_vector(1 downto 0); phy_gtx_clk : out std_logic; phy_mii_data : inout std_logic; -- ethernet PHY interface phy_tx_clk : in std_ulogic; phy_rx_clk : in std_ulogic; phy_rx_data : in std_logic_vector(7 downto 0); phy_dv : in std_ulogic; phy_rx_er : in std_ulogic; phy_col : in std_ulogic; phy_crs : in std_ulogic; phy_tx_data : out std_logic_vector(7 downto 0); phy_tx_en : out std_ulogic; phy_tx_er : out std_ulogic; phy_mii_clk : out std_ulogic; phy_rst_n : out std_ulogic; phy_int : in std_ulogic; ps2_keyb_clk : inout std_logic; ps2_keyb_data : inout std_logic; ps2_mouse_clk : inout std_logic; ps2_mouse_data : inout std_logic; usb_csn : out std_logic; usb_rstn : out std_logic; iic_scl : inout std_ulogic; iic_sda : inout std_ulogic; dvi_iic_scl : inout std_logic; dvi_iic_sda : inout std_logic; tft_lcd_data : out std_logic_vector(11 downto 0); tft_lcd_clk_p : out std_ulogic; tft_lcd_clk_n : out std_ulogic; tft_lcd_hsync : out std_ulogic; tft_lcd_vsync : out std_ulogic; tft_lcd_de : out std_ulogic; tft_lcd_reset_b : out std_ulogic; sace_usb_a : out std_logic_vector(6 downto 0); sace_mpce : out std_ulogic; sace_usb_d : inout std_logic_vector(15 downto 0); sace_usb_oen : out std_ulogic; sace_usb_wen : out std_ulogic; sysace_mpirq : in std_ulogic ); end; architecture rtl of leon3mp is component ODDR generic ( DDR_CLK_EDGE : string := "OPPOSITE_EDGE"; -- INIT : bit := '0'; SRTYPE : string := "SYNC"); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic; S : in std_ulogic ); end component; component svga2ch7301c generic ( tech : integer := 0; idf : integer := 0; dynamic : integer := 0 ); port ( clk : in std_ulogic; rstn : in std_ulogic; clksel : in std_logic_vector(1 downto 0); vgao : in apbvga_out_type; vgaclk_fb : in std_ulogic; clk25_fb : in std_ulogic; clk40_fb : in std_ulogic; clk65_fb : in std_ulogic; vgaclk : out std_ulogic; clk25 : out std_ulogic; clk40 : out std_ulogic; clk65 : out std_ulogic; dclk_p : out std_ulogic; dclk_n : out std_ulogic; locked : out std_ulogic; data : out std_logic_vector(11 downto 0); hsync : out std_ulogic; vsync : out std_ulogic; de : out std_ulogic ); end component; constant blength : integer := 12; constant fifodepth : integer := 8; constant maxahbm : integer := NCPU+CFG_AHB_UART +CFG_GRETH+CFG_AHB_JTAG+CFG_SVGA_ENABLE; signal ddr_clk_fb : std_logic; signal vcc, gnd : std_logic_vector(4 downto 0); signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdctrl_out_type; signal sdo2 : sdctrl_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal clkm, rstn, rstraw, srclkl : std_ulogic; signal clk_200 : std_ulogic; signal clk25, clk40, clk65 : std_ulogic; signal cgi, cgi2 : clkgen_in_type; signal cgo, cgo2 : clkgen_out_type; signal u1i, u2i, dui : uart_in_type; signal u1o, u2o, duo : uart_out_type; signal irqi : irq_in_vector(0 to NCPU-1); signal irqo : irq_out_vector(0 to NCPU-1); signal dbgi : l3_debug_in_vector(0 to NCPU-1); signal dbgo : l3_debug_out_vector(0 to NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal ethi, ethi1, ethi2 : eth_in_type; signal etho, etho1, etho2 : eth_out_type; signal gpti : gptimer_in_type; signal gpto : gptimer_out_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal clklock, lock, lclk, clkml, rst, ndsuact : std_ulogic; signal tck, tckn, tms, tdi, tdo : std_ulogic; signal ddrclk, ddrrst : std_ulogic; signal egtx_clk_fb : std_ulogic; signal egtx_clk, legtx_clk, l2egtx_clk : std_ulogic; signal kbdi : ps2_in_type; signal kbdo : ps2_out_type; signal moui : ps2_in_type; signal mouo : ps2_out_type; signal vgao : apbvga_out_type; signal lcd_datal : std_logic_vector(11 downto 0); signal lcd_hsyncl, lcd_vsyncl, lcd_del, lcd_reset_bl : std_ulogic; signal clk_sel : std_logic_vector(1 downto 0); signal vgalock : std_ulogic; signal clkvga, clkvga_p, clkvga_n : std_ulogic; signal i2ci, dvi_i2ci : i2c_in_type; signal i2co, dvi_i2co : i2c_out_type; constant BOARD_FREQ_200 : integer := 200000; -- input frequency in KHz constant BOARD_FREQ : integer := 100000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz constant I2C_FILTER : integer := (CPU_FREQ*5+50000)/100000+1; constant IOAEN : integer := CFG_DDR2SP + CFG_GRACECTRL; signal stati : ahbstat_in_type; signal ssrclkfb : std_ulogic; -- Used for connecting input/output signals to the DDR3 controller signal migi : mig_app_in_type; signal migo : mig_app_out_type; signal phy_init_done : std_ulogic; signal clk0_tb, rst0_tb, rst0_tbn : std_ulogic; signal sysmoni : grsysmon_in_type; signal sysmono : grsysmon_out_type; signal clkace : std_ulogic; signal acei : gracectrl_in_type; signal aceo : gracectrl_out_type; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute syn_keep of clkml : signal is true; attribute syn_preserve of clkml : signal is true; attribute syn_keep of clkm : signal is true; attribute syn_preserve of clkm : signal is true; attribute syn_keep of egtx_clk : signal is true; attribute syn_preserve of egtx_clk : signal is true; attribute syn_keep of clkvga : signal is true; attribute syn_preserve of clkvga : signal is true; attribute syn_keep of clk25 : signal is true; attribute syn_preserve of clk25 : signal is true; attribute syn_keep of clk40 : signal is true; attribute syn_preserve of clk40 : signal is true; attribute syn_keep of clk65 : signal is true; attribute syn_preserve of clk65 : signal is true; attribute syn_keep of clk_200 : signal is true; attribute syn_preserve of clk_200 : signal is true; attribute syn_preserve of phy_init_done : signal is true; attribute keep : boolean; attribute keep of lock : signal is true; attribute keep of clkml : signal is true; attribute keep of clkm : signal is true; attribute keep of egtx_clk : signal is true; attribute keep of clkvga : signal is true; attribute keep of clk25 : signal is true; attribute keep of clk40 : signal is true; attribute keep of clk65 : signal is true; attribute keep of clk_200 : signal is true; attribute keep of phy_init_done : signal is true; attribute syn_noprune : boolean; attribute syn_noprune of sysace_clk_in_pad : label is true; begin usb_csn <= '1'; usb_rstn <= rstn; rst0_tbn <= not rst0_tb; ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; cgi.pllref <= ssrclkfb; ssrref_pad : clkpad generic map (tech => padtech) port map (sram_clk_fb, ssrclkfb); clk_pad : clkpad generic map (tech => padtech, arch => 2) port map (clk_100, lclk); clk200_pad : clkpad_ds generic map (tech => padtech, level => lvds, voltage => x25v) port map (clk_200_p, clk_200_n, clk_200); srclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (sram_clk, srclkl); sysace_clk_in_pad : clkpad generic map (tech => padtech) port map (sysace_clk_in, clkace); clkgen0 : clkgen -- system clock generator generic map (CFG_FABTECH, CFG_CLKMUL, CFG_CLKDIV, 1, 0, 0, 0, 0, BOARD_FREQ, 0) port map (lclk, gnd(0), clkm, open, open, srclkl, open, cgi, cgo); gclk : if CFG_GRETH1G /= 0 generate clkgen1 : clkgen -- Ethernet 1G PHY clock generator generic map (CFG_FABTECH, 5, 4, 0, 0, 0, 0, 0, BOARD_FREQ, 0) port map (lclk, gnd(0), egtx_clk, open, open, open, open, cgi2, cgo2); cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw; --cgi2.pllref <= egtx_clk_fb; x0 : ODDR port map ( Q => phy_gtx_clk, C => egtx_clk, CE => vcc(0), -- D1 => gnd(0), D2 => vcc(0), R => gnd(0), S => gnd(0)); D1 => vcc(0), D2 => gnd(0), R => gnd(0), S => gnd(0)); end generate; nogclk : if CFG_GRETH1G = 0 generate cgo2.clklock <= '1'; phy_gtx_clk <= '0'; end generate; resetn_pad : inpad generic map (tech => padtech) port map (sys_rst_in, rst); rst0 : rstgen -- reset generator port map (rst, clkm, clklock, rstn, rstraw); clklock <= lock and cgo.clklock and cgo2.clklock and vgalock; ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, devid => XILINX_ML501, ioen => IOAEN, nahbm => maxahbm, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; bus_error(0) <= not dbgo(0).error; bus_error(1) <= rstn; dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsui.enable <= '1'; -- dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsui.break <= gpioo.val(11); -- South Button -- dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, ndsuact); led(4) <= dsuo.active; end generate; end generate; nodsu : if CFG_DSU = 0 generate dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0: ahbuart -- Debug UART generic map (hindex => NCPU, pindex => 7, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU)); -- dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd); -- dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd); dui.rxd <= rxd1 when gpioo.val(0) = '1' else '1'; end generate; txd1 <= duo.txd when gpioo.val(0) = '1' else u1o.txd; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01"; memi.brdyn <= '1'; memi.bexcn <= '1'; mctrl0 : if CFG_MCTRL_LEON2 = 1 generate mctrl0 : mctrl generic map (hindex => 3, pindex => 0, ramaddr => 16#400# + (CFG_DDR2SP+CFG_MIG_DDR2)*16#800#, rammask => 16#FE0#, paddr => 0, srbanks => 1, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN, invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS) port map (rstn, clkm, memi, memo, ahbsi, ahbso(3), apbi, apbo(0), wpo, open); end generate; flash_adv_n_pad : outpad generic map (tech => padtech) port map (flash_adv_n, gnd(0)); sram_adv_ld_n_pad : outpad generic map (tech => padtech) port map (sram_adv_ld_n, gnd(0)); sram_mode_pad : outpad generic map (tech => padtech) port map (sram_mode, gnd(0)); addr_pad : outpadv generic map (width => 24, tech => padtech) port map (sram_flash_addr, memo.address(24 downto 1)); rams_pad : outpad generic map ( tech => padtech) port map (sram_cen, memo.ramsn(0)); roms_pad : outpad generic map (tech => padtech) port map (flash_ce, memo.romsn(0)); ramoen_pad : outpad generic map (tech => padtech) port map (sram_oen, memo.ramoen(0)); flash_oen_pad : outpad generic map (tech => padtech) port map (flash_oen, memo.oen); --pragma translate_off iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); --pragma translate_on rwen_pad : outpadv generic map (width => 2, tech => padtech) port map (sram_bw(0 to 1), memo.wrn(3 downto 2)); rwen_pad2 : outpadv generic map (width => 2, tech => padtech) port map (sram_bw(2 to 3), memo.wrn(1 downto 0)); wri_pad : outpad generic map (tech => padtech) port map (sram_flash_we_n, memo.writen); data_pads : iopadvv generic map (tech => padtech, width => 16) port map (sram_flash_data(15 downto 0), memo.data(31 downto 16), memo.vbdrive(31 downto 16), memi.data(31 downto 16)); data_pads2 : iopadvv generic map (tech => padtech, width => 16) port map (sram_flash_data(31 downto 16), memo.data(15 downto 0), memo.vbdrive(15 downto 0), memi.data(15 downto 0)); migsp0 : if (CFG_MIG_DDR2 = 1) generate ahb2mig0 : entity work.ahb2mig_ml50x generic map ( hindex => 0, haddr => 16#400#, hmask => MIGHMASK, MHz => 400, Mbyte => 512, nosync => 0) --boolean'pos(CFG_MIG_CLK4=12)) --CFG_CLKDIV/12) port map ( rst_ahb => rstn, rst_ddr => rst0_tbn, clk_ahb => clkm, clk_ddr => clk0_tb, ahbsi => ahbsi, ahbso => ahbso(0), migi => migi, migo => migo); migv5 : mig_36_1 generic map ( CKE_WIDTH => CKE_WIDTH, CS_NUM => CS_NUM, CS_WIDTH => CS_WIDTH, CS_BITS => CS_BITS, COL_WIDTH => COL_WIDTH, ROW_WIDTH => ROW_WIDTH, NOCLK200 => true, SIM_ONLY => 1) port map( ddr2_dq => ddr2_dq(DQ_WIDTH-1 downto 0), ddr2_a => ddr2_a(ROW_WIDTH-1 downto 0), ddr2_ba => ddr2_ba(1 downto 0), ddr2_ras_n => ddr2_ras_n, ddr2_cas_n => ddr2_cas_n, ddr2_we_n => ddr2_we_n, ddr2_cs_n => ddr2_cs_n(CS_NUM-1 downto 0), ddr2_odt => ddr2_odt(0 downto 0), ddr2_cke => ddr2_cke(CKE_WIDTH-1 downto 0), ddr2_dm => ddr2_dm(DM_WIDTH-1 downto 0), sys_clk => clk_200, idly_clk_200 => clk_200, sys_rst_n => rstraw, phy_init_done => phy_init_done, rst0_tb => rst0_tb, clk0_tb => clk0_tb, app_wdf_afull => migo.app_wdf_afull, app_af_afull => migo.app_af_afull, rd_data_valid => migo.app_rd_data_valid, app_wdf_wren => migi.app_wdf_wren, app_af_wren => migi.app_en, app_af_addr => migi.app_addr, app_af_cmd => migi.app_cmd, rd_data_fifo_out => migo.app_rd_data, app_wdf_data => migi.app_wdf_data, app_wdf_mask_data => migi.app_wdf_mask, ddr2_dqs => ddr2_dqs(DQS_WIDTH-1 downto 0), ddr2_dqs_n => ddr2_dqs_n(DQS_WIDTH-1 downto 0), ddr2_ck => ddr2_ck((CLK_WIDTH-1) downto 0), ddr2_ck_n => ddr2_ck_n((CLK_WIDTH-1) downto 0) ); lock <= phy_init_done; led(5) <= phy_init_done; end generate; ddrsp0 : if (CFG_DDR2SP /= 0) and (CFG_MIG_DDR2 = 0) generate ddrc0 : ddr2spa generic map ( fabtech => fabtech, memtech => memtech, hindex => 0, haddr => 16#400#, hmask => 16#E00#, ioaddr => 1, pwron => CFG_DDR2SP_INIT, MHz => BOARD_FREQ_200/1000, TRFC => CFG_DDR2SP_TRFC, clkmul => CFG_DDR2SP_FREQ/10, clkdiv => 20, ahbfreq => CPU_FREQ/1000, col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE, ddrbits => 64, ddelayb0 => CFG_DDR2SP_DELAY0, ddelayb1 => CFG_DDR2SP_DELAY1, ddelayb2 => CFG_DDR2SP_DELAY2, ddelayb3 => CFG_DDR2SP_DELAY3, ddelayb4 => CFG_DDR2SP_DELAY4, ddelayb5 => CFG_DDR2SP_DELAY5, ddelayb6 => CFG_DDR2SP_DELAY6, ddelayb7 => CFG_DDR2SP_DELAY7, numidelctrl => 1, norefclk => 0, odten => 3, nclk => 2, eightbanks => 1) port map ( rst, rstn, clk_200, clkm, clk_200, lock, clkml, clkml, ahbsi, ahbso(0), ddr2_ck, ddr2_ck_n, ddr_clk_fb, ddr_clk_fb, ddr2_cke, ddr2_cs_n, ddr2_we_n, ddr2_ras_n, ddr2_cas_n, ddr2_dm, ddr2_dqs, ddr2_dqs_n, ddr2_a, ddr2_ba, ddr2_dq, ddr2_odt); end generate; noddr : if (CFG_DDR2SP = 0) and (CFG_MIG_DDR2 = 0) generate lock <= '1'; end generate; ---------------------------------------------------------------------- --- System ACE I/F Controller --------------------------------------- ---------------------------------------------------------------------- grace: if CFG_GRACECTRL = 1 generate grace0 : gracectrl generic map (hindex => 4, hirq => 3, haddr => 16#002#, hmask => 16#fff#, split => CFG_SPLIT) port map (rstn, clkm, clkace, ahbsi, ahbso(4), acei, aceo); end generate; nograce: if CFG_GRACECTRL /= 1 generate aceo <= gracectrl_none; end generate; sace_usb_a_pads : outpadv generic map (width => 7, tech => padtech) port map (sace_usb_a, aceo.addr); sace_mpce_pad : outpad generic map (tech => padtech) port map (sace_mpce, aceo.cen); sace_usb_d_pads : iopadv generic map (tech => padtech, width => 16) port map (sace_usb_d, aceo.do, aceo.doen, acei.di); sace_usb_oen_pad : outpad generic map (tech => padtech) port map (sace_usb_oen, aceo.oen); sace_usb_wen_pad : outpad generic map (tech => padtech) port map (sace_usb_wen, aceo.wen); sysace_mpirq_pad : inpad generic map (tech => padtech) port map (sysace_mpirq, acei.irq); ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.extclk <= '0'; u1i.ctsn <= '0'; u1i.rxd <= rxd1 when gpioo.val(0) = '0' else '1'; end generate; led(0) <= gpioo.val(0); led(1) <= not rxd1; led(2) <= not duo.txd when gpioo.val(0) = '1' else not u1o.txd; led (12 downto 6) <= (others => '0'); irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, gpto); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; led(3) <= gpto.wdog; end generate; nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; kbd : if CFG_KBD_ENABLE /= 0 generate ps21 : apbps2 generic map(pindex => 4, paddr => 4, pirq => 4) port map(rstn, clkm, apbi, apbo(4), moui, mouo); ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5) port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo); end generate; nokbd : if CFG_KBD_ENABLE = 0 generate apbo(5) <= apb_none; kbdo <= ps2o_none; end generate; kbdclk_pad : iopad generic map (tech => padtech) port map (ps2_keyb_clk,kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i); kbdata_pad : iopad generic map (tech => padtech) port map (ps2_keyb_data, kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i); mouclk_pad : iopad generic map (tech => padtech) port map (ps2_mouse_clk, mouo.ps2_clk_o, mouo.ps2_clk_oe, moui.ps2_clk_i); mouata_pad : iopad generic map (tech => padtech) port map (ps2_mouse_data, mouo.ps2_data_o, mouo.ps2_data_oe, moui.ps2_data_i); vga : if CFG_VGA_ENABLE /= 0 generate vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6) port map(rstn, clkm, clkvga, apbi, apbo(6), vgao); clk_sel <= "00"; end generate; svga : if CFG_SVGA_ENABLE /= 0 generate svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6, hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, clk0 => 40000, clk1 => 40000, clk2 => 25000, clk3 => 15385, burstlen => 6) port map(rstn, clkm, clkvga, apbi, apbo(6), vgao, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), clk_sel); end generate; vgadvi : if (CFG_VGA_ENABLE + CFG_SVGA_ENABLE) /= 0 generate dvi0 : svga2ch7301c generic map (tech => fabtech, dynamic => 1) port map (lclk, rstraw, clk_sel, vgao, clkvga, clk25, clk40, clk65, clkvga, clk25, clk40, clk65, clkvga_p, clkvga_n, vgalock, lcd_datal, lcd_hsyncl, lcd_vsyncl, lcd_del); i2cdvi : i2cmst generic map (pindex => 9, paddr => 9, pmask => 16#FFF#, pirq => 6, filter => I2C_FILTER) port map (rstn, clkm, apbi, apbo(9), dvi_i2ci, dvi_i2co); end generate; novga : if (CFG_VGA_ENABLE + CFG_SVGA_ENABLE) = 0 generate apbo(6) <= apb_none; vgalock <= '1'; lcd_datal <= (others => '0'); clkvga_p <= '0'; clkvga_n <= '0'; lcd_hsyncl <= '0'; lcd_vsyncl <= '0'; lcd_del <= '0'; dvi_i2co.scloen <= '1'; dvi_i2co.sdaoen <= '1'; end generate; tft_lcd_data_pad : outpadv generic map (width => 12, tech => padtech) port map (tft_lcd_data, lcd_datal); tft_lcd_clkp_pad : outpad generic map (tech => padtech) port map (tft_lcd_clk_p, clkvga_p); tft_lcd_clkn_pad : outpad generic map (tech => padtech) port map (tft_lcd_clk_n, clkvga_n); tft_lcd_hsync_pad : outpad generic map (tech => padtech) port map (tft_lcd_hsync, lcd_hsyncl); tft_lcd_vsync_pad : outpad generic map (tech => padtech) port map (tft_lcd_vsync, lcd_vsyncl); tft_lcd_de_pad : outpad generic map (tech => padtech) port map (tft_lcd_de, lcd_del); tft_lcd_reset_pad : outpad generic map (tech => padtech) port map (tft_lcd_reset_b, rstn); dvi_i2c_scl_pad : iopad generic map (tech => padtech) port map (dvi_iic_scl, dvi_i2co.scl, dvi_i2co.scloen, dvi_i2ci.scl); dvi_i2c_sda_pad : iopad generic map (tech => padtech) port map (dvi_iic_sda, dvi_i2co.sda, dvi_i2co.sdaoen, dvi_i2ci.sda); gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio0: grgpio generic map(pindex => 8, paddr => 8, imask => 16#00F0#, nbits => 14) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(8), gpioi => gpioi, gpioo => gpioo); gpio_pads : iopadvv generic map (tech => padtech, width => 14) port map (gpio, gpioo.dout(13 downto 0), gpioo.oen(13 downto 0), gpioi.din(13 downto 0)); end generate; ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); end generate; i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master i2c0 : i2cmst generic map (pindex => 12, paddr => 12, pmask => 16#FFF#, pirq => 11, filter => I2C_FILTER) port map (rstn, clkm, apbi, apbo(12), i2ci, i2co); i2c_scl_pad : iopad generic map (tech => padtech) port map (iic_scl, i2co.scl, i2co.scloen, i2ci.scl); i2c_sda_pad : iopad generic map (tech => padtech) port map (iic_sda, i2co.sda, i2co.sdaoen, i2ci.sda); end generate i2cm; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth1 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map(hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE, pindex => 11, paddr => 11, pirq => 12, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 7, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G, enable_mdint => 1) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE), apbi => apbi, apbo => apbo(11), ethi => ethi, etho => etho); emdio_pad : iopad generic map (tech => padtech) port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (phy_tx_clk, ethi.tx_clk); erxc_pad : clkpad generic map (tech => padtech, arch => 2) port map (phy_rx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 8) port map (phy_rx_data, ethi.rxd(7 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (phy_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (phy_rx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (phy_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (phy_crs, ethi.rx_crs); etxd_pad : outpadv generic map (tech => padtech, width => 8) port map (phy_tx_data, etho.txd(7 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map ( phy_tx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (phy_tx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (phy_mii_clk, etho.mdc); erst_pad : outpad generic map (tech => padtech) port map (phy_rst_n, rstn); emdintn_pad : inpad generic map (tech => padtech) port map (phy_int, ethi.mdint); ethi.gtx_clk <= egtx_clk; end generate; ----------------------------------------------------------------------- --- SYSTEM MONITOR --------------------------------------------------- ----------------------------------------------------------------------- grsmon: if CFG_GRSYSMON = 1 generate sysm0 : grsysmon generic map (tech => fabtech, hindex => 5, hirq => 10, caddr => 16#003#, cmask => 16#fff#, saddr => 16#004#, smask => 16#ffe#, split => CFG_SPLIT, extconvst => 0, wrdalign => 1, INIT_40 => X"0000", INIT_41 => X"0000", INIT_42 => X"0800", INIT_43 => X"0000", INIT_44 => X"0000", INIT_45 => X"0000", INIT_46 => X"0000", INIT_47 => X"0000", INIT_48 => X"0000", INIT_49 => X"0000", INIT_4A => X"0000", INIT_4B => X"0000", INIT_4C => X"0000", INIT_4D => X"0000", INIT_4E => X"0000", INIT_4F => X"0000", INIT_50 => X"0000", INIT_51 => X"0000", INIT_52 => X"0000", INIT_53 => X"0000", INIT_54 => X"0000", INIT_55 => X"0000", INIT_56 => X"0000", INIT_57 => X"0000", SIM_MONITOR_FILE => "sysmon.txt") port map (rstn, clkm, ahbsi, ahbso(5), sysmoni, sysmono); sysmoni <= grsysmon_in_gnd; end generate grsmon; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ocram : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map ( rstn, clkm, ahbsi, ahbso(7)); end generate; ----------------------------------------------------------------------- --- AHB DEBUG -------------------------------------------------------- ----------------------------------------------------------------------- -- dma0 : ahbdma -- generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG, -- pindex => 13, paddr => 13, dbuf => 6) -- port map (rstn, clkm, apbi, apbo(13), ahbmi, -- ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH)); -- at0 : ahbtrace -- generic map ( hindex => 7, ioaddr => 16#200#, iomask => 16#E00#, -- tech => memtech, irq => 0, kbytes => 8) -- port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7)); ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- -- nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH) to NAHBMST-1 generate -- ahbmo(i) <= ahbm_none; -- end generate; -- nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => system_table(XILINX_ML501), fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
-------------------------------------------------------------------------------- -- $Id: family_support.vhd,v 1.5.2.55 2010/12/16 15:10:57 ostlerf Exp $ -------------------------------------------------------------------------------- -- family_support.vhd - package -------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- -------------------------------------------------------------------------------- -- Filename: family_support.vhd -- -- Description: -- -- FAMILIES, PRIMITIVES and PRIMITIVE AVAILABILITY GUARDS -- -- This package allows to determine whether a given primitive -- or set of primitives is available in an FPGA family of interest. -- -- The key element is the function, 'supported', which is -- available in four variants (overloads). Here are examples -- of each: -- -- supported(virtex2, u_RAMB16_S2) -- -- supported("Virtex2", u_RAMB16_S2) -- -- supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- -- supported("spartan3", (u_MUXCY, u_XORCY, u_FD)) -- -- The 'supported' function returns true if and only -- if all of the primitives being tested, as given in the -- second argument, are available in the FPGA family that -- is given in the first argument. -- -- The first argument can be either one of the FPGA family -- names from the enumeration type, 'families_type', or a -- (case insensitive) string giving the same information. -- The family name 'nofamily' is special and supports -- none of the primitives. -- -- The second argument is either a primitive or a list of -- primitives. The set of primitive names that can be -- tested is defined by the declaration of the -- enumeration type, 'primitives_type'. The names are -- the UNISIM-library names for the primitives, prefixed -- by "u_". (The prefix avoids introducing a name that -- conflicts with the component declaration for the primitive.) -- -- The array type, 'primitive_array_type' is the basis for -- forming lists of primitives. Typically, a fixed list -- of primitves is expressed as a VHDL aggregate, a -- comma separated list of primitives enclosed in -- parentheses. (See the last two examples, above.) -- -- The 'supported' function can be used as a guard -- condition for a piece of code that depends on primitives -- (primitive availability guard). Here is an example: -- -- -- GEN : if supported(C_FAMILY, (u_MUXCY, u_XORCY)) generate -- begin -- ... Here, an implementation that depends on -- ... MUXCY and XORCY. -- end generate; -- -- -- It can also be used in an assertion statement -- to give warnings about problems that can arise from -- attempting to implement into a family that does not -- support all of the required primitives: -- -- -- assert supported(C_FAMILY, <primtive list>) -- report "This module cannot be implemnted " & -- "into family, " & C_FAMILY & -- ", because one or more of the primitives, " & -- "<primitive_list>" & ", is not supported." -- severity error; -- -- -- A NOTE ON USAGE -- -- It is probably best to take an exception to the coding -- guidelines and make the names that are needed -- from this package visible to a VHDL compilation unit by -- -- library <libname>; -- use <libname>.family_support.all; -- -- rather than by calling out individual names in use clauses. -- (VHDL tools do not have a common interpretation at present -- on whether -- -- use <libname>.family_support.primitives_type" -- -- makes the enumeration literals visible.) -- -- ADDITIONAL FEATURES -- -- - A function, native_lut_size, is available to allow -- the caller to query the largest sized LUT available in a given -- FPGA family. -- -- - A function, equalIgnoringCase, is available to compare strings -- with case insensitivity. While this can be used to establish -- whether the target family is some particular family, such -- usage is discouraged and should be limited to legacy -- situations or the rare situations where primitive -- availability guards will not suffice. -- -------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 2005Mar24 - First Version -- -- FLO 11/30/05 -- ^^^^^^ -- Virtex5 added. -- ~~~~~~ -- TK 03/17/06 Corrected a Spartan3e issue in myimage -- ~~~~~~ -- FLO 04/26/06 -- ^^^^^^ -- Added the native_lut_size function. -- ~~~~~~ -- FLO 08/10/06 -- ^^^^^^ -- Added support for families virtex, spartan2 and spartan2e. -- ~~~~~~ -- FLO 08/25/06 -- ^^^^^^ -- Enhanced the warning in function str2fam. Now when a string that is -- passed in the call as a parameter does not correspond to a supported fpga -- family, the string value of the passed string is mentioned in the warning -- and it is explicitly stated that the returned value is 'nofamily'. -- ~~~~~~ -- FLO 08/26/06 -- ^^^^^^ -- - Updated the virtex5 primitive set to a more recent list and -- removed primitives (TEMAC, PCIE, etc.) that are not present -- in all virtex5 family members. -- - Added function equalIgnoringCase and an admonition to use it -- as little as possible. -- - Made some improvements to descriptions inside comments. -- ~~~~~~ -- FLO 08/28/06 -- ^^^^^^ -- Added support for families spartan3a and spartan3an. These are initially -- taken to have the same primitives as spartan3e. -- ~~~~~~ -- FLO 10/28/06 -- ^^^^^^ -- Changed function str2fam so that it no longer depends on the VHDL -- attribute, 'VAL. This is an XST workaround. -- ~~~~~~ -- FLO 03/08/07 -- ^^^^^^ -- Updated spartan3a and sparan3an. -- Added spartan3adsp. -- ~~~~~~ -- FLO 08/31/07 -- ^^^^^^ -- A performance XST workaround was implemented to address slowness -- associated with primitive availability guards. The workaround changes -- the way that the fam_has_prim constant is initialized (aggregate -- rather than a system of function and procedure calls). -- ~~~~~~ -- FLO 04/11/08 -- ^^^^^^ -- Added these families: aspartan3e, aspartan3a, aspartan3an, aspartan3adsp -- ~~~~~~ -- FLO 04/14/08 -- ^^^^^^ -- Removed family: aspartan3an -- ~~~~~~ -- FLO 06/25/08 -- ^^^^^^ -- Added these families: qvirtex4, qrvirtex4 -- ~~~~~~ -- FLO 07/26/08 -- ^^^^^^ -- The BSCAN primitive for spartan3e is now BSCAN_SPARTAN3 instead -- of BSCAN_SPARTAN3E. -- ~~~~~~ -- FLO 09/02/06 -- ^^^^^^ -- Added an initial approximation of primitives for spartan6 and virtex6. -- ~~~~~~ -- FLO 09/04/28 -- ^^^^^^ -- -Removed primitive u_BSCAN_SPARTAN3A from spartan6. -- -Added the 5 and 6 LUTs to spartan6. -- ~~~~~~ -- FLO 02/09/10 (back to MM/DD/YY) -- ^^^^^^ -- -Removed primitive u_BSCAN_VIRTEX5 from virtex6. -- -Added families spartan6l, qspartan6, aspartan6 and virtex6l. -- ~~~~~~ -- FLO 04/26/10 (MM/DD/YY) -- ^^^^^^ -- -Added families qspartan6l, qvirtex5 and qvirtex6. -- ~~~~~~ -- FLO 06/21/10 (MM/DD/YY) -- ^^^^^^ -- -Added family qrvirtex5. -- ~~~~~~ -- -- DET 9/7/2010 For 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the function get_root_family() as part of the derivative part -- support improvements. -- - Added the Virtex7 and Kintex7 device families -- ^^^^^^ -- ~~~~~~ -- FLO 10/28/10 (MM/DD/YY) -- ^^^^^^ -- -Added u_SRLC32E as supported for spartan6 (and its derivatives). (CR 575828) -- ~~~~~~ -- FLO 12/15/10 (MM/DD/YY) -- ^^^^^^ -- -Changed virtex6cx to be equal to virtex6 (instead of virtex5) -- -Move kintex7 and virtex7 to the primitives in the Rodin unisim.btl file -- -Added artix7 from the primitives in the Rodin unisim.btl file -- ~~~~~~ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595477 -- - Added zynq support in the get_root_family function. -- ^^^^^^ -- -- DET 03/18/2011 -- ^^^^^^ -- Per CR602290 -- - Added u_RAMB16_S4_S36 for kintex7, virtex7, artix7 to grandfather axi_ethernetlite_v1_00_a. -- - This change was lost from 13.1 O.40d to 13.2 branch. -- - Copied the Virtex7 primitive info to zynq primitive entry (instead of the artix7 info) -- ~~~~~~ -- -- DET 4/4/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR604652 -- - Added kintex7l and virtex7l -- ^^^^^^ -- -------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinational signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports:- Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -------------------------------------------------------------------------------- package family_support is type families_type is ( nofamily , kintex8 , kintex7 , kintex7l , qkintex7 , qkintex7l , virtex8 , virtex7 , virtex7l , qvirtex7 , qvirtex7l , artix8 , artix7 , aartix7 , artix7l , qartix7 , qartix7l , zynq , azynq , qzynq ); type primitives_type is range 0 to 865; constant u_AND2: primitives_type := 0; constant u_AND2B1L: primitives_type := u_AND2 + 1; constant u_AND3: primitives_type := u_AND2B1L + 1; constant u_AND4: primitives_type := u_AND3 + 1; constant u_AUTOBUF: primitives_type := u_AND4 + 1; constant u_BSCAN_SPARTAN2: primitives_type := u_AUTOBUF + 1; constant u_BSCAN_SPARTAN3: primitives_type := u_BSCAN_SPARTAN2 + 1; constant u_BSCAN_SPARTAN3A: primitives_type := u_BSCAN_SPARTAN3 + 1; constant u_BSCAN_SPARTAN3E: primitives_type := u_BSCAN_SPARTAN3A + 1; constant u_BSCAN_SPARTAN6: primitives_type := u_BSCAN_SPARTAN3E + 1; constant u_BSCAN_VIRTEX: primitives_type := u_BSCAN_SPARTAN6 + 1; constant u_BSCAN_VIRTEX2: primitives_type := u_BSCAN_VIRTEX + 1; constant u_BSCAN_VIRTEX4: primitives_type := u_BSCAN_VIRTEX2 + 1; constant u_BSCAN_VIRTEX5: primitives_type := u_BSCAN_VIRTEX4 + 1; constant u_BSCAN_VIRTEX6: primitives_type := u_BSCAN_VIRTEX5 + 1; constant u_BUF: primitives_type := u_BSCAN_VIRTEX6 + 1; constant u_BUFCF: primitives_type := u_BUF + 1; constant u_BUFE: primitives_type := u_BUFCF + 1; constant u_BUFG: primitives_type := u_BUFE + 1; constant u_BUFGCE: primitives_type := u_BUFG + 1; constant u_BUFGCE_1: primitives_type := u_BUFGCE + 1; constant u_BUFGCTRL: primitives_type := u_BUFGCE_1 + 1; constant u_BUFGDLL: primitives_type := u_BUFGCTRL + 1; constant u_BUFGMUX: primitives_type := u_BUFGDLL + 1; constant u_BUFGMUX_1: primitives_type := u_BUFGMUX + 1; constant u_BUFGMUX_CTRL: primitives_type := u_BUFGMUX_1 + 1; constant u_BUFGMUX_VIRTEX4: primitives_type := u_BUFGMUX_CTRL + 1; constant u_BUFGP: primitives_type := u_BUFGMUX_VIRTEX4 + 1; constant u_BUFH: primitives_type := u_BUFGP + 1; constant u_BUFHCE: primitives_type := u_BUFH + 1; constant u_BUFIO: primitives_type := u_BUFHCE + 1; constant u_BUFIO2: primitives_type := u_BUFIO + 1; constant u_BUFIO2_2CLK: primitives_type := u_BUFIO2 + 1; constant u_BUFIO2FB: primitives_type := u_BUFIO2_2CLK + 1; constant u_BUFIO2FB_2CLK: primitives_type := u_BUFIO2FB + 1; constant u_BUFIODQS: primitives_type := u_BUFIO2FB_2CLK + 1; constant u_BUFPLL: primitives_type := u_BUFIODQS + 1; constant u_BUFPLL_MCB: primitives_type := u_BUFPLL + 1; constant u_BUFR: primitives_type := u_BUFPLL_MCB + 1; constant u_BUFT: primitives_type := u_BUFR + 1; constant u_CAPTURE_SPARTAN2: primitives_type := u_BUFT + 1; constant u_CAPTURE_SPARTAN3: primitives_type := u_CAPTURE_SPARTAN2 + 1; constant u_CAPTURE_SPARTAN3A: primitives_type := u_CAPTURE_SPARTAN3 + 1; constant u_CAPTURE_SPARTAN3E: primitives_type := u_CAPTURE_SPARTAN3A + 1; constant u_CAPTURE_VIRTEX: primitives_type := u_CAPTURE_SPARTAN3E + 1; constant u_CAPTURE_VIRTEX2: primitives_type := u_CAPTURE_VIRTEX + 1; constant u_CAPTURE_VIRTEX4: primitives_type := u_CAPTURE_VIRTEX2 + 1; constant u_CAPTURE_VIRTEX5: primitives_type := u_CAPTURE_VIRTEX4 + 1; constant u_CAPTURE_VIRTEX6: primitives_type := u_CAPTURE_VIRTEX5 + 1; constant u_CARRY4: primitives_type := u_CAPTURE_VIRTEX6 + 1; constant u_CFGLUT5: primitives_type := u_CARRY4 + 1; constant u_CLKDLL: primitives_type := u_CFGLUT5 + 1; constant u_CLKDLLE: primitives_type := u_CLKDLL + 1; constant u_CLKDLLHF: primitives_type := u_CLKDLLE + 1; constant u_CRC32: primitives_type := u_CLKDLLHF + 1; constant u_CRC64: primitives_type := u_CRC32 + 1; constant u_DCIRESET: primitives_type := u_CRC64 + 1; constant u_DCM: primitives_type := u_DCIRESET + 1; constant u_DCM_ADV: primitives_type := u_DCM + 1; constant u_DCM_BASE: primitives_type := u_DCM_ADV + 1; constant u_DCM_CLKGEN: primitives_type := u_DCM_BASE + 1; constant u_DCM_PS: primitives_type := u_DCM_CLKGEN + 1; constant u_DNA_PORT: primitives_type := u_DCM_PS + 1; constant u_DSP48: primitives_type := u_DNA_PORT + 1; constant u_DSP48A: primitives_type := u_DSP48 + 1; constant u_DSP48A1: primitives_type := u_DSP48A + 1; constant u_DSP48E: primitives_type := u_DSP48A1 + 1; constant u_DSP48E1: primitives_type := u_DSP48E + 1; constant u_DUMMY_INV: primitives_type := u_DSP48E1 + 1; constant u_DUMMY_NOR2: primitives_type := u_DUMMY_INV + 1; constant u_EFUSE_USR: primitives_type := u_DUMMY_NOR2 + 1; constant u_EMAC: primitives_type := u_EFUSE_USR + 1; constant u_FD: primitives_type := u_EMAC + 1; constant u_FD_1: primitives_type := u_FD + 1; constant u_FDC: primitives_type := u_FD_1 + 1; constant u_FDC_1: primitives_type := u_FDC + 1; constant u_FDCE: primitives_type := u_FDC_1 + 1; constant u_FDCE_1: primitives_type := u_FDCE + 1; constant u_FDCP: primitives_type := u_FDCE_1 + 1; constant u_FDCP_1: primitives_type := u_FDCP + 1; constant u_FDCPE: primitives_type := u_FDCP_1 + 1; constant u_FDCPE_1: primitives_type := u_FDCPE + 1; constant u_FDDRCPE: primitives_type := u_FDCPE_1 + 1; constant u_FDDRRSE: primitives_type := u_FDDRCPE + 1; constant u_FDE: primitives_type := u_FDDRRSE + 1; constant u_FDE_1: primitives_type := u_FDE + 1; constant u_FDP: primitives_type := u_FDE_1 + 1; constant u_FDP_1: primitives_type := u_FDP + 1; constant u_FDPE: primitives_type := u_FDP_1 + 1; constant u_FDPE_1: primitives_type := u_FDPE + 1; constant u_FDR: primitives_type := u_FDPE_1 + 1; constant u_FDR_1: primitives_type := u_FDR + 1; constant u_FDRE: primitives_type := u_FDR_1 + 1; constant u_FDRE_1: primitives_type := u_FDRE + 1; constant u_FDRS: primitives_type := u_FDRE_1 + 1; constant u_FDRS_1: primitives_type := u_FDRS + 1; constant u_FDRSE: primitives_type := u_FDRS_1 + 1; constant u_FDRSE_1: primitives_type := u_FDRSE + 1; constant u_FDS: primitives_type := u_FDRSE_1 + 1; constant u_FDS_1: primitives_type := u_FDS + 1; constant u_FDSE: primitives_type := u_FDS_1 + 1; constant u_FDSE_1: primitives_type := u_FDSE + 1; constant u_FIFO16: primitives_type := u_FDSE_1 + 1; constant u_FIFO18: primitives_type := u_FIFO16 + 1; constant u_FIFO18_36: primitives_type := u_FIFO18 + 1; constant u_FIFO18E1: primitives_type := u_FIFO18_36 + 1; constant u_FIFO36: primitives_type := u_FIFO18E1 + 1; constant u_FIFO36_72: primitives_type := u_FIFO36 + 1; constant u_FIFO36E1: primitives_type := u_FIFO36_72 + 1; constant u_FMAP: primitives_type := u_FIFO36E1 + 1; constant u_FRAME_ECC_VIRTEX4: primitives_type := u_FMAP + 1; constant u_FRAME_ECC_VIRTEX5: primitives_type := u_FRAME_ECC_VIRTEX4 + 1; constant u_FRAME_ECC_VIRTEX6: primitives_type := u_FRAME_ECC_VIRTEX5 + 1; constant u_GND: primitives_type := u_FRAME_ECC_VIRTEX6 + 1; constant u_GT10_10GE_4: primitives_type := u_GND + 1; constant u_GT10_10GE_8: primitives_type := u_GT10_10GE_4 + 1; constant u_GT10_10GFC_4: primitives_type := u_GT10_10GE_8 + 1; constant u_GT10_10GFC_8: primitives_type := u_GT10_10GFC_4 + 1; constant u_GT10_AURORA_1: primitives_type := u_GT10_10GFC_8 + 1; constant u_GT10_AURORA_2: primitives_type := u_GT10_AURORA_1 + 1; constant u_GT10_AURORA_4: primitives_type := u_GT10_AURORA_2 + 1; constant u_GT10_AURORAX_4: primitives_type := u_GT10_AURORA_4 + 1; constant u_GT10_AURORAX_8: primitives_type := u_GT10_AURORAX_4 + 1; constant u_GT10_CUSTOM: primitives_type := u_GT10_AURORAX_8 + 1; constant u_GT10_INFINIBAND_1: primitives_type := u_GT10_CUSTOM + 1; constant u_GT10_INFINIBAND_2: primitives_type := u_GT10_INFINIBAND_1 + 1; constant u_GT10_INFINIBAND_4: primitives_type := u_GT10_INFINIBAND_2 + 1; constant u_GT10_OC192_4: primitives_type := u_GT10_INFINIBAND_4 + 1; constant u_GT10_OC192_8: primitives_type := u_GT10_OC192_4 + 1; constant u_GT10_OC48_1: primitives_type := u_GT10_OC192_8 + 1; constant u_GT10_OC48_2: primitives_type := u_GT10_OC48_1 + 1; constant u_GT10_OC48_4: primitives_type := u_GT10_OC48_2 + 1; constant u_GT10_PCI_EXPRESS_1: primitives_type := u_GT10_OC48_4 + 1; constant u_GT10_PCI_EXPRESS_2: primitives_type := u_GT10_PCI_EXPRESS_1 + 1; constant u_GT10_PCI_EXPRESS_4: primitives_type := u_GT10_PCI_EXPRESS_2 + 1; constant u_GT10_XAUI_1: primitives_type := u_GT10_PCI_EXPRESS_4 + 1; constant u_GT10_XAUI_2: primitives_type := u_GT10_XAUI_1 + 1; constant u_GT10_XAUI_4: primitives_type := u_GT10_XAUI_2 + 1; constant u_GT11CLK: primitives_type := u_GT10_XAUI_4 + 1; constant u_GT11CLK_MGT: primitives_type := u_GT11CLK + 1; constant u_GT11_CUSTOM: primitives_type := u_GT11CLK_MGT + 1; constant u_GT_AURORA_1: primitives_type := u_GT11_CUSTOM + 1; constant u_GT_AURORA_2: primitives_type := u_GT_AURORA_1 + 1; constant u_GT_AURORA_4: primitives_type := u_GT_AURORA_2 + 1; constant u_GT_CUSTOM: primitives_type := u_GT_AURORA_4 + 1; constant u_GT_ETHERNET_1: primitives_type := u_GT_CUSTOM + 1; constant u_GT_ETHERNET_2: primitives_type := u_GT_ETHERNET_1 + 1; constant u_GT_ETHERNET_4: primitives_type := u_GT_ETHERNET_2 + 1; constant u_GT_FIBRE_CHAN_1: primitives_type := u_GT_ETHERNET_4 + 1; constant u_GT_FIBRE_CHAN_2: primitives_type := u_GT_FIBRE_CHAN_1 + 1; constant u_GT_FIBRE_CHAN_4: primitives_type := u_GT_FIBRE_CHAN_2 + 1; constant u_GT_INFINIBAND_1: primitives_type := u_GT_FIBRE_CHAN_4 + 1; constant u_GT_INFINIBAND_2: primitives_type := u_GT_INFINIBAND_1 + 1; constant u_GT_INFINIBAND_4: primitives_type := u_GT_INFINIBAND_2 + 1; constant u_GTPA1_DUAL: primitives_type := u_GT_INFINIBAND_4 + 1; constant u_GT_XAUI_1: primitives_type := u_GTPA1_DUAL + 1; constant u_GT_XAUI_2: primitives_type := u_GT_XAUI_1 + 1; constant u_GT_XAUI_4: primitives_type := u_GT_XAUI_2 + 1; constant u_GTXE1: primitives_type := u_GT_XAUI_4 + 1; constant u_IBUF: primitives_type := u_GTXE1 + 1; constant u_IBUF_AGP: primitives_type := u_IBUF + 1; constant u_IBUF_CTT: primitives_type := u_IBUF_AGP + 1; constant u_IBUF_DLY_ADJ: primitives_type := u_IBUF_CTT + 1; constant u_IBUFDS: primitives_type := u_IBUF_DLY_ADJ + 1; constant u_IBUFDS_DIFF_OUT: primitives_type := u_IBUFDS + 1; constant u_IBUFDS_DLY_ADJ: primitives_type := u_IBUFDS_DIFF_OUT + 1; constant u_IBUFDS_GTXE1: primitives_type := u_IBUFDS_DLY_ADJ + 1; constant u_IBUFG: primitives_type := u_IBUFDS_GTXE1 + 1; constant u_IBUFG_AGP: primitives_type := u_IBUFG + 1; constant u_IBUFG_CTT: primitives_type := u_IBUFG_AGP + 1; constant u_IBUFGDS: primitives_type := u_IBUFG_CTT + 1; constant u_IBUFGDS_DIFF_OUT: primitives_type := u_IBUFGDS + 1; constant u_IBUFG_GTL: primitives_type := u_IBUFGDS_DIFF_OUT + 1; constant u_IBUFG_GTLP: primitives_type := u_IBUFG_GTL + 1; constant u_IBUFG_HSTL_I: primitives_type := u_IBUFG_GTLP + 1; constant u_IBUFG_HSTL_III: primitives_type := u_IBUFG_HSTL_I + 1; constant u_IBUFG_HSTL_IV: primitives_type := u_IBUFG_HSTL_III + 1; constant u_IBUFG_LVCMOS18: primitives_type := u_IBUFG_HSTL_IV + 1; constant u_IBUFG_LVCMOS2: primitives_type := u_IBUFG_LVCMOS18 + 1; constant u_IBUFG_LVDS: primitives_type := u_IBUFG_LVCMOS2 + 1; constant u_IBUFG_LVPECL: primitives_type := u_IBUFG_LVDS + 1; constant u_IBUFG_PCI33_3: primitives_type := u_IBUFG_LVPECL + 1; constant u_IBUFG_PCI33_5: primitives_type := u_IBUFG_PCI33_3 + 1; constant u_IBUFG_PCI66_3: primitives_type := u_IBUFG_PCI33_5 + 1; constant u_IBUFG_PCIX66_3: primitives_type := u_IBUFG_PCI66_3 + 1; constant u_IBUFG_SSTL2_I: primitives_type := u_IBUFG_PCIX66_3 + 1; constant u_IBUFG_SSTL2_II: primitives_type := u_IBUFG_SSTL2_I + 1; constant u_IBUFG_SSTL3_I: primitives_type := u_IBUFG_SSTL2_II + 1; constant u_IBUFG_SSTL3_II: primitives_type := u_IBUFG_SSTL3_I + 1; constant u_IBUF_GTL: primitives_type := u_IBUFG_SSTL3_II + 1; constant u_IBUF_GTLP: primitives_type := u_IBUF_GTL + 1; constant u_IBUF_HSTL_I: primitives_type := u_IBUF_GTLP + 1; constant u_IBUF_HSTL_III: primitives_type := u_IBUF_HSTL_I + 1; constant u_IBUF_HSTL_IV: primitives_type := u_IBUF_HSTL_III + 1; constant u_IBUF_LVCMOS18: primitives_type := u_IBUF_HSTL_IV + 1; constant u_IBUF_LVCMOS2: primitives_type := u_IBUF_LVCMOS18 + 1; constant u_IBUF_LVDS: primitives_type := u_IBUF_LVCMOS2 + 1; constant u_IBUF_LVPECL: primitives_type := u_IBUF_LVDS + 1; constant u_IBUF_PCI33_3: primitives_type := u_IBUF_LVPECL + 1; constant u_IBUF_PCI33_5: primitives_type := u_IBUF_PCI33_3 + 1; constant u_IBUF_PCI66_3: primitives_type := u_IBUF_PCI33_5 + 1; constant u_IBUF_PCIX66_3: primitives_type := u_IBUF_PCI66_3 + 1; constant u_IBUF_SSTL2_I: primitives_type := u_IBUF_PCIX66_3 + 1; constant u_IBUF_SSTL2_II: primitives_type := u_IBUF_SSTL2_I + 1; constant u_IBUF_SSTL3_I: primitives_type := u_IBUF_SSTL2_II + 1; constant u_IBUF_SSTL3_II: primitives_type := u_IBUF_SSTL3_I + 1; constant u_ICAP_SPARTAN3A: primitives_type := u_IBUF_SSTL3_II + 1; constant u_ICAP_SPARTAN6: primitives_type := u_ICAP_SPARTAN3A + 1; constant u_ICAP_VIRTEX2: primitives_type := u_ICAP_SPARTAN6 + 1; constant u_ICAP_VIRTEX4: primitives_type := u_ICAP_VIRTEX2 + 1; constant u_ICAP_VIRTEX5: primitives_type := u_ICAP_VIRTEX4 + 1; constant u_ICAP_VIRTEX6: primitives_type := u_ICAP_VIRTEX5 + 1; constant u_IDDR: primitives_type := u_ICAP_VIRTEX6 + 1; constant u_IDDR2: primitives_type := u_IDDR + 1; constant u_IDDR_2CLK: primitives_type := u_IDDR2 + 1; constant u_IDELAY: primitives_type := u_IDDR_2CLK + 1; constant u_IDELAYCTRL: primitives_type := u_IDELAY + 1; constant u_IFDDRCPE: primitives_type := u_IDELAYCTRL + 1; constant u_IFDDRRSE: primitives_type := u_IFDDRCPE + 1; constant u_INV: primitives_type := u_IFDDRRSE + 1; constant u_IOBUF: primitives_type := u_INV + 1; constant u_IOBUF_AGP: primitives_type := u_IOBUF + 1; constant u_IOBUF_CTT: primitives_type := u_IOBUF_AGP + 1; constant u_IOBUFDS: primitives_type := u_IOBUF_CTT + 1; constant u_IOBUFDS_DIFF_OUT: primitives_type := u_IOBUFDS + 1; constant u_IOBUF_F_12: primitives_type := u_IOBUFDS_DIFF_OUT + 1; constant u_IOBUF_F_16: primitives_type := u_IOBUF_F_12 + 1; constant u_IOBUF_F_2: primitives_type := u_IOBUF_F_16 + 1; constant u_IOBUF_F_24: primitives_type := u_IOBUF_F_2 + 1; constant u_IOBUF_F_4: primitives_type := u_IOBUF_F_24 + 1; constant u_IOBUF_F_6: primitives_type := u_IOBUF_F_4 + 1; constant u_IOBUF_F_8: primitives_type := u_IOBUF_F_6 + 1; constant u_IOBUF_GTL: primitives_type := u_IOBUF_F_8 + 1; constant u_IOBUF_GTLP: primitives_type := u_IOBUF_GTL + 1; constant u_IOBUF_HSTL_I: primitives_type := u_IOBUF_GTLP + 1; constant u_IOBUF_HSTL_III: primitives_type := u_IOBUF_HSTL_I + 1; constant u_IOBUF_HSTL_IV: primitives_type := u_IOBUF_HSTL_III + 1; constant u_IOBUF_LVCMOS18: primitives_type := u_IOBUF_HSTL_IV + 1; constant u_IOBUF_LVCMOS2: primitives_type := u_IOBUF_LVCMOS18 + 1; constant u_IOBUF_LVDS: primitives_type := u_IOBUF_LVCMOS2 + 1; constant u_IOBUF_LVPECL: primitives_type := u_IOBUF_LVDS + 1; constant u_IOBUF_PCI33_3: primitives_type := u_IOBUF_LVPECL + 1; constant u_IOBUF_PCI33_5: primitives_type := u_IOBUF_PCI33_3 + 1; constant u_IOBUF_PCI66_3: primitives_type := u_IOBUF_PCI33_5 + 1; constant u_IOBUF_PCIX66_3: primitives_type := u_IOBUF_PCI66_3 + 1; constant u_IOBUF_S_12: primitives_type := u_IOBUF_PCIX66_3 + 1; constant u_IOBUF_S_16: primitives_type := u_IOBUF_S_12 + 1; constant u_IOBUF_S_2: primitives_type := u_IOBUF_S_16 + 1; constant u_IOBUF_S_24: primitives_type := u_IOBUF_S_2 + 1; constant u_IOBUF_S_4: primitives_type := u_IOBUF_S_24 + 1; constant u_IOBUF_S_6: primitives_type := u_IOBUF_S_4 + 1; constant u_IOBUF_S_8: primitives_type := u_IOBUF_S_6 + 1; constant u_IOBUF_SSTL2_I: primitives_type := u_IOBUF_S_8 + 1; constant u_IOBUF_SSTL2_II: primitives_type := u_IOBUF_SSTL2_I + 1; constant u_IOBUF_SSTL3_I: primitives_type := u_IOBUF_SSTL2_II + 1; constant u_IOBUF_SSTL3_II: primitives_type := u_IOBUF_SSTL3_I + 1; constant u_IODELAY: primitives_type := u_IOBUF_SSTL3_II + 1; constant u_IODELAY2: primitives_type := u_IODELAY + 1; constant u_IODELAYE1: primitives_type := u_IODELAY2 + 1; constant u_IODRP2: primitives_type := u_IODELAYE1 + 1; constant u_IODRP2_MCB: primitives_type := u_IODRP2 + 1; constant u_ISERDES: primitives_type := u_IODRP2_MCB + 1; constant u_ISERDES2: primitives_type := u_ISERDES + 1; constant u_ISERDESE1: primitives_type := u_ISERDES2 + 1; constant u_ISERDES_NODELAY: primitives_type := u_ISERDESE1 + 1; constant u_JTAGPPC: primitives_type := u_ISERDES_NODELAY + 1; constant u_JTAG_SIM_SPARTAN6: primitives_type := u_JTAGPPC + 1; constant u_JTAG_SIM_VIRTEX6: primitives_type := u_JTAG_SIM_SPARTAN6 + 1; constant u_KEEPER: primitives_type := u_JTAG_SIM_VIRTEX6 + 1; constant u_KEY_CLEAR: primitives_type := u_KEEPER + 1; constant u_LD: primitives_type := u_KEY_CLEAR + 1; constant u_LD_1: primitives_type := u_LD + 1; constant u_LDC: primitives_type := u_LD_1 + 1; constant u_LDC_1: primitives_type := u_LDC + 1; constant u_LDCE: primitives_type := u_LDC_1 + 1; constant u_LDCE_1: primitives_type := u_LDCE + 1; constant u_LDCP: primitives_type := u_LDCE_1 + 1; constant u_LDCP_1: primitives_type := u_LDCP + 1; constant u_LDCPE: primitives_type := u_LDCP_1 + 1; constant u_LDCPE_1: primitives_type := u_LDCPE + 1; constant u_LDE: primitives_type := u_LDCPE_1 + 1; constant u_LDE_1: primitives_type := u_LDE + 1; constant u_LDP: primitives_type := u_LDE_1 + 1; constant u_LDP_1: primitives_type := u_LDP + 1; constant u_LDPE: primitives_type := u_LDP_1 + 1; constant u_LDPE_1: primitives_type := u_LDPE + 1; constant u_LUT1: primitives_type := u_LDPE_1 + 1; constant u_LUT1_D: primitives_type := u_LUT1 + 1; constant u_LUT1_L: primitives_type := u_LUT1_D + 1; constant u_LUT2: primitives_type := u_LUT1_L + 1; constant u_LUT2_D: primitives_type := u_LUT2 + 1; constant u_LUT2_L: primitives_type := u_LUT2_D + 1; constant u_LUT3: primitives_type := u_LUT2_L + 1; constant u_LUT3_D: primitives_type := u_LUT3 + 1; constant u_LUT3_L: primitives_type := u_LUT3_D + 1; constant u_LUT4: primitives_type := u_LUT3_L + 1; constant u_LUT4_D: primitives_type := u_LUT4 + 1; constant u_LUT4_L: primitives_type := u_LUT4_D + 1; constant u_LUT5: primitives_type := u_LUT4_L + 1; constant u_LUT5_D: primitives_type := u_LUT5 + 1; constant u_LUT5_L: primitives_type := u_LUT5_D + 1; constant u_LUT6: primitives_type := u_LUT5_L + 1; constant u_LUT6_D: primitives_type := u_LUT6 + 1; constant u_LUT6_L: primitives_type := u_LUT6_D + 1; constant u_MCB: primitives_type := u_LUT6_L + 1; constant u_MMCM_ADV: primitives_type := u_MCB + 1; constant u_MMCM_BASE: primitives_type := u_MMCM_ADV + 1; constant u_MULT18X18: primitives_type := u_MMCM_BASE + 1; constant u_MULT18X18S: primitives_type := u_MULT18X18 + 1; constant u_MULT18X18SIO: primitives_type := u_MULT18X18S + 1; constant u_MULT_AND: primitives_type := u_MULT18X18SIO + 1; constant u_MUXCY: primitives_type := u_MULT_AND + 1; constant u_MUXCY_D: primitives_type := u_MUXCY + 1; constant u_MUXCY_L: primitives_type := u_MUXCY_D + 1; constant u_MUXF5: primitives_type := u_MUXCY_L + 1; constant u_MUXF5_D: primitives_type := u_MUXF5 + 1; constant u_MUXF5_L: primitives_type := u_MUXF5_D + 1; constant u_MUXF6: primitives_type := u_MUXF5_L + 1; constant u_MUXF6_D: primitives_type := u_MUXF6 + 1; constant u_MUXF6_L: primitives_type := u_MUXF6_D + 1; constant u_MUXF7: primitives_type := u_MUXF6_L + 1; constant u_MUXF7_D: primitives_type := u_MUXF7 + 1; constant u_MUXF7_L: primitives_type := u_MUXF7_D + 1; constant u_MUXF8: primitives_type := u_MUXF7_L + 1; constant u_MUXF8_D: primitives_type := u_MUXF8 + 1; constant u_MUXF8_L: primitives_type := u_MUXF8_D + 1; constant u_NAND2: primitives_type := u_MUXF8_L + 1; constant u_NAND3: primitives_type := u_NAND2 + 1; constant u_NAND4: primitives_type := u_NAND3 + 1; constant u_NOR2: primitives_type := u_NAND4 + 1; constant u_NOR3: primitives_type := u_NOR2 + 1; constant u_NOR4: primitives_type := u_NOR3 + 1; constant u_OBUF: primitives_type := u_NOR4 + 1; constant u_OBUF_AGP: primitives_type := u_OBUF + 1; constant u_OBUF_CTT: primitives_type := u_OBUF_AGP + 1; constant u_OBUFDS: primitives_type := u_OBUF_CTT + 1; constant u_OBUF_F_12: primitives_type := u_OBUFDS + 1; constant u_OBUF_F_16: primitives_type := u_OBUF_F_12 + 1; constant u_OBUF_F_2: primitives_type := u_OBUF_F_16 + 1; constant u_OBUF_F_24: primitives_type := u_OBUF_F_2 + 1; constant u_OBUF_F_4: primitives_type := u_OBUF_F_24 + 1; constant u_OBUF_F_6: primitives_type := u_OBUF_F_4 + 1; constant u_OBUF_F_8: primitives_type := u_OBUF_F_6 + 1; constant u_OBUF_GTL: primitives_type := u_OBUF_F_8 + 1; constant u_OBUF_GTLP: primitives_type := u_OBUF_GTL + 1; constant u_OBUF_HSTL_I: primitives_type := u_OBUF_GTLP + 1; constant u_OBUF_HSTL_III: primitives_type := u_OBUF_HSTL_I + 1; constant u_OBUF_HSTL_IV: primitives_type := u_OBUF_HSTL_III + 1; constant u_OBUF_LVCMOS18: primitives_type := u_OBUF_HSTL_IV + 1; constant u_OBUF_LVCMOS2: primitives_type := u_OBUF_LVCMOS18 + 1; constant u_OBUF_LVDS: primitives_type := u_OBUF_LVCMOS2 + 1; constant u_OBUF_LVPECL: primitives_type := u_OBUF_LVDS + 1; constant u_OBUF_PCI33_3: primitives_type := u_OBUF_LVPECL + 1; constant u_OBUF_PCI33_5: primitives_type := u_OBUF_PCI33_3 + 1; constant u_OBUF_PCI66_3: primitives_type := u_OBUF_PCI33_5 + 1; constant u_OBUF_PCIX66_3: primitives_type := u_OBUF_PCI66_3 + 1; constant u_OBUF_S_12: primitives_type := u_OBUF_PCIX66_3 + 1; constant u_OBUF_S_16: primitives_type := u_OBUF_S_12 + 1; constant u_OBUF_S_2: primitives_type := u_OBUF_S_16 + 1; constant u_OBUF_S_24: primitives_type := u_OBUF_S_2 + 1; constant u_OBUF_S_4: primitives_type := u_OBUF_S_24 + 1; constant u_OBUF_S_6: primitives_type := u_OBUF_S_4 + 1; constant u_OBUF_S_8: primitives_type := u_OBUF_S_6 + 1; constant u_OBUF_SSTL2_I: primitives_type := u_OBUF_S_8 + 1; constant u_OBUF_SSTL2_II: primitives_type := u_OBUF_SSTL2_I + 1; constant u_OBUF_SSTL3_I: primitives_type := u_OBUF_SSTL2_II + 1; constant u_OBUF_SSTL3_II: primitives_type := u_OBUF_SSTL3_I + 1; constant u_OBUFT: primitives_type := u_OBUF_SSTL3_II + 1; constant u_OBUFT_AGP: primitives_type := u_OBUFT + 1; constant u_OBUFT_CTT: primitives_type := u_OBUFT_AGP + 1; constant u_OBUFTDS: primitives_type := u_OBUFT_CTT + 1; constant u_OBUFT_F_12: primitives_type := u_OBUFTDS + 1; constant u_OBUFT_F_16: primitives_type := u_OBUFT_F_12 + 1; constant u_OBUFT_F_2: primitives_type := u_OBUFT_F_16 + 1; constant u_OBUFT_F_24: primitives_type := u_OBUFT_F_2 + 1; constant u_OBUFT_F_4: primitives_type := u_OBUFT_F_24 + 1; constant u_OBUFT_F_6: primitives_type := u_OBUFT_F_4 + 1; constant u_OBUFT_F_8: primitives_type := u_OBUFT_F_6 + 1; constant u_OBUFT_GTL: primitives_type := u_OBUFT_F_8 + 1; constant u_OBUFT_GTLP: primitives_type := u_OBUFT_GTL + 1; constant u_OBUFT_HSTL_I: primitives_type := u_OBUFT_GTLP + 1; constant u_OBUFT_HSTL_III: primitives_type := u_OBUFT_HSTL_I + 1; constant u_OBUFT_HSTL_IV: primitives_type := u_OBUFT_HSTL_III + 1; constant u_OBUFT_LVCMOS18: primitives_type := u_OBUFT_HSTL_IV + 1; constant u_OBUFT_LVCMOS2: primitives_type := u_OBUFT_LVCMOS18 + 1; constant u_OBUFT_LVDS: primitives_type := u_OBUFT_LVCMOS2 + 1; constant u_OBUFT_LVPECL: primitives_type := u_OBUFT_LVDS + 1; constant u_OBUFT_PCI33_3: primitives_type := u_OBUFT_LVPECL + 1; constant u_OBUFT_PCI33_5: primitives_type := u_OBUFT_PCI33_3 + 1; constant u_OBUFT_PCI66_3: primitives_type := u_OBUFT_PCI33_5 + 1; constant u_OBUFT_PCIX66_3: primitives_type := u_OBUFT_PCI66_3 + 1; constant u_OBUFT_S_12: primitives_type := u_OBUFT_PCIX66_3 + 1; constant u_OBUFT_S_16: primitives_type := u_OBUFT_S_12 + 1; constant u_OBUFT_S_2: primitives_type := u_OBUFT_S_16 + 1; constant u_OBUFT_S_24: primitives_type := u_OBUFT_S_2 + 1; constant u_OBUFT_S_4: primitives_type := u_OBUFT_S_24 + 1; constant u_OBUFT_S_6: primitives_type := u_OBUFT_S_4 + 1; constant u_OBUFT_S_8: primitives_type := u_OBUFT_S_6 + 1; constant u_OBUFT_SSTL2_I: primitives_type := u_OBUFT_S_8 + 1; constant u_OBUFT_SSTL2_II: primitives_type := u_OBUFT_SSTL2_I + 1; constant u_OBUFT_SSTL3_I: primitives_type := u_OBUFT_SSTL2_II + 1; constant u_OBUFT_SSTL3_II: primitives_type := u_OBUFT_SSTL3_I + 1; constant u_OCT_CALIBRATE: primitives_type := u_OBUFT_SSTL3_II + 1; constant u_ODDR: primitives_type := u_OCT_CALIBRATE + 1; constant u_ODDR2: primitives_type := u_ODDR + 1; constant u_OFDDRCPE: primitives_type := u_ODDR2 + 1; constant u_OFDDRRSE: primitives_type := u_OFDDRCPE + 1; constant u_OFDDRTCPE: primitives_type := u_OFDDRRSE + 1; constant u_OFDDRTRSE: primitives_type := u_OFDDRTCPE + 1; constant u_OR2: primitives_type := u_OFDDRTRSE + 1; constant u_OR2L: primitives_type := u_OR2 + 1; constant u_OR3: primitives_type := u_OR2L + 1; constant u_OR4: primitives_type := u_OR3 + 1; constant u_ORCY: primitives_type := u_OR4 + 1; constant u_OSERDES: primitives_type := u_ORCY + 1; constant u_OSERDES2: primitives_type := u_OSERDES + 1; constant u_OSERDESE1: primitives_type := u_OSERDES2 + 1; constant u_PCIE_2_0: primitives_type := u_OSERDESE1 + 1; constant u_PCIE_A1: primitives_type := u_PCIE_2_0 + 1; constant u_PLL_ADV: primitives_type := u_PCIE_A1 + 1; constant u_PLL_BASE: primitives_type := u_PLL_ADV + 1; constant u_PMCD: primitives_type := u_PLL_BASE + 1; constant u_POST_CRC_INTERNAL: primitives_type := u_PMCD + 1; constant u_PPC405: primitives_type := u_POST_CRC_INTERNAL + 1; constant u_PPC405_ADV: primitives_type := u_PPC405 + 1; constant u_PPR_FRAME: primitives_type := u_PPC405_ADV + 1; constant u_PULLDOWN: primitives_type := u_PPR_FRAME + 1; constant u_PULLUP: primitives_type := u_PULLDOWN + 1; constant u_RAM128X1D: primitives_type := u_PULLUP + 1; constant u_RAM128X1S: primitives_type := u_RAM128X1D + 1; constant u_RAM128X1S_1: primitives_type := u_RAM128X1S + 1; constant u_RAM16X1D: primitives_type := u_RAM128X1S_1 + 1; constant u_RAM16X1D_1: primitives_type := u_RAM16X1D + 1; constant u_RAM16X1S: primitives_type := u_RAM16X1D_1 + 1; constant u_RAM16X1S_1: primitives_type := u_RAM16X1S + 1; constant u_RAM16X2S: primitives_type := u_RAM16X1S_1 + 1; constant u_RAM16X4S: primitives_type := u_RAM16X2S + 1; constant u_RAM16X8S: primitives_type := u_RAM16X4S + 1; constant u_RAM256X1S: primitives_type := u_RAM16X8S + 1; constant u_RAM32M: primitives_type := u_RAM256X1S + 1; constant u_RAM32X1D: primitives_type := u_RAM32M + 1; constant u_RAM32X1D_1: primitives_type := u_RAM32X1D + 1; constant u_RAM32X1S: primitives_type := u_RAM32X1D_1 + 1; constant u_RAM32X1S_1: primitives_type := u_RAM32X1S + 1; constant u_RAM32X2S: primitives_type := u_RAM32X1S_1 + 1; constant u_RAM32X4S: primitives_type := u_RAM32X2S + 1; constant u_RAM32X8S: primitives_type := u_RAM32X4S + 1; constant u_RAM64M: primitives_type := u_RAM32X8S + 1; constant u_RAM64X1D: primitives_type := u_RAM64M + 1; constant u_RAM64X1D_1: primitives_type := u_RAM64X1D + 1; constant u_RAM64X1S: primitives_type := u_RAM64X1D_1 + 1; constant u_RAM64X1S_1: primitives_type := u_RAM64X1S + 1; constant u_RAM64X2S: primitives_type := u_RAM64X1S_1 + 1; constant u_RAMB16: primitives_type := u_RAM64X2S + 1; constant u_RAMB16BWE: primitives_type := u_RAMB16 + 1; constant u_RAMB16BWER: primitives_type := u_RAMB16BWE + 1; constant u_RAMB16BWE_S18: primitives_type := u_RAMB16BWER + 1; constant u_RAMB16BWE_S18_S18: primitives_type := u_RAMB16BWE_S18 + 1; constant u_RAMB16BWE_S18_S9: primitives_type := u_RAMB16BWE_S18_S18 + 1; constant u_RAMB16BWE_S36: primitives_type := u_RAMB16BWE_S18_S9 + 1; constant u_RAMB16BWE_S36_S18: primitives_type := u_RAMB16BWE_S36 + 1; constant u_RAMB16BWE_S36_S36: primitives_type := u_RAMB16BWE_S36_S18 + 1; constant u_RAMB16BWE_S36_S9: primitives_type := u_RAMB16BWE_S36_S36 + 1; constant u_RAMB16_S1: primitives_type := u_RAMB16BWE_S36_S9 + 1; constant u_RAMB16_S18: primitives_type := u_RAMB16_S1 + 1; constant u_RAMB16_S18_S18: primitives_type := u_RAMB16_S18 + 1; constant u_RAMB16_S18_S36: primitives_type := u_RAMB16_S18_S18 + 1; constant u_RAMB16_S1_S1: primitives_type := u_RAMB16_S18_S36 + 1; constant u_RAMB16_S1_S18: primitives_type := u_RAMB16_S1_S1 + 1; constant u_RAMB16_S1_S2: primitives_type := u_RAMB16_S1_S18 + 1; constant u_RAMB16_S1_S36: primitives_type := u_RAMB16_S1_S2 + 1; constant u_RAMB16_S1_S4: primitives_type := u_RAMB16_S1_S36 + 1; constant u_RAMB16_S1_S9: primitives_type := u_RAMB16_S1_S4 + 1; constant u_RAMB16_S2: primitives_type := u_RAMB16_S1_S9 + 1; constant u_RAMB16_S2_S18: primitives_type := u_RAMB16_S2 + 1; constant u_RAMB16_S2_S2: primitives_type := u_RAMB16_S2_S18 + 1; constant u_RAMB16_S2_S36: primitives_type := u_RAMB16_S2_S2 + 1; constant u_RAMB16_S2_S4: primitives_type := u_RAMB16_S2_S36 + 1; constant u_RAMB16_S2_S9: primitives_type := u_RAMB16_S2_S4 + 1; constant u_RAMB16_S36: primitives_type := u_RAMB16_S2_S9 + 1; constant u_RAMB16_S36_S36: primitives_type := u_RAMB16_S36 + 1; constant u_RAMB16_S4: primitives_type := u_RAMB16_S36_S36 + 1; constant u_RAMB16_S4_S18: primitives_type := u_RAMB16_S4 + 1; constant u_RAMB16_S4_S36: primitives_type := u_RAMB16_S4_S18 + 1; constant u_RAMB16_S4_S4: primitives_type := u_RAMB16_S4_S36 + 1; constant u_RAMB16_S4_S9: primitives_type := u_RAMB16_S4_S4 + 1; constant u_RAMB16_S9: primitives_type := u_RAMB16_S4_S9 + 1; constant u_RAMB16_S9_S18: primitives_type := u_RAMB16_S9 + 1; constant u_RAMB16_S9_S36: primitives_type := u_RAMB16_S9_S18 + 1; constant u_RAMB16_S9_S9: primitives_type := u_RAMB16_S9_S36 + 1; constant u_RAMB18: primitives_type := u_RAMB16_S9_S9 + 1; constant u_RAMB18E1: primitives_type := u_RAMB18 + 1; constant u_RAMB18SDP: primitives_type := u_RAMB18E1 + 1; constant u_RAMB32_S64_ECC: primitives_type := u_RAMB18SDP + 1; constant u_RAMB36: primitives_type := u_RAMB32_S64_ECC + 1; constant u_RAMB36E1: primitives_type := u_RAMB36 + 1; constant u_RAMB36_EXP: primitives_type := u_RAMB36E1 + 1; constant u_RAMB36SDP: primitives_type := u_RAMB36_EXP + 1; constant u_RAMB36SDP_EXP: primitives_type := u_RAMB36SDP + 1; constant u_RAMB4_S1: primitives_type := u_RAMB36SDP_EXP + 1; constant u_RAMB4_S16: primitives_type := u_RAMB4_S1 + 1; constant u_RAMB4_S16_S16: primitives_type := u_RAMB4_S16 + 1; constant u_RAMB4_S1_S1: primitives_type := u_RAMB4_S16_S16 + 1; constant u_RAMB4_S1_S16: primitives_type := u_RAMB4_S1_S1 + 1; constant u_RAMB4_S1_S2: primitives_type := u_RAMB4_S1_S16 + 1; constant u_RAMB4_S1_S4: primitives_type := u_RAMB4_S1_S2 + 1; constant u_RAMB4_S1_S8: primitives_type := u_RAMB4_S1_S4 + 1; constant u_RAMB4_S2: primitives_type := u_RAMB4_S1_S8 + 1; constant u_RAMB4_S2_S16: primitives_type := u_RAMB4_S2 + 1; constant u_RAMB4_S2_S2: primitives_type := u_RAMB4_S2_S16 + 1; constant u_RAMB4_S2_S4: primitives_type := u_RAMB4_S2_S2 + 1; constant u_RAMB4_S2_S8: primitives_type := u_RAMB4_S2_S4 + 1; constant u_RAMB4_S4: primitives_type := u_RAMB4_S2_S8 + 1; constant u_RAMB4_S4_S16: primitives_type := u_RAMB4_S4 + 1; constant u_RAMB4_S4_S4: primitives_type := u_RAMB4_S4_S16 + 1; constant u_RAMB4_S4_S8: primitives_type := u_RAMB4_S4_S4 + 1; constant u_RAMB4_S8: primitives_type := u_RAMB4_S4_S8 + 1; constant u_RAMB4_S8_S16: primitives_type := u_RAMB4_S8 + 1; constant u_RAMB4_S8_S8: primitives_type := u_RAMB4_S8_S16 + 1; constant u_RAMB8BWER: primitives_type := u_RAMB4_S8_S8 + 1; constant u_ROM128X1: primitives_type := u_RAMB8BWER + 1; constant u_ROM16X1: primitives_type := u_ROM128X1 + 1; constant u_ROM256X1: primitives_type := u_ROM16X1 + 1; constant u_ROM32X1: primitives_type := u_ROM256X1 + 1; constant u_ROM64X1: primitives_type := u_ROM32X1 + 1; constant u_SLAVE_SPI: primitives_type := u_ROM64X1 + 1; constant u_SPI_ACCESS: primitives_type := u_SLAVE_SPI + 1; constant u_SRL16: primitives_type := u_SPI_ACCESS + 1; constant u_SRL16_1: primitives_type := u_SRL16 + 1; constant u_SRL16E: primitives_type := u_SRL16_1 + 1; constant u_SRL16E_1: primitives_type := u_SRL16E + 1; constant u_SRLC16: primitives_type := u_SRL16E_1 + 1; constant u_SRLC16_1: primitives_type := u_SRLC16 + 1; constant u_SRLC16E: primitives_type := u_SRLC16_1 + 1; constant u_SRLC16E_1: primitives_type := u_SRLC16E + 1; constant u_SRLC32E: primitives_type := u_SRLC16E_1 + 1; constant u_STARTBUF_SPARTAN2: primitives_type := u_SRLC32E + 1; constant u_STARTBUF_SPARTAN3: primitives_type := u_STARTBUF_SPARTAN2 + 1; constant u_STARTBUF_SPARTAN3E: primitives_type := u_STARTBUF_SPARTAN3 + 1; constant u_STARTBUF_VIRTEX: primitives_type := u_STARTBUF_SPARTAN3E + 1; constant u_STARTBUF_VIRTEX2: primitives_type := u_STARTBUF_VIRTEX + 1; constant u_STARTBUF_VIRTEX4: primitives_type := u_STARTBUF_VIRTEX2 + 1; constant u_STARTUP_SPARTAN2: primitives_type := u_STARTBUF_VIRTEX4 + 1; constant u_STARTUP_SPARTAN3: primitives_type := u_STARTUP_SPARTAN2 + 1; constant u_STARTUP_SPARTAN3A: primitives_type := u_STARTUP_SPARTAN3 + 1; constant u_STARTUP_SPARTAN3E: primitives_type := u_STARTUP_SPARTAN3A + 1; constant u_STARTUP_SPARTAN6: primitives_type := u_STARTUP_SPARTAN3E + 1; constant u_STARTUP_VIRTEX: primitives_type := u_STARTUP_SPARTAN6 + 1; constant u_STARTUP_VIRTEX2: primitives_type := u_STARTUP_VIRTEX + 1; constant u_STARTUP_VIRTEX4: primitives_type := u_STARTUP_VIRTEX2 + 1; constant u_STARTUP_VIRTEX5: primitives_type := u_STARTUP_VIRTEX4 + 1; constant u_STARTUP_VIRTEX6: primitives_type := u_STARTUP_VIRTEX5 + 1; constant u_SUSPEND_SYNC: primitives_type := u_STARTUP_VIRTEX6 + 1; constant u_SYSMON: primitives_type := u_SUSPEND_SYNC + 1; constant u_TEMAC_SINGLE: primitives_type := u_SYSMON + 1; constant u_TOC: primitives_type := u_TEMAC_SINGLE + 1; constant u_TOCBUF: primitives_type := u_TOC + 1; constant u_USR_ACCESS_VIRTEX4: primitives_type := u_TOCBUF + 1; constant u_USR_ACCESS_VIRTEX5: primitives_type := u_USR_ACCESS_VIRTEX4 + 1; constant u_USR_ACCESS_VIRTEX6: primitives_type := u_USR_ACCESS_VIRTEX5 + 1; constant u_VCC: primitives_type := u_USR_ACCESS_VIRTEX6 + 1; constant u_XNOR2: primitives_type := u_VCC + 1; constant u_XNOR3: primitives_type := u_XNOR2 + 1; constant u_XNOR4: primitives_type := u_XNOR3 + 1; constant u_XOR2: primitives_type := u_XNOR4 + 1; constant u_XOR3: primitives_type := u_XOR2 + 1; constant u_XOR4: primitives_type := u_XOR3 + 1; constant u_XORCY: primitives_type := u_XOR4 + 1; constant u_XORCY_D: primitives_type := u_XORCY + 1; constant u_XORCY_L: primitives_type := u_XORCY_D + 1; -- Primitives added for artix7, kintex6, virtex7, and zynq constant u_AND2B1: primitives_type := u_XORCY_L + 1; constant u_AND2B2: primitives_type := u_AND2B1 + 1; constant u_AND3B1: primitives_type := u_AND2B2 + 1; constant u_AND3B2: primitives_type := u_AND3B1 + 1; constant u_AND3B3: primitives_type := u_AND3B2 + 1; constant u_AND4B1: primitives_type := u_AND3B3 + 1; constant u_AND4B2: primitives_type := u_AND4B1 + 1; constant u_AND4B3: primitives_type := u_AND4B2 + 1; constant u_AND4B4: primitives_type := u_AND4B3 + 1; constant u_AND5: primitives_type := u_AND4B4 + 1; constant u_AND5B1: primitives_type := u_AND5 + 1; constant u_AND5B2: primitives_type := u_AND5B1 + 1; constant u_AND5B3: primitives_type := u_AND5B2 + 1; constant u_AND5B4: primitives_type := u_AND5B3 + 1; constant u_AND5B5: primitives_type := u_AND5B4 + 1; constant u_BSCANE2: primitives_type := u_AND5B5 + 1; constant u_BUFMR: primitives_type := u_BSCANE2 + 1; constant u_BUFMRCE: primitives_type := u_BUFMR + 1; constant u_CAPTUREE2: primitives_type := u_BUFMRCE + 1; constant u_CFG_IO_ACCESS: primitives_type := u_CAPTUREE2 + 1; constant u_FRAME_ECCE2: primitives_type := u_CFG_IO_ACCESS + 1; constant u_GTXE2_CHANNEL: primitives_type := u_FRAME_ECCE2 + 1; constant u_GTXE2_COMMON: primitives_type := u_GTXE2_CHANNEL + 1; constant u_IBUF_DCIEN: primitives_type := u_GTXE2_COMMON + 1; constant u_IBUFDS_BLVDS_25: primitives_type := u_IBUF_DCIEN + 1; constant u_IBUFDS_DCIEN: primitives_type := u_IBUFDS_BLVDS_25 + 1; constant u_IBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IBUFDS_DCIEN + 1; constant u_IBUFDS_GTE2: primitives_type := u_IBUFDS_DIFF_OUT_DCIEN + 1; constant u_IBUFDS_LVDS_25: primitives_type := u_IBUFDS_GTE2 + 1; constant u_IBUFGDS_BLVDS_25: primitives_type := u_IBUFDS_LVDS_25 + 1; constant u_IBUFGDS_LVDS_25: primitives_type := u_IBUFGDS_BLVDS_25 + 1; constant u_IBUFG_HSTL_I_18: primitives_type := u_IBUFGDS_LVDS_25 + 1; constant u_IBUFG_HSTL_I_DCI: primitives_type := u_IBUFG_HSTL_I_18 + 1; constant u_IBUFG_HSTL_I_DCI_18: primitives_type := u_IBUFG_HSTL_I_DCI + 1; constant u_IBUFG_HSTL_II: primitives_type := u_IBUFG_HSTL_I_DCI_18 + 1; constant u_IBUFG_HSTL_II_18: primitives_type := u_IBUFG_HSTL_II + 1; constant u_IBUFG_HSTL_II_DCI: primitives_type := u_IBUFG_HSTL_II_18 + 1; constant u_IBUFG_HSTL_II_DCI_18: primitives_type := u_IBUFG_HSTL_II_DCI + 1; constant u_IBUFG_HSTL_III_18: primitives_type := u_IBUFG_HSTL_II_DCI_18 + 1; constant u_IBUFG_HSTL_III_DCI: primitives_type := u_IBUFG_HSTL_III_18 + 1; constant u_IBUFG_HSTL_III_DCI_18: primitives_type := u_IBUFG_HSTL_III_DCI + 1; constant u_IBUFG_LVCMOS12: primitives_type := u_IBUFG_HSTL_III_DCI_18 + 1; constant u_IBUFG_LVCMOS15: primitives_type := u_IBUFG_LVCMOS12 + 1; constant u_IBUFG_LVCMOS25: primitives_type := u_IBUFG_LVCMOS15 + 1; constant u_IBUFG_LVCMOS33: primitives_type := u_IBUFG_LVCMOS25 + 1; constant u_IBUFG_LVDCI_15: primitives_type := u_IBUFG_LVCMOS33 + 1; constant u_IBUFG_LVDCI_18: primitives_type := u_IBUFG_LVDCI_15 + 1; constant u_IBUFG_LVDCI_DV2_15: primitives_type := u_IBUFG_LVDCI_18 + 1; constant u_IBUFG_LVDCI_DV2_18: primitives_type := u_IBUFG_LVDCI_DV2_15 + 1; constant u_IBUFG_LVTTL: primitives_type := u_IBUFG_LVDCI_DV2_18 + 1; constant u_IBUFG_SSTL18_I: primitives_type := u_IBUFG_LVTTL + 1; constant u_IBUFG_SSTL18_I_DCI: primitives_type := u_IBUFG_SSTL18_I + 1; constant u_IBUFG_SSTL18_II: primitives_type := u_IBUFG_SSTL18_I_DCI + 1; constant u_IBUFG_SSTL18_II_DCI: primitives_type := u_IBUFG_SSTL18_II + 1; constant u_IBUF_HSTL_I_18: primitives_type := u_IBUFG_SSTL18_II_DCI + 1; constant u_IBUF_HSTL_I_DCI: primitives_type := u_IBUF_HSTL_I_18 + 1; constant u_IBUF_HSTL_I_DCI_18: primitives_type := u_IBUF_HSTL_I_DCI + 1; constant u_IBUF_HSTL_II: primitives_type := u_IBUF_HSTL_I_DCI_18 + 1; constant u_IBUF_HSTL_II_18: primitives_type := u_IBUF_HSTL_II + 1; constant u_IBUF_HSTL_II_DCI: primitives_type := u_IBUF_HSTL_II_18 + 1; constant u_IBUF_HSTL_II_DCI_18: primitives_type := u_IBUF_HSTL_II_DCI + 1; constant u_IBUF_HSTL_III_18: primitives_type := u_IBUF_HSTL_II_DCI_18 + 1; constant u_IBUF_HSTL_III_DCI: primitives_type := u_IBUF_HSTL_III_18 + 1; constant u_IBUF_HSTL_III_DCI_18: primitives_type := u_IBUF_HSTL_III_DCI + 1; constant u_IBUF_LVCMOS12: primitives_type := u_IBUF_HSTL_III_DCI_18 + 1; constant u_IBUF_LVCMOS15: primitives_type := u_IBUF_LVCMOS12 + 1; constant u_IBUF_LVCMOS25: primitives_type := u_IBUF_LVCMOS15 + 1; constant u_IBUF_LVCMOS33: primitives_type := u_IBUF_LVCMOS25 + 1; constant u_IBUF_LVDCI_15: primitives_type := u_IBUF_LVCMOS33 + 1; constant u_IBUF_LVDCI_18: primitives_type := u_IBUF_LVDCI_15 + 1; constant u_IBUF_LVDCI_DV2_15: primitives_type := u_IBUF_LVDCI_18 + 1; constant u_IBUF_LVDCI_DV2_18: primitives_type := u_IBUF_LVDCI_DV2_15 + 1; constant u_IBUF_LVTTL: primitives_type := u_IBUF_LVDCI_DV2_18 + 1; constant u_IBUF_SSTL18_I: primitives_type := u_IBUF_LVTTL + 1; constant u_IBUF_SSTL18_I_DCI: primitives_type := u_IBUF_SSTL18_I + 1; constant u_IBUF_SSTL18_II: primitives_type := u_IBUF_SSTL18_I_DCI + 1; constant u_IBUF_SSTL18_II_DCI: primitives_type := u_IBUF_SSTL18_II + 1; constant u_ICAPE2: primitives_type := u_IBUF_SSTL18_II_DCI + 1; constant u_IDELAYE2: primitives_type := u_ICAPE2 + 1; constant u_IN_FIFO: primitives_type := u_IDELAYE2 + 1; constant u_IOBUFDS_BLVDS_25: primitives_type := u_IN_FIFO + 1; constant u_IOBUFDS_DIFF_OUT_DCIEN: primitives_type := u_IOBUFDS_BLVDS_25 + 1; constant u_IOBUF_HSTL_I_18: primitives_type := u_IOBUFDS_DIFF_OUT_DCIEN + 1; constant u_IOBUF_HSTL_II: primitives_type := u_IOBUF_HSTL_I_18 + 1; constant u_IOBUF_HSTL_II_18: primitives_type := u_IOBUF_HSTL_II + 1; constant u_IOBUF_HSTL_II_DCI: primitives_type := u_IOBUF_HSTL_II_18 + 1; constant u_IOBUF_HSTL_II_DCI_18: primitives_type := u_IOBUF_HSTL_II_DCI + 1; constant u_IOBUF_HSTL_III_18: primitives_type := u_IOBUF_HSTL_II_DCI_18 + 1; constant u_IOBUF_LVCMOS12: primitives_type := u_IOBUF_HSTL_III_18 + 1; constant u_IOBUF_LVCMOS15: primitives_type := u_IOBUF_LVCMOS12 + 1; constant u_IOBUF_LVCMOS25: primitives_type := u_IOBUF_LVCMOS15 + 1; constant u_IOBUF_LVCMOS33: primitives_type := u_IOBUF_LVCMOS25 + 1; constant u_IOBUF_LVDCI_15: primitives_type := u_IOBUF_LVCMOS33 + 1; constant u_IOBUF_LVDCI_18: primitives_type := u_IOBUF_LVDCI_15 + 1; constant u_IOBUF_LVDCI_DV2_15: primitives_type := u_IOBUF_LVDCI_18 + 1; constant u_IOBUF_LVDCI_DV2_18: primitives_type := u_IOBUF_LVDCI_DV2_15 + 1; constant u_IOBUF_LVTTL: primitives_type := u_IOBUF_LVDCI_DV2_18 + 1; constant u_IOBUF_SSTL18_I: primitives_type := u_IOBUF_LVTTL + 1; constant u_IOBUF_SSTL18_II: primitives_type := u_IOBUF_SSTL18_I + 1; constant u_IOBUF_SSTL18_II_DCI: primitives_type := u_IOBUF_SSTL18_II + 1; constant u_ISERDESE2: primitives_type := u_IOBUF_SSTL18_II_DCI + 1; constant u_JTAG_SIME2: primitives_type := u_ISERDESE2 + 1; constant u_LUT6_2: primitives_type := u_JTAG_SIME2 + 1; constant u_MMCME2_ADV: primitives_type := u_LUT6_2 + 1; constant u_MMCME2_BASE: primitives_type := u_MMCME2_ADV + 1; constant u_NAND2B1: primitives_type := u_MMCME2_BASE + 1; constant u_NAND2B2: primitives_type := u_NAND2B1 + 1; constant u_NAND3B1: primitives_type := u_NAND2B2 + 1; constant u_NAND3B2: primitives_type := u_NAND3B1 + 1; constant u_NAND3B3: primitives_type := u_NAND3B2 + 1; constant u_NAND4B1: primitives_type := u_NAND3B3 + 1; constant u_NAND4B2: primitives_type := u_NAND4B1 + 1; constant u_NAND4B3: primitives_type := u_NAND4B2 + 1; constant u_NAND4B4: primitives_type := u_NAND4B3 + 1; constant u_NAND5: primitives_type := u_NAND4B4 + 1; constant u_NAND5B1: primitives_type := u_NAND5 + 1; constant u_NAND5B2: primitives_type := u_NAND5B1 + 1; constant u_NAND5B3: primitives_type := u_NAND5B2 + 1; constant u_NAND5B4: primitives_type := u_NAND5B3 + 1; constant u_NAND5B5: primitives_type := u_NAND5B4 + 1; constant u_NOR2B1: primitives_type := u_NAND5B5 + 1; constant u_NOR2B2: primitives_type := u_NOR2B1 + 1; constant u_NOR3B1: primitives_type := u_NOR2B2 + 1; constant u_NOR3B2: primitives_type := u_NOR3B1 + 1; constant u_NOR3B3: primitives_type := u_NOR3B2 + 1; constant u_NOR4B1: primitives_type := u_NOR3B3 + 1; constant u_NOR4B2: primitives_type := u_NOR4B1 + 1; constant u_NOR4B3: primitives_type := u_NOR4B2 + 1; constant u_NOR4B4: primitives_type := u_NOR4B3 + 1; constant u_NOR5: primitives_type := u_NOR4B4 + 1; constant u_NOR5B1: primitives_type := u_NOR5 + 1; constant u_NOR5B2: primitives_type := u_NOR5B1 + 1; constant u_NOR5B3: primitives_type := u_NOR5B2 + 1; constant u_NOR5B4: primitives_type := u_NOR5B3 + 1; constant u_NOR5B5: primitives_type := u_NOR5B4 + 1; constant u_OBUFDS_BLVDS_25: primitives_type := u_NOR5B5 + 1; constant u_OBUFDS_DUAL_BUF: primitives_type := u_OBUFDS_BLVDS_25 + 1; constant u_OBUFDS_LVDS_25: primitives_type := u_OBUFDS_DUAL_BUF + 1; constant u_OBUF_HSTL_I_18: primitives_type := u_OBUFDS_LVDS_25 + 1; constant u_OBUF_HSTL_I_DCI: primitives_type := u_OBUF_HSTL_I_18 + 1; constant u_OBUF_HSTL_I_DCI_18: primitives_type := u_OBUF_HSTL_I_DCI + 1; constant u_OBUF_HSTL_II: primitives_type := u_OBUF_HSTL_I_DCI_18 + 1; constant u_OBUF_HSTL_II_18: primitives_type := u_OBUF_HSTL_II + 1; constant u_OBUF_HSTL_II_DCI: primitives_type := u_OBUF_HSTL_II_18 + 1; constant u_OBUF_HSTL_II_DCI_18: primitives_type := u_OBUF_HSTL_II_DCI + 1; constant u_OBUF_HSTL_III_18: primitives_type := u_OBUF_HSTL_II_DCI_18 + 1; constant u_OBUF_HSTL_III_DCI: primitives_type := u_OBUF_HSTL_III_18 + 1; constant u_OBUF_HSTL_III_DCI_18: primitives_type := u_OBUF_HSTL_III_DCI + 1; constant u_OBUF_LVCMOS12: primitives_type := u_OBUF_HSTL_III_DCI_18 + 1; constant u_OBUF_LVCMOS15: primitives_type := u_OBUF_LVCMOS12 + 1; constant u_OBUF_LVCMOS25: primitives_type := u_OBUF_LVCMOS15 + 1; constant u_OBUF_LVCMOS33: primitives_type := u_OBUF_LVCMOS25 + 1; constant u_OBUF_LVDCI_15: primitives_type := u_OBUF_LVCMOS33 + 1; constant u_OBUF_LVDCI_18: primitives_type := u_OBUF_LVDCI_15 + 1; constant u_OBUF_LVDCI_DV2_15: primitives_type := u_OBUF_LVDCI_18 + 1; constant u_OBUF_LVDCI_DV2_18: primitives_type := u_OBUF_LVDCI_DV2_15 + 1; constant u_OBUF_LVTTL: primitives_type := u_OBUF_LVDCI_DV2_18 + 1; constant u_OBUF_SSTL18_I: primitives_type := u_OBUF_LVTTL + 1; constant u_OBUF_SSTL18_I_DCI: primitives_type := u_OBUF_SSTL18_I + 1; constant u_OBUF_SSTL18_II: primitives_type := u_OBUF_SSTL18_I_DCI + 1; constant u_OBUF_SSTL18_II_DCI: primitives_type := u_OBUF_SSTL18_II + 1; constant u_OBUFT_DCIEN: primitives_type := u_OBUF_SSTL18_II_DCI + 1; constant u_OBUFTDS_BLVDS_25: primitives_type := u_OBUFT_DCIEN + 1; constant u_OBUFTDS_DCIEN: primitives_type := u_OBUFTDS_BLVDS_25 + 1; constant u_OBUFTDS_DCIEN_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN + 1; constant u_OBUFTDS_DUAL_BUF: primitives_type := u_OBUFTDS_DCIEN_DUAL_BUF + 1; constant u_OBUFTDS_LVDS_25: primitives_type := u_OBUFTDS_DUAL_BUF + 1; constant u_OBUFT_HSTL_I_18: primitives_type := u_OBUFTDS_LVDS_25 + 1; constant u_OBUFT_HSTL_I_DCI: primitives_type := u_OBUFT_HSTL_I_18 + 1; constant u_OBUFT_HSTL_I_DCI_18: primitives_type := u_OBUFT_HSTL_I_DCI + 1; constant u_OBUFT_HSTL_II: primitives_type := u_OBUFT_HSTL_I_DCI_18 + 1; constant u_OBUFT_HSTL_II_18: primitives_type := u_OBUFT_HSTL_II + 1; constant u_OBUFT_HSTL_II_DCI: primitives_type := u_OBUFT_HSTL_II_18 + 1; constant u_OBUFT_HSTL_II_DCI_18: primitives_type := u_OBUFT_HSTL_II_DCI + 1; constant u_OBUFT_HSTL_III_18: primitives_type := u_OBUFT_HSTL_II_DCI_18 + 1; constant u_OBUFT_HSTL_III_DCI: primitives_type := u_OBUFT_HSTL_III_18 + 1; constant u_OBUFT_HSTL_III_DCI_18: primitives_type := u_OBUFT_HSTL_III_DCI + 1; constant u_OBUFT_LVCMOS12: primitives_type := u_OBUFT_HSTL_III_DCI_18 + 1; constant u_OBUFT_LVCMOS15: primitives_type := u_OBUFT_LVCMOS12 + 1; constant u_OBUFT_LVCMOS25: primitives_type := u_OBUFT_LVCMOS15 + 1; constant u_OBUFT_LVCMOS33: primitives_type := u_OBUFT_LVCMOS25 + 1; constant u_OBUFT_LVDCI_15: primitives_type := u_OBUFT_LVCMOS33 + 1; constant u_OBUFT_LVDCI_18: primitives_type := u_OBUFT_LVDCI_15 + 1; constant u_OBUFT_LVDCI_DV2_15: primitives_type := u_OBUFT_LVDCI_18 + 1; constant u_OBUFT_LVDCI_DV2_18: primitives_type := u_OBUFT_LVDCI_DV2_15 + 1; constant u_OBUFT_LVTTL: primitives_type := u_OBUFT_LVDCI_DV2_18 + 1; constant u_OBUFT_SSTL18_I: primitives_type := u_OBUFT_LVTTL + 1; constant u_OBUFT_SSTL18_I_DCI: primitives_type := u_OBUFT_SSTL18_I + 1; constant u_OBUFT_SSTL18_II: primitives_type := u_OBUFT_SSTL18_I_DCI + 1; constant u_OBUFT_SSTL18_II_DCI: primitives_type := u_OBUFT_SSTL18_II + 1; constant u_ODELAYE2: primitives_type := u_OBUFT_SSTL18_II_DCI + 1; constant u_OR2B1: primitives_type := u_ODELAYE2 + 1; constant u_OR2B2: primitives_type := u_OR2B1 + 1; constant u_OR3B1: primitives_type := u_OR2B2 + 1; constant u_OR3B2: primitives_type := u_OR3B1 + 1; constant u_OR3B3: primitives_type := u_OR3B2 + 1; constant u_OR4B1: primitives_type := u_OR3B3 + 1; constant u_OR4B2: primitives_type := u_OR4B1 + 1; constant u_OR4B3: primitives_type := u_OR4B2 + 1; constant u_OR4B4: primitives_type := u_OR4B3 + 1; constant u_OR5: primitives_type := u_OR4B4 + 1; constant u_OR5B1: primitives_type := u_OR5 + 1; constant u_OR5B2: primitives_type := u_OR5B1 + 1; constant u_OR5B3: primitives_type := u_OR5B2 + 1; constant u_OR5B4: primitives_type := u_OR5B3 + 1; constant u_OR5B5: primitives_type := u_OR5B4 + 1; constant u_OSERDESE2: primitives_type := u_OR5B5 + 1; constant u_OUT_FIFO: primitives_type := u_OSERDESE2 + 1; constant u_PCIE_2_1: primitives_type := u_OUT_FIFO + 1; constant u_PHASER_IN: primitives_type := u_PCIE_2_1 + 1; constant u_PHASER_IN_PHY: primitives_type := u_PHASER_IN + 1; constant u_PHASER_OUT: primitives_type := u_PHASER_IN_PHY + 1; constant u_PHASER_OUT_PHY: primitives_type := u_PHASER_OUT + 1; constant u_PHASER_REF: primitives_type := u_PHASER_OUT_PHY + 1; constant u_PHY_CONTROL: primitives_type := u_PHASER_REF + 1; constant u_PLLE2_ADV: primitives_type := u_PHY_CONTROL + 1; constant u_PLLE2_BASE: primitives_type := u_PLLE2_ADV + 1; constant u_PSS: primitives_type := u_PLLE2_BASE + 1; constant u_RAMD32: primitives_type := u_PSS + 1; constant u_RAMD64E: primitives_type := u_RAMD32 + 1; constant u_RAMS32: primitives_type := u_RAMD64E + 1; constant u_RAMS64E: primitives_type := u_RAMS32 + 1; constant u_SIM_CONFIGE2: primitives_type := u_RAMS64E + 1; constant u_STARTUPE2: primitives_type := u_SIM_CONFIGE2 + 1; constant u_USR_ACCESSE2: primitives_type := u_STARTUPE2 + 1; constant u_XADC: primitives_type := u_USR_ACCESSE2 + 1; constant u_XNOR5: primitives_type := u_XADC + 1; constant u_XOR5: primitives_type := u_XNOR5 + 1; constant u_ZHOLD_DELAY: primitives_type := u_XOR5 + 1; -- Primitives added for OLYMPUS support constant u_BUFGCE_DIV : primitives_type := u_ZHOLD_DELAY +1; constant u_BUFCE_ROW : primitives_type := u_BUFGCE_DIV +1; constant u_BUFCE_LEAF : primitives_type := u_BUFCE_ROW +1; constant u_MMCME3_ADV : primitives_type := u_BUFCE_LEAF +1; constant u_MMCME3_BASE : primitives_type := u_MMCME3_ADV +1; constant u_DNA_PORTE3 : primitives_type := u_MMCME3_BASE +1; constant u_FRAME_ECCE3 : primitives_type := u_DNA_PORTE3 +1; constant u_ICAPE3 : primitives_type := u_FRAME_ECCE3 +1; constant u_JTAG_SIME3 : primitives_type := u_ICAPE3 +1; constant u_MCAP : primitives_type := u_JTAG_SIME3 +1; constant u_SIM_CONFIGE3 : primitives_type := u_MCAP +1; constant u_SYSMONE1 : primitives_type := u_SIM_CONFIGE3 +1; constant u_CARRY8 : primitives_type := u_SYSMONE1 +1; constant u_DSP48E2 : primitives_type := u_CARRY8 +1; constant u_DSP_A_B_DATA : primitives_type := u_DSP48E2 +1; constant u_DSP_ALU : primitives_type := u_DSP_A_B_DATA +1; constant u_DSP_C_DATA : primitives_type := u_DSP_ALU +1; constant u_DSP_M_DATA : primitives_type := u_DSP_C_DATA +1; constant u_DSP_MULTIPLIER : primitives_type := u_DSP_M_DATA +1; constant u_DSP_OUTPUT : primitives_type := u_DSP_MULTIPLIER +1; constant u_DSP_PREADD : primitives_type := u_DSP_OUTPUT +1; constant u_DSP_PREADD_DATA : primitives_type := u_DSP_PREADD +1; constant u_FIFO18E2 : primitives_type := u_DSP_PREADD_DATA +1; constant u_FIFO36E2 : primitives_type := u_FIFO18E2 +1; constant u_RAMB18E2 : primitives_type := u_FIFO36E2 +1; constant u_RAMB36E2 : primitives_type := u_RAMB18E2 +1; constant u_RAM256X1D : primitives_type := u_RAMB36E2 +1; constant u_RAM512X1S : primitives_type := u_RAM256X1D +1; constant u_RAM32M16 : primitives_type := u_RAM512X1S +1; constant u_RAM64M8 : primitives_type := u_RAM32M16 +1; constant u_SYNC_UNIT : primitives_type := u_RAM64M8 +1; constant u_BUFG_GT : primitives_type := u_SYNC_UNIT +1; constant u_GTHE3_CHANNEL : primitives_type := u_BUFG_GT +1; constant u_GTHE3_COMMON : primitives_type := u_GTHE3_CHANNEL +1; constant u_GTPE3_CHANNEL : primitives_type := u_GTHE3_COMMON +1; constant u_GTPE3_COMMON : primitives_type := u_GTPE3_CHANNEL +1; constant u_GTY : primitives_type := u_GTPE3_COMMON +1; constant u_GTZE2_OCTAL : primitives_type := u_GTY +1; constant u_IBUFDS_GTE3 : primitives_type := u_GTZE2_OCTAL +1; constant u_OBUFDS_GTE3 : primitives_type := u_IBUFDS_GTE3 +1; constant u_PCIE_3_1 : primitives_type := u_OBUFDS_GTE3 +1; constant u_IDELAYE3 : primitives_type := u_PCIE_3_1 +1; constant u_ISERDESE3 : primitives_type := u_IDELAYE3 +1; constant u_ODELAYE3 : primitives_type := u_ISERDESE3 +1; constant u_OSERDESE3 : primitives_type := u_ODELAYE3 +1; constant u_TXPLL : primitives_type := u_OSERDESE3 +1; constant u_BITSLICE_CONTROL : primitives_type := u_TXPLL +1; constant u_RX_BITSLICE : primitives_type := u_BITSLICE_CONTROL +1; constant u_TX_BITSLICE : primitives_type := u_RX_BITSLICE +1; constant u_IBUFCTRL : primitives_type := u_TX_BITSLICE +1; constant u_DIFFINBUF : primitives_type := u_IBUFCTRL +1; constant u_ADDMACC_MACRO : primitives_type := u_DIFFINBUF +1; constant u_ADDSUB_MACRO : primitives_type := u_ADDMACC_MACRO +1; constant u_BRAM_SDP_MACRO : primitives_type := u_ADDSUB_MACRO +1; constant u_BRAM_SINGLE_MACRO : primitives_type := u_BRAM_SDP_MACRO +1; constant u_BRAM_TDP_MACRO : primitives_type := u_BRAM_SINGLE_MACRO +1; constant u_COUNTER_LOAD_MACRO : primitives_type := u_BRAM_TDP_MACRO +1; constant u_COUNTER_TC_MACRO : primitives_type := u_COUNTER_LOAD_MACRO +1; constant u_EQ_COMPARE_MACRO : primitives_type := u_COUNTER_TC_MACRO +1; constant u_FIFO_DUALCLOCK_MACRO : primitives_type := u_EQ_COMPARE_MACRO +1; constant u_FIFO_SYNC_MACRO : primitives_type := u_FIFO_DUALCLOCK_MACRO +1; constant u_MACC_MACRO : primitives_type := u_FIFO_SYNC_MACRO +1; constant u_MULT_MACRO : primitives_type := u_MACC_MACRO +1; constant u_PLLE3_ADV : primitives_type := u_MULT_MACRO +1; constant u_PLLE3_BASE : primitives_type := u_PLLE3_ADV +1; constant u_ODDRE1 : primitives_type := u_PLLE3_BASE +1; constant u_IDDRE1 : primitives_type := u_ODDRE1 +1; type primitive_array_type is array (natural range <>) of primitives_type; ---------------------------------------------------------------------------- -- Returns true if primitive is available in family. -- -- Examples: -- -- supported(virtex2, u_RAMB16_S2) returns true because the RAMB16_S2 -- primitive is available in the -- virtex2 family. -- -- supported(spartan3, u_RAM4B_S4) returns false because the RAMB4_S4 -- primitive is not available in the -- spartan3 family. ---------------------------------------------------------------------------- function supported( family : families_type; primitive : primitives_type ) return boolean; ---------------------------------------------------------------------------- -- This is an overload of function 'supported' (see above). It allows a list -- of primitives to be tested. -- -- Returns true if all of primitives in the list are available in family. -- -- Example: supported(spartan3, (u_MUXCY, u_XORCY, u_FD)) -- is -- equivalent to: supported(spartan3, u_MUXCY) and -- supported(spartan3, u_XORCY) and -- supported(spartan3, u_FD); ---------------------------------------------------------------------------- function supported( family : families_type; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Below, are overloads of function 'supported' that allow the family -- parameter to be passed as a string. These correspond to the above two -- functions otherwise. ---------------------------------------------------------------------------- function supported( fam_as_str : string; primitive : primitives_type ) return boolean; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type; function fam2str( fam : families_type ) return string; ---------------------------------------------------------------------------- -- Function: native_lut_size -- -- Returns the largest LUT size available in FPGA family, fam. -- If no LUT is available in fam, then returns zero by default, unless -- the call specifies a no_lut_return_val, in which case this value -- is returned. -- -- The function is available in two overload versions, one for each -- way of passing the fam argument. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type. This is used for derivative part -- aliasing to the root family. ---------------------------------------------------------------------------- function get_root_family( family_in : string ) return string; end package family_support; package body family_support is type prim_status_type is ( n -- no , y -- yes , u -- unknown, not used. However, we use -- an enumeration to allow for -- possible future enhancement. ); type fam_prim_status is array (primitives_type) of prim_status_type; type fam_has_prim_type is array (families_type) of fam_prim_status; -- Performance workaround (XST procedure and function handling). -- The fam_has_prim constant is initialized by an aggregate rather than by the -- following function. A version of this file with this function not -- commented was employed in building the aggregate. So, what is below still -- defines the family-primitive matirix. --# ---------------------------------------------------------------------------- --# -- This function is used to populate the matrix of family/primitive values. --# ---------------------------------------------------------------------------- --# ---( --# function prim_population return fam_has_prim_type is --# variable pp : fam_has_prim_type := (others => (others => n)); --# --# procedure set_to( stat : prim_status_type --# ; fam : families_type --# ; prim_list : primitive_array_type --# ) is --# begin --# for i in prim_list'range loop --# pp(fam)(prim_list(i)) := stat; --# end loop; --# end set_to; --# --# begin --# set_to(y, virtex, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI33_5 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS2 --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI33_5 --# , u_IBUF_PCI66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI33_5 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI33_5 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS2 --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI33_5 --# , u_OBUF_PCI66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, spartan2e, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_SPARTAN2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_IBUFG_AGP --# , u_IBUFG_CTT --# , u_IBUFG_GTL --# , u_IBUFG_GTLP --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_IV --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS2 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL2_I --# , u_IBUFG_SSTL2_II --# , u_IBUFG_SSTL3_I --# , u_IBUFG_SSTL3_II --# , u_IBUF_AGP --# , u_IBUF_CTT --# , u_IBUF_GTL --# , u_IBUF_GTLP --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_IV --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS2 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL2_I --# , u_IBUF_SSTL2_II --# , u_IBUF_SSTL3_I --# , u_IBUF_SSTL3_II --# , u_INV --# , u_IOBUF --# , u_IOBUF_AGP --# , u_IOBUF_CTT --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_GTL --# , u_IOBUF_GTLP --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_IV --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS2 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_SSTL2_I --# , u_IOBUF_SSTL2_II --# , u_IOBUF_SSTL3_I --# , u_IOBUF_SSTL3_II --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OBUFT_AGP --# , u_OBUFT_CTT --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_GTL --# , u_OBUFT_GTLP --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_IV --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS2 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_SSTL2_I --# , u_OBUFT_SSTL2_II --# , u_OBUFT_SSTL3_I --# , u_OBUFT_SSTL3_II --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUF_AGP --# , u_OBUF_CTT --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_GTL --# , u_OBUF_GTLP --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_IV --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS2 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_SSTL2_I --# , u_OBUF_SSTL2_II --# , u_OBUF_SSTL3_I --# , u_OBUF_SSTL3_II --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_SPARTAN2 --# , u_STARTUP_SPARTAN2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# set_to(y, virtexe, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGDLL --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFG --# , u_INV --# , u_IOBUF --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFT --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAMB4_S1 --# , u_RAMB4_S16 --# , u_RAMB4_S16_S16 --# , u_RAMB4_S1_S1 --# , u_RAMB4_S1_S16 --# , u_RAMB4_S1_S2 --# , u_RAMB4_S1_S4 --# , u_RAMB4_S1_S8 --# , u_RAMB4_S2 --# , u_RAMB4_S2_S16 --# , u_RAMB4_S2_S2 --# , u_RAMB4_S2_S4 --# , u_RAMB4_S2_S8 --# , u_RAMB4_S4 --# , u_RAMB4_S4_S16 --# , u_RAMB4_S4_S4 --# , u_RAMB4_S4_S8 --# , u_RAMB4_S8 --# , u_RAMB4_S8_S16 --# , u_RAMB4_S8_S8 --# , u_ROM16X1 --# , u_ROM32X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_STARTBUF_VIRTEX --# , u_STARTUP_VIRTEX --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, virtex2, ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(qvirtex2) := pp(virtex2); --# -- --# pp(qrvirtex2) := pp(virtex2); --# -- --# set_to(y, virtex2p, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX2 --# , u_BUF --# , u_BUFCF --# , u_BUFE --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFT --# , u_CAPTURE_VIRTEX2 --# , u_CLKDLL --# , u_CLKDLLE --# , u_CLKDLLHF --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_GT10_10GE_4 --# , u_GT10_10GE_8 --# , u_GT10_10GFC_4 --# , u_GT10_10GFC_8 --# , u_GT10_AURORAX_4 --# , u_GT10_AURORAX_8 --# , u_GT10_AURORA_1 --# , u_GT10_AURORA_2 --# , u_GT10_AURORA_4 --# , u_GT10_CUSTOM --# , u_GT10_INFINIBAND_1 --# , u_GT10_INFINIBAND_2 --# , u_GT10_INFINIBAND_4 --# , u_GT10_OC192_4 --# , u_GT10_OC192_8 --# , u_GT10_OC48_1 --# , u_GT10_OC48_2 --# , u_GT10_OC48_4 --# , u_GT10_PCI_EXPRESS_1 --# , u_GT10_PCI_EXPRESS_2 --# , u_GT10_PCI_EXPRESS_4 --# , u_GT10_XAUI_1 --# , u_GT10_XAUI_2 --# , u_GT10_XAUI_4 --# , u_GT_AURORA_1 --# , u_GT_AURORA_2 --# , u_GT_AURORA_4 --# , u_GT_CUSTOM --# , u_GT_ETHERNET_1 --# , u_GT_ETHERNET_2 --# , u_GT_ETHERNET_4 --# , u_GT_FIBRE_CHAN_1 --# , u_GT_FIBRE_CHAN_2 --# , u_GT_FIBRE_CHAN_4 --# , u_GT_INFINIBAND_1 --# , u_GT_INFINIBAND_2 --# , u_GT_INFINIBAND_4 --# , u_GT_XAUI_1 --# , u_GT_XAUI_2 --# , u_GT_XAUI_4 --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PPC405 --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX2 --# , u_STARTUP_VIRTEX2 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# set_to(y, spartan3, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3 --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3 --# , u_STARTUP_SPARTAN3 --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3) := pp(spartan3); --# -- --# set_to(y, spartan3e, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_SPARTAN3 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_CAPTURE_SPARTAN3E --# , u_DCM --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FMAP --# , u_GND --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(aspartan3e) := pp(spartan3e); --# -- --# set_to(y, virtex4fx, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX4 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_VIRTEX4 --# , u_BUFGP --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX4 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX4 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX4 --# , u_IDDR --# , u_IDELAY --# , u_IDELAYCTRL --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_ISERDES --# , u_JTAGPPC --# , u_KEEPER --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PMCD --# , u_PPC405 --# , u_PPC405_ADV --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB32_S64_ECC --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_STARTBUF_VIRTEX4 --# , u_STARTUP_VIRTEX4 --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX4 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(virtex4sx) := pp(virtex4fx); --# -- --# pp(virtex4lx) := pp(virtex4fx); --# set_to(n, virtex4lx, (u_EMAC, --# u_GT11CLK, u_GT11CLK_MGT, u_GT11_CUSTOM, --# u_JTAGPPC, u_PPC405, u_PPC405_ADV --# ) ); --# -- --# pp(virtex4) := pp(virtex4lx); -- virtex4 is defined as the largest set --# -- of primitives that EVERY virtex4 --# -- device supports, i.e.. a design that uses --# -- the virtex4 subset of primitives --# -- is compatible with any variant of --# -- the virtex4 family. --# -- --# pp(qvirtex4) := pp(virtex4); --# -- --# pp(qrvirtex4) := pp(virtex4); --# -- --# set_to(y, virtex5, --# ( --# u_AND2 --# , u_AND3 --# , u_AND4 --# , u_BSCAN_VIRTEX5 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFIO --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_EMAC --# , u_FD --# , u_FDC --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDCP_1 --# , u_FDC_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDPE --# , u_FDPE_1 --# , u_FDP_1 --# , u_FDR --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDRS_1 --# , u_FDR_1 --# , u_FDS --# , u_FDSE --# , u_FDSE_1 --# , u_FDS_1 --# , u_FD_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY --# , u_ISERDES --# , u_ISERDES_NODELAY --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LDC --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDCP_1 --# , u_LDC_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDPE --# , u_LDPE_1 --# , u_LDP_1 --# , u_LD_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_RAMB36_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRL16_1 --# , u_SRLC16 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC16_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_SYSMON --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) --# ); --# -- --# pp(spartan3a) := pp(spartan3e); -- Populate spartan3a by taking --# -- differences from spartan3e. --# set_to(n, spartan3a, ( --# u_BSCAN_SPARTAN3 --# , u_CAPTURE_SPARTAN3E --# , u_DUMMY_INV --# , u_DUMMY_NOR2 --# , u_STARTBUF_SPARTAN3E --# , u_STARTUP_SPARTAN3E --# ) ); --# set_to(y, spartan3a, ( --# u_BSCAN_SPARTAN3A --# , u_CAPTURE_SPARTAN3A --# , u_DCM_PS --# , u_DNA_PORT --# , u_IBUF_DLY_ADJ --# , u_IBUFDS_DLY_ADJ --# , u_ICAP_SPARTAN3A --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_SPI_ACCESS --# , u_STARTUP_SPARTAN3A --# ) ); --# --# -- --# pp(aspartan3a) := pp(spartan3a); --# -- --# pp(spartan3an) := pp(spartan3a); --# -- --# pp(spartan3adsp) := pp(spartan3a); --# set_to(y, spartan3adsp, ( --# u_DSP48A --# , u_RAMB16BWER --# ) ); --# -- --# pp(aspartan3adsp) := pp(spartan3adsp); --# -- --# set_to(y, spartan6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_SPARTAN6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGDLL --# , u_BUFGMUX --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFIO2 --# , u_BUFIO2_2CLK --# , u_BUFIO2FB --# , u_BUFIO2FB_2CLK --# , u_BUFPLL --# , u_BUFPLL_MCB --# , u_CAPTURE_SPARTAN3A --# , u_DCM --# , u_DCM_CLKGEN --# , u_DCM_PS --# , u_DNA_PORT --# , u_DSP48A1 --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FMAP --# , u_GND --# , u_GTPA1_DUAL --# , u_IBUF --# , u_IBUF_DLY_ADJ --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DLY_ADJ --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_SPARTAN3A --# , u_ICAP_SPARTAN6 --# , u_IDDR2 --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IODELAY2 --# , u_IODRP2 --# , u_IODRP2_MCB --# , u_ISERDES2 --# , u_JTAG_SIM_SPARTAN6 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MCB --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT18X18SIO --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_OCT_CALIBRATE --# , u_ODDR2 --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_ORCY --# , u_OSERDES2 --# , u_PCIE_A1 --# , u_PLL_ADV --# , u_POST_CRC_INTERNAL --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAMB16BWE --# , u_RAMB16BWE_S18 --# , u_RAMB16BWE_S18_S18 --# , u_RAMB16BWE_S18_S9 --# , u_RAMB16BWE_S36 --# , u_RAMB16BWE_S36_S18 --# , u_RAMB16BWE_S36_S36 --# , u_RAMB16BWE_S36_S9 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB8BWER --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SLAVE_SPI --# , u_SPI_ACCESS --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_SPARTAN3A --# , u_STARTUP_SPARTAN6 --# , u_SUSPEND_SYNC --# , u_TOC --# , u_TOCBUF --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# -- --# set_to(y, virtex6, ( --# u_AND2 --# , u_AND2B1L --# , u_AND3 --# , u_AND4 --# , u_AUTOBUF --# , u_BSCAN_VIRTEX6 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGMUX_CTRL --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFIODQS --# , u_BUFR --# , u_CAPTURE_VIRTEX5 --# , u_CAPTURE_VIRTEX6 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_CRC32 --# , u_CRC64 --# , u_DCIRESET --# , u_DCIRESET --# , u_DCM --# , u_DCM_ADV --# , u_DCM_BASE --# , u_DCM_PS --# , u_DSP48 --# , u_DSP48E --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_EMAC --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDDRCPE --# , u_FDDRRSE --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO16 --# , u_FIFO18 --# , u_FIFO18_36 --# , u_FIFO18E1 --# , u_FIFO36 --# , u_FIFO36_72 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECC_VIRTEX5 --# , u_FRAME_ECC_VIRTEX6 --# , u_GND --# , u_GT11CLK --# , u_GT11CLK_MGT --# , u_GT11_CUSTOM --# , u_GTXE1 --# , u_IBUF --# , u_IBUF --# , u_IBUFDS --# , u_IBUFDS --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_GTXE1 --# , u_IBUFG --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_ICAP_VIRTEX5 --# , u_ICAP_VIRTEX6 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IFDDRCPE --# , u_IFDDRRSE --# , u_INV --# , u_IOBUF --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDES --# , u_ISERDESE1 --# , u_ISERDES_NODELAY --# , u_JTAG_SIM_VIRTEX6 --# , u_KEEPER --# , u_KEY_CLEAR --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCM_ADV --# , u_MMCM_BASE --# , u_MULT18X18 --# , u_MULT18X18S --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND3 --# , u_NAND4 --# , u_NOR2 --# , u_NOR3 --# , u_NOR4 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFT --# , u_OBUFTDS --# , u_ODDR --# , u_OFDDRCPE --# , u_OFDDRRSE --# , u_OFDDRTCPE --# , u_OFDDRTRSE --# , u_OR2 --# , u_OR2L --# , u_OR3 --# , u_OR4 --# , u_OSERDES --# , u_OSERDESE1 --# , u_PCIE_2_0 --# , u_PLL_ADV --# , u_PLL_BASE --# , u_PMCD --# , u_PPR_FRAME --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16 --# , u_RAMB16_S1 --# , u_RAMB16_S18 --# , u_RAMB16_S18_S18 --# , u_RAMB16_S18_S36 --# , u_RAMB16_S1_S1 --# , u_RAMB16_S1_S18 --# , u_RAMB16_S1_S2 --# , u_RAMB16_S1_S36 --# , u_RAMB16_S1_S4 --# , u_RAMB16_S1_S9 --# , u_RAMB16_S2 --# , u_RAMB16_S2_S18 --# , u_RAMB16_S2_S2 --# , u_RAMB16_S2_S36 --# , u_RAMB16_S2_S4 --# , u_RAMB16_S2_S9 --# , u_RAMB16_S36 --# , u_RAMB16_S36_S36 --# , u_RAMB16_S4 --# , u_RAMB16_S4_S18 --# , u_RAMB16_S4_S36 --# , u_RAMB16_S4_S4 --# , u_RAMB16_S4_S9 --# , u_RAMB16_S9 --# , u_RAMB16_S9_S18 --# , u_RAMB16_S9_S36 --# , u_RAMB16_S9_S9 --# , u_RAMB18 --# , u_RAMB18E1 --# , u_RAMB18SDP --# , u_RAMB32_S64_ECC --# , u_RAMB36 --# , u_RAMB36E1 --# , u_RAMB36_EXP --# , u_RAMB36SDP --# , u_RAMB36SDP_EXP --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUP_VIRTEX5 --# , u_STARTUP_VIRTEX6 --# , u_SYSMON --# , u_SYSMON --# , u_TEMAC_SINGLE --# , u_TOC --# , u_TOCBUF --# , u_USR_ACCESS_VIRTEX5 --# , u_USR_ACCESS_VIRTEX6 --# , u_VCC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# ) ); --# -- --# pp(spartan6l) := pp(spartan6); --# -- --# pp(qspartan6) := pp(spartan6); --# -- --# pp(aspartan6) := pp(spartan6); --# -- --# pp(virtex6l) := pp(virtex6); --# -- --# pp(qspartan6l) := pp(spartan6); --# -- --# pp(qvirtex5) := pp(virtex5); --# -- --# pp(qvirtex6) := pp(virtex6); --# -- --# pp(qrvirtex5) := pp(virtex5); --# -- --# pp(virtex5tx) := pp(virtex5); --# -- --# pp(virtex5fx) := pp(virtex5); --# -- --# pp(virtex6cx) := pp(virtex6); --# -- --# set_to(y, kintex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, virtex7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFG_IO_ACCESS --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_GTXE2_CHANNEL --# , u_GTXE2_COMMON --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_BLVDS_25 --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFDS_LVDS_25 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_BLVDS_25 --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFGDS_LVDS_25 --# , u_IBUFG_HSTL_I --# , u_IBUFG_HSTL_I_18 --# , u_IBUFG_HSTL_I_DCI --# , u_IBUFG_HSTL_I_DCI_18 --# , u_IBUFG_HSTL_II --# , u_IBUFG_HSTL_II_18 --# , u_IBUFG_HSTL_II_DCI --# , u_IBUFG_HSTL_II_DCI_18 --# , u_IBUFG_HSTL_III --# , u_IBUFG_HSTL_III_18 --# , u_IBUFG_HSTL_III_DCI --# , u_IBUFG_HSTL_III_DCI_18 --# , u_IBUFG_LVCMOS12 --# , u_IBUFG_LVCMOS15 --# , u_IBUFG_LVCMOS18 --# , u_IBUFG_LVCMOS25 --# , u_IBUFG_LVCMOS33 --# , u_IBUFG_LVDCI_15 --# , u_IBUFG_LVDCI_18 --# , u_IBUFG_LVDCI_DV2_15 --# , u_IBUFG_LVDCI_DV2_18 --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_LVTTL --# , u_IBUFG_PCI33_3 --# , u_IBUFG_PCI66_3 --# , u_IBUFG_PCIX66_3 --# , u_IBUFG_SSTL18_I --# , u_IBUFG_SSTL18_I_DCI --# , u_IBUFG_SSTL18_II --# , u_IBUFG_SSTL18_II_DCI --# , u_IBUF_HSTL_I --# , u_IBUF_HSTL_I_18 --# , u_IBUF_HSTL_I_DCI --# , u_IBUF_HSTL_I_DCI_18 --# , u_IBUF_HSTL_II --# , u_IBUF_HSTL_II_18 --# , u_IBUF_HSTL_II_DCI --# , u_IBUF_HSTL_II_DCI_18 --# , u_IBUF_HSTL_III --# , u_IBUF_HSTL_III_18 --# , u_IBUF_HSTL_III_DCI --# , u_IBUF_HSTL_III_DCI_18 --# , u_IBUF_LVCMOS12 --# , u_IBUF_LVCMOS15 --# , u_IBUF_LVCMOS18 --# , u_IBUF_LVCMOS25 --# , u_IBUF_LVCMOS33 --# , u_IBUF_LVDCI_15 --# , u_IBUF_LVDCI_18 --# , u_IBUF_LVDCI_DV2_15 --# , u_IBUF_LVDCI_DV2_18 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_LVTTL --# , u_IBUF_PCI33_3 --# , u_IBUF_PCI66_3 --# , u_IBUF_PCIX66_3 --# , u_IBUF_SSTL18_I --# , u_IBUF_SSTL18_I_DCI --# , u_IBUF_SSTL18_II --# , u_IBUF_SSTL18_II_DCI --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_BLVDS_25 --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_HSTL_I --# , u_IOBUF_HSTL_I_18 --# , u_IOBUF_HSTL_II --# , u_IOBUF_HSTL_II_18 --# , u_IOBUF_HSTL_II_DCI --# , u_IOBUF_HSTL_II_DCI_18 --# , u_IOBUF_HSTL_III --# , u_IOBUF_HSTL_III_18 --# , u_IOBUF_LVCMOS12 --# , u_IOBUF_LVCMOS15 --# , u_IOBUF_LVCMOS18 --# , u_IOBUF_LVCMOS25 --# , u_IOBUF_LVCMOS33 --# , u_IOBUF_LVDCI_15 --# , u_IOBUF_LVDCI_18 --# , u_IOBUF_LVDCI_DV2_15 --# , u_IOBUF_LVDCI_DV2_18 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_LVTTL --# , u_IOBUF_PCI33_3 --# , u_IOBUF_PCI66_3 --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IOBUF_SSTL18_I --# , u_IOBUF_SSTL18_II --# , u_IOBUF_SSTL18_II_DCI --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_BLVDS_25 --# , u_OBUFDS_DUAL_BUF --# , u_OBUFDS_LVDS_25 --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_HSTL_I --# , u_OBUF_HSTL_I_18 --# , u_OBUF_HSTL_I_DCI --# , u_OBUF_HSTL_I_DCI_18 --# , u_OBUF_HSTL_II --# , u_OBUF_HSTL_II_18 --# , u_OBUF_HSTL_II_DCI --# , u_OBUF_HSTL_II_DCI_18 --# , u_OBUF_HSTL_III --# , u_OBUF_HSTL_III_18 --# , u_OBUF_HSTL_III_DCI --# , u_OBUF_HSTL_III_DCI_18 --# , u_OBUF_LVCMOS12 --# , u_OBUF_LVCMOS15 --# , u_OBUF_LVCMOS18 --# , u_OBUF_LVCMOS25 --# , u_OBUF_LVCMOS33 --# , u_OBUF_LVDCI_15 --# , u_OBUF_LVDCI_18 --# , u_OBUF_LVDCI_DV2_15 --# , u_OBUF_LVDCI_DV2_18 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_LVTTL --# , u_OBUF_PCI33_3 --# , u_OBUF_PCI66_3 --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUF_SSTL18_I --# , u_OBUF_SSTL18_I_DCI --# , u_OBUF_SSTL18_II --# , u_OBUF_SSTL18_II_DCI --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_BLVDS_25 --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFTDS_LVDS_25 --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_HSTL_I --# , u_OBUFT_HSTL_I_18 --# , u_OBUFT_HSTL_I_DCI --# , u_OBUFT_HSTL_I_DCI_18 --# , u_OBUFT_HSTL_II --# , u_OBUFT_HSTL_II_18 --# , u_OBUFT_HSTL_II_DCI --# , u_OBUFT_HSTL_II_DCI_18 --# , u_OBUFT_HSTL_III --# , u_OBUFT_HSTL_III_18 --# , u_OBUFT_HSTL_III_DCI --# , u_OBUFT_HSTL_III_DCI_18 --# , u_OBUFT_LVCMOS12 --# , u_OBUFT_LVCMOS15 --# , u_OBUFT_LVCMOS18 --# , u_OBUFT_LVCMOS25 --# , u_OBUFT_LVCMOS33 --# , u_OBUFT_LVDCI_15 --# , u_OBUFT_LVDCI_18 --# , u_OBUFT_LVDCI_DV2_15 --# , u_OBUFT_LVDCI_DV2_18 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_LVTTL --# , u_OBUFT_PCI33_3 --# , u_OBUFT_PCI66_3 --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_OBUFT_SSTL18_I --# , u_OBUFT_SSTL18_I_DCI --# , u_OBUFT_SSTL18_II --# , u_OBUFT_SSTL18_II_DCI --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB36E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# set_to(y, artix7, ( --# u_AND2 --# , u_AND2B1 --# , u_AND2B1L --# , u_AND2B2 --# , u_AND3 --# , u_AND3B1 --# , u_AND3B2 --# , u_AND3B3 --# , u_AND4 --# , u_AND4B1 --# , u_AND4B2 --# , u_AND4B3 --# , u_AND4B4 --# , u_AND5 --# , u_AND5B1 --# , u_AND5B2 --# , u_AND5B3 --# , u_AND5B4 --# , u_AND5B5 --# , u_AUTOBUF --# , u_BSCANE2 --# , u_BUF --# , u_BUFCF --# , u_BUFG --# , u_BUFGCE --# , u_BUFGCE_1 --# , u_BUFGCTRL --# , u_BUFGMUX --# , u_BUFGMUX_1 --# , u_BUFGP --# , u_BUFH --# , u_BUFHCE --# , u_BUFIO --# , u_BUFMR --# , u_BUFMRCE --# , u_BUFR --# , u_BUFT --# , u_CAPTUREE2 --# , u_CARRY4 --# , u_CFGLUT5 --# , u_DCIRESET --# , u_DNA_PORT --# , u_DSP48E1 --# , u_EFUSE_USR --# , u_FD --# , u_FD_1 --# , u_FDC --# , u_FDC_1 --# , u_FDCE --# , u_FDCE_1 --# , u_FDCP --# , u_FDCP_1 --# , u_FDCPE --# , u_FDCPE_1 --# , u_FDE --# , u_FDE_1 --# , u_FDP --# , u_FDP_1 --# , u_FDPE --# , u_FDPE_1 --# , u_FDR --# , u_FDR_1 --# , u_FDRE --# , u_FDRE_1 --# , u_FDRS --# , u_FDRS_1 --# , u_FDRSE --# , u_FDRSE_1 --# , u_FDS --# , u_FDS_1 --# , u_FDSE --# , u_FDSE_1 --# , u_FIFO18E1 --# , u_FIFO36E1 --# , u_FMAP --# , u_FRAME_ECCE2 --# , u_GND --# , u_IBUF --# , u_IBUF_DCIEN --# , u_IBUFDS --# , u_IBUFDS_DCIEN --# , u_IBUFDS_DIFF_OUT --# , u_IBUFDS_DIFF_OUT_DCIEN --# , u_IBUFDS_GTE2 --# , u_IBUFG --# , u_IBUFGDS --# , u_IBUFGDS_DIFF_OUT --# , u_IBUFG_LVDS --# , u_IBUFG_LVPECL --# , u_IBUFG_PCIX66_3 --# , u_IBUF_LVDS --# , u_IBUF_LVPECL --# , u_IBUF_PCIX66_3 --# , u_ICAPE2 --# , u_IDDR --# , u_IDDR_2CLK --# , u_IDELAY --# , u_IDELAYCTRL --# , u_IDELAYE2 --# , u_IN_FIFO --# , u_INV --# , u_IOBUF --# , u_IOBUFDS --# , u_IOBUFDS_DIFF_OUT --# , u_IOBUFDS_DIFF_OUT_DCIEN --# , u_IOBUF_F_12 --# , u_IOBUF_F_16 --# , u_IOBUF_F_2 --# , u_IOBUF_F_24 --# , u_IOBUF_F_4 --# , u_IOBUF_F_6 --# , u_IOBUF_F_8 --# , u_IOBUF_LVDS --# , u_IOBUF_LVPECL --# , u_IOBUF_PCIX66_3 --# , u_IOBUF_S_12 --# , u_IOBUF_S_16 --# , u_IOBUF_S_2 --# , u_IOBUF_S_24 --# , u_IOBUF_S_4 --# , u_IOBUF_S_6 --# , u_IOBUF_S_8 --# , u_IODELAY --# , u_IODELAYE1 --# , u_ISERDESE2 --# , u_JTAG_SIME2 --# , u_KEEPER --# , u_LD --# , u_LD_1 --# , u_LDC --# , u_LDC_1 --# , u_LDCE --# , u_LDCE_1 --# , u_LDCP --# , u_LDCP_1 --# , u_LDCPE --# , u_LDCPE_1 --# , u_LDE --# , u_LDE_1 --# , u_LDP --# , u_LDP_1 --# , u_LDPE --# , u_LDPE_1 --# , u_LUT1 --# , u_LUT1_D --# , u_LUT1_L --# , u_LUT2 --# , u_LUT2_D --# , u_LUT2_L --# , u_LUT3 --# , u_LUT3_D --# , u_LUT3_L --# , u_LUT4 --# , u_LUT4_D --# , u_LUT4_L --# , u_LUT5 --# , u_LUT5_D --# , u_LUT5_L --# , u_LUT6 --# , u_LUT6_2 --# , u_LUT6_D --# , u_LUT6_L --# , u_MMCME2_ADV --# , u_MMCME2_BASE --# , u_MULT_AND --# , u_MUXCY --# , u_MUXCY_D --# , u_MUXCY_L --# , u_MUXF5 --# , u_MUXF5_D --# , u_MUXF5_L --# , u_MUXF6 --# , u_MUXF6_D --# , u_MUXF6_L --# , u_MUXF7 --# , u_MUXF7_D --# , u_MUXF7_L --# , u_MUXF8 --# , u_MUXF8_D --# , u_MUXF8_L --# , u_NAND2 --# , u_NAND2B1 --# , u_NAND2B2 --# , u_NAND3 --# , u_NAND3B1 --# , u_NAND3B2 --# , u_NAND3B3 --# , u_NAND4 --# , u_NAND4B1 --# , u_NAND4B2 --# , u_NAND4B3 --# , u_NAND4B4 --# , u_NAND5 --# , u_NAND5B1 --# , u_NAND5B2 --# , u_NAND5B3 --# , u_NAND5B4 --# , u_NAND5B5 --# , u_NOR2 --# , u_NOR2B1 --# , u_NOR2B2 --# , u_NOR3 --# , u_NOR3B1 --# , u_NOR3B2 --# , u_NOR3B3 --# , u_NOR4 --# , u_NOR4B1 --# , u_NOR4B2 --# , u_NOR4B3 --# , u_NOR4B4 --# , u_NOR5 --# , u_NOR5B1 --# , u_NOR5B2 --# , u_NOR5B3 --# , u_NOR5B4 --# , u_NOR5B5 --# , u_OBUF --# , u_OBUFDS --# , u_OBUFDS_DUAL_BUF --# , u_OBUF_F_12 --# , u_OBUF_F_16 --# , u_OBUF_F_2 --# , u_OBUF_F_24 --# , u_OBUF_F_4 --# , u_OBUF_F_6 --# , u_OBUF_F_8 --# , u_OBUF_LVDS --# , u_OBUF_LVPECL --# , u_OBUF_PCIX66_3 --# , u_OBUF_S_12 --# , u_OBUF_S_16 --# , u_OBUF_S_2 --# , u_OBUF_S_24 --# , u_OBUF_S_4 --# , u_OBUF_S_6 --# , u_OBUF_S_8 --# , u_OBUFT --# , u_OBUFT_DCIEN --# , u_OBUFTDS --# , u_OBUFTDS_DCIEN --# , u_OBUFTDS_DCIEN_DUAL_BUF --# , u_OBUFTDS_DUAL_BUF --# , u_OBUFT_F_12 --# , u_OBUFT_F_16 --# , u_OBUFT_F_2 --# , u_OBUFT_F_24 --# , u_OBUFT_F_4 --# , u_OBUFT_F_6 --# , u_OBUFT_F_8 --# , u_OBUFT_LVDS --# , u_OBUFT_LVPECL --# , u_OBUFT_PCIX66_3 --# , u_OBUFT_S_12 --# , u_OBUFT_S_16 --# , u_OBUFT_S_2 --# , u_OBUFT_S_24 --# , u_OBUFT_S_4 --# , u_OBUFT_S_6 --# , u_OBUFT_S_8 --# , u_ODDR --# , u_ODELAYE2 --# , u_OR2 --# , u_OR2B1 --# , u_OR2B2 --# , u_OR2L --# , u_OR3 --# , u_OR3B1 --# , u_OR3B2 --# , u_OR3B3 --# , u_OR4 --# , u_OR4B1 --# , u_OR4B2 --# , u_OR4B3 --# , u_OR4B4 --# , u_OR5 --# , u_OR5B1 --# , u_OR5B2 --# , u_OR5B3 --# , u_OR5B4 --# , u_OR5B5 --# , u_OSERDESE2 --# , u_OUT_FIFO --# , u_PCIE_2_1 --# , u_PHASER_IN --# , u_PHASER_IN_PHY --# , u_PHASER_OUT --# , u_PHASER_OUT_PHY --# , u_PHASER_REF --# , u_PHY_CONTROL --# , u_PLLE2_ADV --# , u_PLLE2_BASE --# , u_PSS --# , u_PULLDOWN --# , u_PULLUP --# , u_RAM128X1D --# , u_RAM128X1S --# , u_RAM128X1S_1 --# , u_RAM16X1D --# , u_RAM16X1D_1 --# , u_RAM16X1S --# , u_RAM16X1S_1 --# , u_RAM16X2S --# , u_RAM16X4S --# , u_RAM16X8S --# , u_RAM256X1S --# , u_RAM32M --# , u_RAM32X1D --# , u_RAM32X1D_1 --# , u_RAM32X1S --# , u_RAM32X1S_1 --# , u_RAM32X2S --# , u_RAM32X4S --# , u_RAM32X8S --# , u_RAM64M --# , u_RAM64X1D --# , u_RAM64X1D_1 --# , u_RAM64X1S --# , u_RAM64X1S_1 --# , u_RAM64X2S --# , u_RAMB16_S4_S36 --# , u_RAMB18E1 --# , u_RAMB36E1 --# , u_RAMD32 --# , u_RAMD64E --# , u_RAMS32 --# , u_RAMS64E --# , u_ROM128X1 --# , u_ROM16X1 --# , u_ROM256X1 --# , u_ROM32X1 --# , u_ROM64X1 --# , u_SIM_CONFIGE2 --# , u_SRL16 --# , u_SRL16_1 --# , u_SRL16E --# , u_SRL16E_1 --# , u_SRLC16 --# , u_SRLC16_1 --# , u_SRLC16E --# , u_SRLC16E_1 --# , u_SRLC32E --# , u_STARTUPE2 --# , u_USR_ACCESSE2 --# , u_VCC --# , u_XADC --# , u_XNOR2 --# , u_XNOR3 --# , u_XNOR4 --# , u_XNOR5 --# , u_XOR2 --# , u_XOR3 --# , u_XOR4 --# , u_XOR5 --# , u_XORCY --# , u_XORCY_D --# , u_XORCY_L --# , u_ZHOLD_DELAY --# ) ); --# -- --# return pp; --# end prim_population; --# ---) --# --#constant fam_has_prim : fam_has_prim_type := prim_population; constant fam_has_prim : fam_has_prim_type := ( nofamily => ( n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), kintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qkintex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qvirtex7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), aartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), artix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qartix7l => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), zynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), azynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), qzynq => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, y, n, n, n, n, y, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, y, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, y, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n), virtex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), kintex8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y), artix8 => ( y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, y, y, n, y, y, y, y, n, y, y, n, n, y, y, y, y, n, n, n, n, n, n, n, y, y, n, n, n, n, n, n, n, n, n, y, y, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, y, y, n, n, y, n, n, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, y, y, n, y, n, y, y, y, n, y, y, n, n, n, n, n, n, n, n, n, n, y, n, y, y, y, n, n, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, y, n, n, n, n, n, n, n, n, n, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, y, n, n, y, y, y, y, y, y, y, y, n, n, y, y, n, y, n, y, y, y, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, n, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, n, y, n, y, y, y, y, y, y, n, n, y, y, y, y, y, n, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y, y) ); function supported( family : families_type; primitive : primitives_type ) return boolean is begin return fam_has_prim(family)(primitive) = y; end supported; function supported( family : families_type; primitives : primitive_array_type ) return boolean is begin for i in primitives'range loop if fam_has_prim(family)(primitives(i)) /= y then return false; end if; end loop; return true; end supported; ---------------------------------------------------------------------------- -- This function is used as alternative to the 'IMAGE attribute, which -- is not correctly interpretted by some vhdl tools. ---------------------------------------------------------------------------- function myimage (fam_type : families_type) return string is variable temp : families_type :=fam_type; begin case temp is when nofamily => return "nofamily" ; when virtex8 => return "virtex8" ; when virtex7 => return "virtex7" ; when virtex7l => return "virtex7l" ; when qvirtex7 => return "qvirtex7" ; when qvirtex7l => return "qvirtex7l" ; when kintex8 => return "kintex8" ; when kintex7 => return "kintex7" ; when kintex7l => return "kintex7l" ; when qkintex7 => return "qkintex7" ; when qkintex7l => return "qkintex7l" ; when artix8 => return "artix8" ; when artix7 => return "artix7" ; when aartix7 => return "aartix7" ; when artix7l => return "artix7l" ; when qartix7 => return "qartix7" ; when qartix7l => return "qartix7l" ; when zynq => return "zynq" ; when azynq => return "azynq" ; when qzynq => return "qzynq" ; end case; end myimage; ---------------------------------------------------------------------------- -- Function: get_root_family -- -- This function takes in the string for the desired FPGA family type and -- returns the root FPGA family type string. This is used for derivative part -- aliasing to the root family. This is primarily for fifo_generator and -- blk_mem_gen calls that need the root family passed to the call. ---------------------------------------------------------------------------- function get_root_family(family_in : string) return string is begin -- Virtex7 Root family if (equalIgnoringCase(family_in, "virtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "virtex7l" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7" )) Then return "virtex7" ; Elsif (equalIgnoringCase(family_in, "qvirtex7l" )) Then return "virtex7" ; -- Kintex7 Root family Elsif (equalIgnoringCase(family_in, "kintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "kintex7l" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7" )) Then return "kintex7" ; Elsif (equalIgnoringCase(family_in, "qkintex7l" )) Then return "kintex7" ; -- artix7 Root family Elsif (equalIgnoringCase(family_in, "artix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "aartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "artix7l" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7" )) Then return "artix7" ; Elsif (equalIgnoringCase(family_in, "qartix7l" )) Then return "artix7" ; -- zynq Root family Elsif (equalIgnoringCase(family_in, "zynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "azynq" )) Then return "zynq" ; Elsif (equalIgnoringCase(family_in, "qzynq" )) Then return "zynq" ; -- Kintex8 Root family Elsif (equalIgnoringCase(family_in, "kintex8" )) Then return "kintex8" ; -- Virtex8 Root family Elsif (equalIgnoringCase(family_in, "virtex8" )) Then return "virtex8" ; -- artix8 Root family Elsif (equalIgnoringCase(family_in, "artix8" )) Then return "artix8" ; -- No Match to supported families and derivatives Else return "nofamily"; End if; end get_root_family; function toLowerCaseChar( char : character ) return character is begin -- If char is not an upper case letter then return char if char < 'A' OR char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end toLowerCaseChar; ---------------------------------------------------------------------------- -- Function: equalIgnoringCase -- -- Compare one string against another for equality with case insensitivity. -- Can be used to test see if a family, C_FAMILY, is equal to some -- family. However such usage is discouraged. Use instead availability -- primitive guards based on the function, 'supported', wherever possible. ---------------------------------------------------------------------------- function equalIgnoringCase( str1, str2 : string ) return boolean is constant LEN1 : integer := str1'length; constant LEN2 : integer := str2'length; variable equal : boolean := TRUE; begin if not (LEN1 = LEN2) then equal := FALSE; else for i in str1'range loop if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then equal := FALSE; end if; end loop; end if; return equal; end equalIgnoringCase; ---------------------------------------------------------------------------- -- Conversions from/to STRING to/from families_type. -- These are convenience functions that are not normally needed when -- using the 'supported' functions. ---------------------------------------------------------------------------- function str2fam( fam_as_string : string ) return families_type is -- variable fas : string(1 to fam_as_string'length) := fam_as_string; variable fam : families_type; -- begin -- Search for and return the corresponding family. for fam in families_type'low to families_type'high loop if equalIgnoringCase(fas, myimage(fam)) then return fam; end if; end loop; -- If there is no matching family, report a warning and return nofamily. assert false report "Package family_support: Function str2fam called" & " with string parameter, " & fam_as_string & ", that does not correspond" & " to a supported family. Returning nofamily." severity warning; return nofamily; end str2fam; function fam2str( fam : families_type) return string is begin --return families_type'IMAGE(fam); return myimage(fam); end fam2str; function supported( fam_as_str : string; primitive : primitives_type ) return boolean is begin return supported(str2fam(fam_as_str), primitive); end supported; function supported( fam_as_str : string; primitives : primitive_array_type ) return boolean is begin return supported(str2fam(fam_as_str), primitives); end supported; ---------------------------------------------------------------------------- -- Function: native_lut_size, two overloads. ---------------------------------------------------------------------------- function native_lut_size( fam : families_type; no_lut_return_val : natural := 0 ) return natural is begin if supported(fam, u_LUT6) then return 6; elsif supported(fam, u_LUT5) then return 5; elsif supported(fam, u_LUT4) then return 4; elsif supported(fam, u_LUT3) then return 3; elsif supported(fam, u_LUT2) then return 2; elsif supported(fam, u_LUT1) then return 1; else return no_lut_return_val; end if; end; function native_lut_size( fam_as_string : string; no_lut_return_val : natural := 0 ) return natural is begin return native_lut_size( fam => str2fam(fam_as_string), no_lut_return_val => no_lut_return_val ); end; end package body family_support;
architecture RTL of FIFO is file F1 : IntegerFile; file F2 : IntegerFile is "test.dat"; file F3 : IntegerFile open WRITE_MODEW is "test.dat"; file F1, F2, F3 : IntegerFile open WRITE_MODEW is "test.dat"; file F1 : IntegerFile open WRITE_MODEM is (something(else)); begin end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity tb is end tb; architecture augh of tb is constant simu_max_cycles : natural := 100000; constant simu_disp_cycles : std_logic := '1'; constant simu_err_end_in : std_logic := '0'; constant reset_cycles : natural := 4; component top is port ( clock : in std_logic; reset : in std_logic; start : in std_logic; stdin_rdy : out std_logic; stdin_ack : in std_logic; stdout_data : out std_logic_vector(31 downto 0); stdout_rdy : out std_logic; stdout_ack : in std_logic; stdin_data : in std_logic_vector(31 downto 0) ); end component; signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal start : std_logic := '0'; signal clock_next : std_logic := '0'; -- Access 'clock' model 'clock' -- Access 'reset' model 'reset' -- Access 'start' model 'start' -- Access 'stdin' model 'fifo_in' signal stdin_data : std_logic_vector(31 downto 0) := (others => '0'); signal stdin_rdy : std_logic := '0'; signal stdin_ack : std_logic := '0'; signal stdin_vector_idx : natural := 0; signal stdin_vector : std_logic_vector(31 downto 0) := (others => '0'); -- Test vectors constant stdin_vectors_nb : natural := 100; type stdin_vec_type is array (0 to stdin_vectors_nb-1) of std_logic_vector(31 downto 0); constant stdin_vectors : stdin_vec_type := ( X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000043", X"00000043", X"00000043", X"00000043", X"00000043", X"00000043", X"00000043", X"00000042", X"00000042", X"00000042", X"00000042", X"00000042", X"00000042", X"00000041", X"00000041", X"00000041", X"00000041", X"00000041", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"0000003f", X"0000003f", X"0000003f", X"0000003f", X"0000003f", X"0000003e", X"0000003e", X"0000003e", X"0000003e", X"0000003e", X"0000003e", X"0000003d", X"0000003d", X"0000003d", X"0000003d", X"0000003d", X"0000003d", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c" ); -- Access 'stdout' model 'fifo_out' signal stdout_data : std_logic_vector(31 downto 0) := (others => '0'); signal stdout_rdy : std_logic := '0'; signal stdout_ack : std_logic := '0'; signal stdout_vector_idx : natural := 0; signal stdout_vector : std_logic_vector(31 downto 0) := (others => '0'); -- Test vectors constant stdout_vectors_nb : natural := 150; type stdout_vec_type is array (0 to stdout_vectors_nb-1) of std_logic_vector(31 downto 0); constant stdout_vectors : stdout_vec_type := ( X"000000fd", X"000000de", X"00000077", X"000000ba", X"000000f4", X"00000092", X"00000020", X"000000a0", X"000000ec", X"000000ed", X"000000ee", X"000000f0", X"000000f1", X"000000f1", X"000000f2", X"000000f3", X"000000f4", X"000000f4", X"000000f3", X"000000f5", X"000000f5", X"000000f5", X"000000f6", X"000000f6", X"000000f6", X"000000f7", X"000000f8", X"000000f6", X"000000f7", X"000000f8", X"000000f7", X"000000f8", X"000000f8", X"000000f6", X"000000f8", X"000000f8", X"000000f7", X"000000f9", X"000000f9", X"000000f8", X"000000f8", X"000000f8", X"000000f7", X"000000fa", X"000000fb", X"000000fb", X"000000fa", X"000000fb", X"000000fb", X"000000fb", X"00000000", X"00000000", X"00000000", X"00000000", X"ffffffff", X"00000000", X"00000000", X"ffffffff", X"00000000", X"00000000", X"ffffffff", X"ffffffff", X"00000000", X"00000000", X"ffffffff", X"fffffffd", X"fffffffe", X"fffffffd", X"ffffffff", X"fffffffc", X"00000000", X"ffffffff", X"ffffffff", X"fffffffb", X"00000000", X"00000000", X"ffffffff", X"00000004", X"0000000b", X"00000009", X"0000000b", X"0000000d", X"00000011", X"00000010", X"00000014", X"00000013", X"00000016", X"00000013", X"00000016", X"00000017", X"0000001a", X"0000001a", X"0000001d", X"0000001e", X"00000021", X"0000001f", X"0000001e", X"0000001a", X"0000001e", X"00000020", X"00000026", X"00000025", X"00000026", X"00000023", X"00000025", X"00000024", X"00000027", X"00000025", X"00000028", X"00000028", X"0000002b", X"00000029", X"0000002d", X"0000002e", X"0000002f", X"00000028", X"00000027", X"00000027", X"0000002d", X"0000002f", X"00000031", X"0000002d", X"0000002d", X"0000002c", X"00000031", X"00000030", X"0000002f", X"00000028", X"0000002a", X"0000002d", X"00000033", X"00000030", X"0000002e", X"00000029", X"0000002d", X"00000030", X"00000037", X"00000035", X"00000035", X"00000030", X"00000030", X"0000002e", X"00000031", X"0000002e", X"0000002f", X"0000002c", X"00000031", X"00000034", X"0000003a", X"0000003a" ); signal clock_counter : natural := 0; signal clock_counter_stop : natural := 0; signal errors_nb : natural := 0; -- Defined in VHDL 2008, not handled by GHDL function to_string(sv: std_logic_vector) return string is variable bv: bit_vector(sv'range) := to_bitvector(sv); variable lp: line; begin write(lp, bv); return lp.all; end; begin -- Instantiation of the main component top_i : top port map ( -- Access 'clock' model 'clock' clock => clock, -- Access 'reset' model 'reset' reset => reset, -- Access 'start' model 'start' start => start, -- Access 'stdin' model 'fifo_in' stdin_data => stdin_data, stdin_rdy => stdin_rdy, stdin_ack => stdin_ack, -- Access 'stdout' model 'fifo_out' stdout_data => stdout_data, stdout_rdy => stdout_rdy, stdout_ack => stdout_ack ); -- Functionality for top-level access 'clock' model 'clock' -- Generation of clock: 100MHz (note: arbitrary value) clock <= clock_next after 5 ns; clock_next <= not clock when clock_counter_stop = 0 or clock_counter <= clock_counter_stop else '0'; -- Clock counter and global messages process (clock) -- To print simulation messages variable l : line; begin -- Increment clock counter if rising_edge(clock) then clock_counter <= clock_counter + 1; if false and simu_disp_cycles = '1' then -- Write simulation message write(l, string'("INFO clock cycle ")); write(l, clock_counter); writeline(output, l); end if; end if; -- Messages if falling_edge(clock) then if clock_counter > simu_max_cycles then report "ERROR Too many cycles simulated. Stopping simulation." severity failure; end if; if clock_counter < reset_cycles then report "INFO Reset" severity note; end if; if clock_counter = reset_cycles then report "INFO Start" severity note; end if; end if; end process; -- Functionality for top-level access 'reset' model 'reset' -- Generation of reset reset <= '1' when clock_counter < reset_cycles else '0'; -- Functionality for top-level access 'start' model 'start' -- Generation of start start <= '1'; -- Functionality for top-level access 'stdin' model 'fifo_in' -- FIFO stdin -- Sending inputs stdin_vector <= stdin_vectors(stdin_vector_idx) when stdin_vector_idx < stdin_vectors_nb else (others => '0'); stdin_data <= stdin_vector(31 downto 0); stdin_ack <= '1' when reset = '0' and stdin_vector_idx < stdin_vectors_nb else '0'; process (clock) -- To print simulation messages variable l : line; begin if rising_edge(clock) then if stdin_vector_idx < stdin_vectors_nb then if stdin_rdy = '1' and stdin_ack = '1' and reset = '0' then -- Write simulation message write(l, string'("INFO Input vector ")); write(l, stdin_vector_idx); write(l, string'(" at cycle ")); write(l, clock_counter); writeline(output, l); if stdin_vector_idx = 0 then write(l, string'("INFO First input vector sent at clock cycle ")); write(l, clock_counter); writeline(output, l); end if; if stdin_vector_idx = stdin_vectors_nb - 1 then write(l, string'("INFO Last input vector sent at clock cycle ")); write(l, clock_counter); writeline(output, l); end if; -- Increase vector index stdin_vector_idx <= stdin_vector_idx + 1; end if; -- Handshake else if stdin_rdy = '1' and reset = '0' then if simu_err_end_in = '1' then report "ERROR Out of input vectors. Stopping simulation." severity failure; end if; end if; -- Handshake end if; end if; end process; -- Functionality for top-level access 'stdout' model 'fifo_out' -- FIFO stdout -- Checking outputs -- Always enable output FIFO stdout_ack <= '1' when stdout_vector_idx < stdout_vectors_nb and reset = '0' else '0'; stdout_vector <= stdout_vectors(stdout_vector_idx) when stdout_vector_idx < stdout_vectors_nb else (others => '0'); -- Check outputs process (clock) variable l : line; begin if rising_edge(clock) then if stdout_vector_idx < stdout_vectors_nb then if stdout_rdy = '1' and stdout_ack = '1' and reset = '0' then if stdout_data = stdout_vector(31 downto 0) then -- The vector is verified write(l, string'("INFO Output nb ")); write(l, stdout_vector_idx); write(l, string'(" at cycle ")); write(l, clock_counter); write(l, string'(" (check OK)")); write(l, string'(" Obtained ")); write(l, to_string(stdout_data)); writeline(output, l); else -- An error is detected write(l, string'("ERROR Output nb ")); write(l, stdout_vector_idx); write(l, string'(" at cycle ")); write(l, clock_counter); writeline(output, l); write(l, string'(" Obtained ")); write(l, to_string(stdout_data)); writeline(output, l); write(l, string'(" Expected ")); write(l, to_string(stdout_vector(31 downto 0))); writeline(output, l); errors_nb <= errors_nb + 1; --report "ERROR A simulation error was found." severity failure; end if; if stdout_vector_idx = stdout_vectors_nb - 1 then write(l, string'("INFO Last output vector read at cycle ")); write(l, clock_counter); writeline(output, l); report "INFO Stopping simulation." severity note; clock_counter_stop <= clock_counter + 3; end if; -- Increase vector index stdout_vector_idx <= stdout_vector_idx + 1; end if; -- FIFO handshake else -- All vectors have been read if errors_nb > 0 then write(l, string'("ERROR Number of errors found : ")); write(l, errors_nb); writeline(output, l); report "ERROR Simulation errors were found." severity failure; end if; end if; -- Check all vectors read end if; -- Clock end process; end augh;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity tb is end tb; architecture augh of tb is constant simu_max_cycles : natural := 100000; constant simu_disp_cycles : std_logic := '1'; constant simu_err_end_in : std_logic := '0'; constant reset_cycles : natural := 4; component top is port ( clock : in std_logic; reset : in std_logic; start : in std_logic; stdin_rdy : out std_logic; stdin_ack : in std_logic; stdout_data : out std_logic_vector(31 downto 0); stdout_rdy : out std_logic; stdout_ack : in std_logic; stdin_data : in std_logic_vector(31 downto 0) ); end component; signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal start : std_logic := '0'; signal clock_next : std_logic := '0'; -- Access 'clock' model 'clock' -- Access 'reset' model 'reset' -- Access 'start' model 'start' -- Access 'stdin' model 'fifo_in' signal stdin_data : std_logic_vector(31 downto 0) := (others => '0'); signal stdin_rdy : std_logic := '0'; signal stdin_ack : std_logic := '0'; signal stdin_vector_idx : natural := 0; signal stdin_vector : std_logic_vector(31 downto 0) := (others => '0'); -- Test vectors constant stdin_vectors_nb : natural := 100; type stdin_vec_type is array (0 to stdin_vectors_nb-1) of std_logic_vector(31 downto 0); constant stdin_vectors : stdin_vec_type := ( X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000044", X"00000043", X"00000043", X"00000043", X"00000043", X"00000043", X"00000043", X"00000043", X"00000042", X"00000042", X"00000042", X"00000042", X"00000042", X"00000042", X"00000041", X"00000041", X"00000041", X"00000041", X"00000041", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"00000040", X"0000003f", X"0000003f", X"0000003f", X"0000003f", X"0000003f", X"0000003e", X"0000003e", X"0000003e", X"0000003e", X"0000003e", X"0000003e", X"0000003d", X"0000003d", X"0000003d", X"0000003d", X"0000003d", X"0000003d", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003b", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c", X"0000003c" ); -- Access 'stdout' model 'fifo_out' signal stdout_data : std_logic_vector(31 downto 0) := (others => '0'); signal stdout_rdy : std_logic := '0'; signal stdout_ack : std_logic := '0'; signal stdout_vector_idx : natural := 0; signal stdout_vector : std_logic_vector(31 downto 0) := (others => '0'); -- Test vectors constant stdout_vectors_nb : natural := 150; type stdout_vec_type is array (0 to stdout_vectors_nb-1) of std_logic_vector(31 downto 0); constant stdout_vectors : stdout_vec_type := ( X"000000fd", X"000000de", X"00000077", X"000000ba", X"000000f4", X"00000092", X"00000020", X"000000a0", X"000000ec", X"000000ed", X"000000ee", X"000000f0", X"000000f1", X"000000f1", X"000000f2", X"000000f3", X"000000f4", X"000000f4", X"000000f3", X"000000f5", X"000000f5", X"000000f5", X"000000f6", X"000000f6", X"000000f6", X"000000f7", X"000000f8", X"000000f6", X"000000f7", X"000000f8", X"000000f7", X"000000f8", X"000000f8", X"000000f6", X"000000f8", X"000000f8", X"000000f7", X"000000f9", X"000000f9", X"000000f8", X"000000f8", X"000000f8", X"000000f7", X"000000fa", X"000000fb", X"000000fb", X"000000fa", X"000000fb", X"000000fb", X"000000fb", X"00000000", X"00000000", X"00000000", X"00000000", X"ffffffff", X"00000000", X"00000000", X"ffffffff", X"00000000", X"00000000", X"ffffffff", X"ffffffff", X"00000000", X"00000000", X"ffffffff", X"fffffffd", X"fffffffe", X"fffffffd", X"ffffffff", X"fffffffc", X"00000000", X"ffffffff", X"ffffffff", X"fffffffb", X"00000000", X"00000000", X"ffffffff", X"00000004", X"0000000b", X"00000009", X"0000000b", X"0000000d", X"00000011", X"00000010", X"00000014", X"00000013", X"00000016", X"00000013", X"00000016", X"00000017", X"0000001a", X"0000001a", X"0000001d", X"0000001e", X"00000021", X"0000001f", X"0000001e", X"0000001a", X"0000001e", X"00000020", X"00000026", X"00000025", X"00000026", X"00000023", X"00000025", X"00000024", X"00000027", X"00000025", X"00000028", X"00000028", X"0000002b", X"00000029", X"0000002d", X"0000002e", X"0000002f", X"00000028", X"00000027", X"00000027", X"0000002d", X"0000002f", X"00000031", X"0000002d", X"0000002d", X"0000002c", X"00000031", X"00000030", X"0000002f", X"00000028", X"0000002a", X"0000002d", X"00000033", X"00000030", X"0000002e", X"00000029", X"0000002d", X"00000030", X"00000037", X"00000035", X"00000035", X"00000030", X"00000030", X"0000002e", X"00000031", X"0000002e", X"0000002f", X"0000002c", X"00000031", X"00000034", X"0000003a", X"0000003a" ); signal clock_counter : natural := 0; signal clock_counter_stop : natural := 0; signal errors_nb : natural := 0; -- Defined in VHDL 2008, not handled by GHDL function to_string(sv: std_logic_vector) return string is variable bv: bit_vector(sv'range) := to_bitvector(sv); variable lp: line; begin write(lp, bv); return lp.all; end; begin -- Instantiation of the main component top_i : top port map ( -- Access 'clock' model 'clock' clock => clock, -- Access 'reset' model 'reset' reset => reset, -- Access 'start' model 'start' start => start, -- Access 'stdin' model 'fifo_in' stdin_data => stdin_data, stdin_rdy => stdin_rdy, stdin_ack => stdin_ack, -- Access 'stdout' model 'fifo_out' stdout_data => stdout_data, stdout_rdy => stdout_rdy, stdout_ack => stdout_ack ); -- Functionality for top-level access 'clock' model 'clock' -- Generation of clock: 100MHz (note: arbitrary value) clock <= clock_next after 5 ns; clock_next <= not clock when clock_counter_stop = 0 or clock_counter <= clock_counter_stop else '0'; -- Clock counter and global messages process (clock) -- To print simulation messages variable l : line; begin -- Increment clock counter if rising_edge(clock) then clock_counter <= clock_counter + 1; if false and simu_disp_cycles = '1' then -- Write simulation message write(l, string'("INFO clock cycle ")); write(l, clock_counter); writeline(output, l); end if; end if; -- Messages if falling_edge(clock) then if clock_counter > simu_max_cycles then report "ERROR Too many cycles simulated. Stopping simulation." severity failure; end if; if clock_counter < reset_cycles then report "INFO Reset" severity note; end if; if clock_counter = reset_cycles then report "INFO Start" severity note; end if; end if; end process; -- Functionality for top-level access 'reset' model 'reset' -- Generation of reset reset <= '1' when clock_counter < reset_cycles else '0'; -- Functionality for top-level access 'start' model 'start' -- Generation of start start <= '1'; -- Functionality for top-level access 'stdin' model 'fifo_in' -- FIFO stdin -- Sending inputs stdin_vector <= stdin_vectors(stdin_vector_idx) when stdin_vector_idx < stdin_vectors_nb else (others => '0'); stdin_data <= stdin_vector(31 downto 0); stdin_ack <= '1' when reset = '0' and stdin_vector_idx < stdin_vectors_nb else '0'; process (clock) -- To print simulation messages variable l : line; begin if rising_edge(clock) then if stdin_vector_idx < stdin_vectors_nb then if stdin_rdy = '1' and stdin_ack = '1' and reset = '0' then -- Write simulation message write(l, string'("INFO Input vector ")); write(l, stdin_vector_idx); write(l, string'(" at cycle ")); write(l, clock_counter); writeline(output, l); if stdin_vector_idx = 0 then write(l, string'("INFO First input vector sent at clock cycle ")); write(l, clock_counter); writeline(output, l); end if; if stdin_vector_idx = stdin_vectors_nb - 1 then write(l, string'("INFO Last input vector sent at clock cycle ")); write(l, clock_counter); writeline(output, l); end if; -- Increase vector index stdin_vector_idx <= stdin_vector_idx + 1; end if; -- Handshake else if stdin_rdy = '1' and reset = '0' then if simu_err_end_in = '1' then report "ERROR Out of input vectors. Stopping simulation." severity failure; end if; end if; -- Handshake end if; end if; end process; -- Functionality for top-level access 'stdout' model 'fifo_out' -- FIFO stdout -- Checking outputs -- Always enable output FIFO stdout_ack <= '1' when stdout_vector_idx < stdout_vectors_nb and reset = '0' else '0'; stdout_vector <= stdout_vectors(stdout_vector_idx) when stdout_vector_idx < stdout_vectors_nb else (others => '0'); -- Check outputs process (clock) variable l : line; begin if rising_edge(clock) then if stdout_vector_idx < stdout_vectors_nb then if stdout_rdy = '1' and stdout_ack = '1' and reset = '0' then if stdout_data = stdout_vector(31 downto 0) then -- The vector is verified write(l, string'("INFO Output nb ")); write(l, stdout_vector_idx); write(l, string'(" at cycle ")); write(l, clock_counter); write(l, string'(" (check OK)")); write(l, string'(" Obtained ")); write(l, to_string(stdout_data)); writeline(output, l); else -- An error is detected write(l, string'("ERROR Output nb ")); write(l, stdout_vector_idx); write(l, string'(" at cycle ")); write(l, clock_counter); writeline(output, l); write(l, string'(" Obtained ")); write(l, to_string(stdout_data)); writeline(output, l); write(l, string'(" Expected ")); write(l, to_string(stdout_vector(31 downto 0))); writeline(output, l); errors_nb <= errors_nb + 1; --report "ERROR A simulation error was found." severity failure; end if; if stdout_vector_idx = stdout_vectors_nb - 1 then write(l, string'("INFO Last output vector read at cycle ")); write(l, clock_counter); writeline(output, l); report "INFO Stopping simulation." severity note; clock_counter_stop <= clock_counter + 3; end if; -- Increase vector index stdout_vector_idx <= stdout_vector_idx + 1; end if; -- FIFO handshake else -- All vectors have been read if errors_nb > 0 then write(l, string'("ERROR Number of errors found : ")); write(l, errors_nb); writeline(output, l); report "ERROR Simulation errors were found." severity failure; end if; end if; -- Check all vectors read end if; -- Clock end process; end augh;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity ent is generic ( G : unsigned(31 downto 0) ); port ( res : out unsigned (31 downto 0)); end; architecture a of ent is begin res <= g; end;
entity func is port (a, b, c: in BIT; z: out BIT); end entity func; architecture netlist of func is signal p, q, r: BIT; -- Defines the number and type of I/O buses; begin g1: entity WORK.Not1(gate1) port map(a, p); -- The instance has a name (g1), the type of gate (gate1) and a clause of "port map" -- Map ports between external and internal signals g2: entity WORK.And2(gate1) port map(p, b, q); g3: entity WORK.And2(gate1) port map(a, c, r); -- WORK: Current working library/directory where entity and architecture are compiled g4: entity WORK.Or2(gate1) port map(q, r, z); end architecture netlist; -- Signal can be assigned in different order: -- g2: entity WORK.And2(gate1) port map(z=>p, x=>p, y=>b); -- Alternatively, the components can be declared before they are used architecture netlist2 of func is component And2 is -- "component" is identical to "entity"; port (x, y: in BIT; z: out BIT); end component And2; component Or2 is port (x, y: in BIT; z: out BIT); end component Or2; component Not1 is port(x: in BIT; z: out BIT); end component Not1; signal p, q, r: BIT; begin g1: Not1 port map(a, p); g2: And2 port map(p, b, q); g3: And2 port map(a, c, r); g4: Or2 port map(q, r, z); end architecture netlist2 -- It is assumed that there is only one architecture for each gate. -- If more than one architecture exists, the last one compiled will be used. -- This is known as the "default configuration"
--! --! Up/Down-Counter --! --! @author Fabian Greif --! library ieee; use ieee.std_logic_1164.all; package up_down_counter_pkg is component up_down_counter is generic ( WIDTH : positive); port ( clk_en_p : in std_logic; up_down_p : in std_logic; value_p : out std_logic_vector(WIDTH - 1 downto 0); reset : in std_logic; clk : in std_logic); end component up_down_counter; end package up_down_counter_pkg; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity up_down_counter is generic ( WIDTH : positive := 8); port ( clk_en_p : in std_logic; --! Clock enable up_down_p : in std_logic; --! '1' = up, '0' = down value_p : out std_logic_vector(WIDTH - 1 downto 0); reset : in std_logic; --! Reset counter clk : in std_logic --! System clock ); end up_down_counter; architecture behavioral of up_down_counter is signal count : unsigned(WIDTH - 1 downto 0) := (others => '0'); begin process begin wait until rising_edge(clk); if reset = '1' then count <= (others => '0'); elsif clk_en_p = '1' then if up_down_p = '1' then count <= count + 1; else count <= count - 1; end if; end if; end process; value_p <= std_logic_vector(count); end behavioral;
--! --! Up/Down-Counter --! --! @author Fabian Greif --! library ieee; use ieee.std_logic_1164.all; package up_down_counter_pkg is component up_down_counter is generic ( WIDTH : positive); port ( clk_en_p : in std_logic; up_down_p : in std_logic; value_p : out std_logic_vector(WIDTH - 1 downto 0); reset : in std_logic; clk : in std_logic); end component up_down_counter; end package up_down_counter_pkg; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity up_down_counter is generic ( WIDTH : positive := 8); port ( clk_en_p : in std_logic; --! Clock enable up_down_p : in std_logic; --! '1' = up, '0' = down value_p : out std_logic_vector(WIDTH - 1 downto 0); reset : in std_logic; --! Reset counter clk : in std_logic --! System clock ); end up_down_counter; architecture behavioral of up_down_counter is signal count : unsigned(WIDTH - 1 downto 0) := (others => '0'); begin process begin wait until rising_edge(clk); if reset = '1' then count <= (others => '0'); elsif clk_en_p = '1' then if up_down_p = '1' then count <= count + 1; else count <= count - 1; end if; end if; end process; value_p <= std_logic_vector(count); end behavioral;
package badrng is signal Sht : bit_vector(2 downtonatural range 0 to 7; end badrng;
------------------------------------------------------------------------------ --! Copyright (C) 2017 , Emmanuel Amadio -- --! Redistribution and use in source and binary forms, with or without --! modification, are permitted provided that the following conditions --! are met: --! * Redistributions of source code must retain the above copyright --! notice, this list of conditions and the following disclaimer. --! * Redistributions in binary form must reproduce the above copyright --! notice, this list of conditions and the following disclaimer in the --! documentation and/or other materials provided with the distribution. --! * Neither the name of the authors nor the names of its contributors --! may be used to endorse or promote products derived from this software --! without specific prior written permission. -- --! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE --! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE --! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE --! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, --! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF --! THE POSSIBILITY OF SUCH DAMAGE -- ------------------------------------------------------------------------------ -- --! @file fmsp_core_package.vhd --! --! @brief fpgaMSP430 core package -- --! @author Emmanuel Amadio, [email protected] -- ------------------------------------------------------------------------------ --! @version 1 --! @date: 2017-04-21 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH- use ieee.numeric_std.all; --! for the signed, unsigned types and arithmetic ops use work.fmsp_functions.all; package fmsp_core_package is component fmsp_frontend is generic ( CPUOFF_EN : in boolean := false; --! Wakeup condition from DMA interface DMA_IF_EN : in boolean := false; --! Wakeup condition from DMA interface IRQ_nr : in integer := 16 --! Number of IRQs ); port ( mclk : in std_logic; --! Main system clock mrst : in std_logic; --! Main system reset --! INPUTs cpu_en_s : in std_logic; --! Enable CPU code execution (synchronous) cpu_halt_cmd : in std_logic; --! Halt CPU command cpuoff : in std_logic; --! Turns off the CPU dbg_reg_sel : in std_logic_vector(3 downto 0); --! Debug selected register for rd/wr access dma_en : in std_logic; --! Direct Memory Access enable (high active) fe_pmem_wait : in std_logic; --! Frontend wait for Instruction fetch gie : in std_logic; --! General interrupt enable irq : in std_logic_vector((IRQ_nr-3) downto 0); --! Maskable interrupts mdb_in : in std_logic_vector(15 downto 0); --! Frontend Memory data bus input nmi_pnd : in std_logic; --! Non-maskable interrupt pending nmi_wkup : in std_logic; --! NMI Wakeup pc_sw : in std_logic_vector(15 downto 0); --! Program counter software value pc_sw_wr : in std_logic; --! Program counter software write wdt_irq : in std_logic; --! Watchdog-timer interrupt wdt_wkup : in std_logic; --! Watchdog Wakeup --! OUTPUTs cpu_halt_st : out std_logic; --! Halt/Run status from CPU decode_noirq : out std_logic; --! Frontend v_decode instruction e_state : out std_logic_vector(3 downto 0); --! Execution state exec_done : out std_logic; --! Execution completed inst_ad : out std_logic_vector(7 downto 0); --! Decoded Inst: destination addressing mode inst_as : out std_logic_vector(7 downto 0); --! Decoded Inst: source addressing mode inst_alu : out std_logic_vector(11 downto 0); --! ALU control signals inst_bw : out std_logic; --! Decoded Inst: byte width inst_dest : out std_logic_vector(15 downto 0); --! Decoded Inst: destination (one hot) inst_dext : out std_logic_vector(15 downto 0); --! Decoded Inst: destination extended instruction word inst_irq_rst : out std_logic; --! Decoded Inst: Reset interrupt inst_jmp : out std_logic_vector(7 downto 0); --! Decoded Inst: Conditional jump inst_mov : out std_logic; --! Decoded Inst: mov instruction inst_sext : out std_logic_vector(15 downto 0); --! Decoded Inst: source extended instruction word inst_so : out std_logic_vector(7 downto 0); --! Decoded Inst: Single-operand arithmetic inst_src : out std_logic_vector(15 downto 0); --! Decoded Inst: source (one hot) inst_type : out std_logic_vector(2 downto 0); --! Decoded Instruction type irq_acc : out std_logic_vector(13 downto 0); --! Interrupt request accepted (one-hot signal) mab : out std_logic_vector(15 downto 0); --! Frontend Memory address bus mb_en : out std_logic; --! Frontend Memory bus enable nmi_acc : out std_logic; --! Non-Maskable interrupt request accepted pc : out std_logic_vector(15 downto 0); --! Program counter pc_nxt : out std_logic_vector(15 downto 0) --! Next PC value (for CALL & IRQ) ); end component fmsp_frontend; component fmsp_register_file is port ( mclk : in std_logic; --! Main system clock mrst : in std_logic; --! Main system reset --! INPUTs alu_stat : in std_logic_vector(3 downto 0); --! ALU Status {V,N,Z,C} alu_stat_wr : in std_logic_vector(3 downto 0); --! ALU Status write {V,N,Z,C} inst_bw : in std_logic; --! Decoded Inst: byte width inst_dest : in std_logic_vector(15 downto 0); --! Register destination selection inst_src : in std_logic_vector(15 downto 0); --! Register source selection pc : in std_logic_vector(15 downto 0); --! Program counter reg_dest_val : in std_logic_vector(15 downto 0); --! Selected register destination value reg_dest_wr : in std_logic; --! Write selected register destination reg_pc_call : in std_logic; --! Trigger PC update for a CALL instruction reg_sp_val : in std_logic_vector(15 downto 0); --! Stack Pointer next value reg_sp_wr : in std_logic; --! Stack Pointer write reg_sr_wr : in std_logic; --! Status register update for RETI instruction reg_sr_clr : in std_logic; --! Status register clear for interrupts reg_incr : in std_logic; --! Increment source register --! OUTPUTs cpuoff : out std_logic; --! Turns off the CPU gie : out std_logic; --! General interrupt enable oscoff : out std_logic; --! Turns off LFXT1 clock input pc_sw : out std_logic_vector(15 downto 0); --! Program counter software value pc_sw_wr : out std_logic; --! Program counter software write reg_dest : out std_logic_vector(15 downto 0); --! Selected register destination content reg_src : out std_logic_vector(15 downto 0); --! Selected register source content scg0 : out std_logic; --! System clock generator 1. Turns off te DCO scg1 : out std_logic; --! System clock generator 1. Turns off the SMmclk status : out std_logic_vector(3 downto 0) --! R2 Status {V,N,Z,C} ); end component fmsp_register_file; component fmsp_alu is port ( --! INPUTs dbg_halt_st : in std_logic; --! Halt/Run status from CPU exec_cycle : in std_logic; --! Instruction execution cycle inst_alu : in std_logic_vector(11 downto 0); --! ALU control signals inst_bw : in std_logic; --! Decoded Inst: byte width inst_jmp : in std_logic_vector(7 downto 0); --! Decoded Inst: Conditional jump inst_so : in std_logic_vector(7 downto 0); --! Single-operand arithmetic op_dst : in std_logic_vector(15 downto 0); --! Destination operand op_src : in std_logic_vector(15 downto 0); --! Source operand status : in std_logic_vector(3 downto 0); --! R2 Status {V,N,Z,C} --! OUTPUTs alu_out : out std_logic_vector(15 downto 0); --! ALU output value alu_out_add : out std_logic_vector(15 downto 0); --! ALU adder output value alu_stat : out std_logic_vector(3 downto 0); --! ALU Status {V,N,Z,C} alu_stat_wr : out std_logic_vector(3 downto 0) --! ALU Status write {V,N,Z,C} ); end component fmsp_alu; component fmsp_execution_unit is port ( mclk : in std_logic; --! Main system clock mrst : in std_logic; --! Main system reset --! INPUTs dbg_halt_st : in std_logic; --! Halt/Run status from CPU dbg_mem_dout : in std_logic_vector(15 downto 0); --! Debug unit data output dbg_reg_wr : in std_logic; --! Debug unit CPU register write e_state : in std_logic_vector(3 downto 0); --! Execution state exec_done : in std_logic; --! Execution completed inst_ad : in std_logic_vector(7 downto 0); --! Decoded Inst: destination addressing mode inst_as : in std_logic_vector(7 downto 0); --! Decoded Inst: source addressing mode inst_alu : in std_logic_vector(11 downto 0); --! ALU control signals inst_bw : in std_logic; --! Decoded Inst: byte width inst_dest : in std_logic_vector(15 downto 0); --! Decoded Inst: destination (one hot) inst_dext : in std_logic_vector(15 downto 0); --! Decoded Inst: destination extended instruction word inst_irq_rst : in std_logic; --! Decoded Inst: reset interrupt inst_jmp : in std_logic_vector(7 downto 0); --! Decoded Inst: Conditional jump inst_mov : in std_logic; --! Decoded Inst: mov instruction inst_sext : in std_logic_vector(15 downto 0); --! Decoded Inst: source extended instruction word inst_so : in std_logic_vector(7 downto 0); --! Decoded Inst: Single-operand arithmetic inst_src : in std_logic_vector(15 downto 0); --! Decoded Inst: source (one hot) inst_type : in std_logic_vector(2 downto 0); --! Decoded Instruction type mdb_in : in std_logic_vector(15 downto 0); --! Memory data bus input pc : in std_logic_vector(15 downto 0); --! Program counter pc_nxt : in std_logic_vector(15 downto 0); --! Next PC value (for CALL & IRQ) --! OUTPUTs cpuoff : out std_logic; --! Turns off the CPU dbg_reg_din : out std_logic_vector(15 downto 0); --! Debug unit CPU register data input gie : out std_logic; --! General interrupt enable mab : out std_logic_vector(15 downto 0); --! Memory address bus mb_en : out std_logic; --! Memory bus enable mb_wr : out std_logic_vector(1 downto 0); --! Memory bus write transfer mdb_out : out std_logic_vector(15 downto 0); --! Memory data bus output oscoff : out std_logic; --! Turns off LFXT1 clock input pc_sw : out std_logic_vector(15 downto 0); --! Program counter software value pc_sw_wr : out std_logic; --! Program counter software write scg1 : out std_logic --! System clock generator 1. Turns off the SMCLK ); end component fmsp_execution_unit; component fmsp_mem_backbone is generic ( PMEM_SIZE : integer := 32768; --! Program Memory Size DMEM_SIZE : integer := 16384; --! Data Memory Size PER_SIZE : integer := 16384; --! Peripheral Memory Size DMA_IF_EN : boolean := false --! Wakeup condition from DMA interface ); port ( mclk : in std_logic; --! Main system clock mrst : in std_logic; --! Main system reset --! INPUTs cpu_halt_st : in std_logic; --! Halt/Run status from CPU dbg_halt_cmd : in std_logic; --! Debug interface Halt CPU command dbg_mem_addr : in std_logic_vector(15 downto 0); --! Debug address for rd/wr access dbg_mem_dout : in std_logic_vector(15 downto 0); --! Debug unit data output dbg_mem_en : in std_logic; --! Debug unit memory enable dbg_mem_wr : in std_logic_vector(1 downto 0); --! Debug unit memory write dmem_dout : in std_logic_vector(15 downto 0); --! Data Memory data output eu_mab : in std_logic_vector(14 downto 0); --! Execution Unit Memory address bus eu_mb_en : in std_logic; --! Execution Unit Memory bus enable eu_mb_wr : in std_logic_vector(1 downto 0); --! Execution Unit Memory bus write transfer eu_mdb_out : in std_logic_vector(15 downto 0); --! Execution Unit Memory data bus output fe_mab : in std_logic_vector(14 downto 0); --! Frontend Memory address bus fe_mb_en : in std_logic; --! Frontend Memory bus enable dma_addr : in std_logic_vector(15 downto 0); --! Direct Memory Access address dma_din : in std_logic_vector(15 downto 0); --! Direct Memory Access data input dma_en : in std_logic; --! Direct Memory Access enable (high active) dma_priority : in std_logic; --! Direct Memory Access priority (0:low / 1:high) dma_we : in std_logic_vector(1 downto 0); --! Direct Memory Access write byte enable (high active) per_dout : in std_logic_vector(15 downto 0); --! Peripheral data output pmem_dout : in std_logic_vector(15 downto 0); --! Program Memory data output --! OUTPUTs cpu_halt_cmd : out std_logic; --! Halt CPU command dbg_mem_din : out std_logic_vector(15 downto 0); --! Debug unit Memory data input dmem_addr : out std_logic_vector(f_log2(DMEM_SIZE)-2 downto 0); --! Data Memory address dmem_cen : out std_logic; --! Data Memory chip enable (low active) dmem_din : out std_logic_vector(15 downto 0); --! Data Memory data input dmem_wen : out std_logic_vector(1 downto 0); --! Data Memory write enable (low active) eu_mdb_in : out std_logic_vector(15 downto 0); --! Execution Unit Memory data bus input fe_mdb_in : out std_logic_vector(15 downto 0); --! Frontend Memory data bus input fe_pmem_wait : out std_logic; --! Frontend wait for Instruction fetch dma_dout : out std_logic_vector(15 downto 0); --! Direct Memory Access data output dma_ready : out std_logic; --! Direct Memory Access is complete dma_resp : out std_logic; --! Direct Memory Access response (0:Okay / 1:Error) per_addr : out std_logic_vector(13 downto 0); --! Peripheral address per_din : out std_logic_vector(15 downto 0); --! Peripheral data input per_we : out std_logic_vector(1 downto 0); --! Peripheral write enable (high active) per_en : out std_logic; --! Peripheral enable (high active) pmem_addr : out std_logic_vector(f_log2(PMEM_SIZE)-2 downto 0); --! Program Memory address pmem_cen : out std_logic; --! Program Memory chip enable (low active) pmem_din : out std_logic_vector(15 downto 0); --! Program Memory data input (optional) pmem_wen : out std_logic_vector(1 downto 0) --! Program Memory write enable (low active) (optional) ); end component fmsp_mem_backbone; component fmsp_core is generic ( PMEM_SIZE : integer := 32768; -- Program Memory Size DMEM_SIZE : integer := 16384; -- Data Memory Size PER_SIZE : integer := 16384; -- Peripheral Memory Size DMA_IF_EN : boolean := false; -- Include/Exclude DMA interface support IRQ_NR : integer := 16; -- Number of IRQs CPUOFF_EN : boolean := false -- Wakeup condition from DMA interface ); port ( mclk : in std_logic; -- Main system clock mrst : in std_logic; -- Main system reset -- Debug Interface dbg_halt_cmd : in std_logic := '0'; dbg_halt_st : out std_logic := '0'; dbg_reg_din : out std_logic_vector(15 downto 0) := x"0000"; dbg_reg_wr : in std_logic := '0'; dbg_mem_addr : in std_logic_vector(15 downto 0); dbg_mem_dout : in std_logic_vector(15 downto 0) := x"0000"; dbg_mem_din : out std_logic_vector(15 downto 0) := x"0000"; dbg_mem_en : in std_logic := '0'; dbg_mem_wr : in std_logic_vector(1 downto 0) := "00"; -- Execution unit memory bus eu_mem_addr : out std_logic_vector(15 downto 0); -- Execution-Unit Memory address bus eu_mem_en : out std_logic; -- Execution-Unit Memory bus enable eu_mem_wr : out std_logic_vector(1 downto 0); -- Execution-Unit Memory bus write transfer -- Frontend memory bus fe_mem_din : out std_logic_vector(15 downto 0); -- Frontend Memory data bus input -- DMA access dma_addr : in std_logic_vector(15 downto 1); -- Direct Memory Access address dma_dout : out std_logic_vector(15 downto 0); -- Direct Memory Access data output dma_din : in std_logic_vector(15 downto 0); -- Direct Memory Access data input dma_en : in std_logic; -- Direct Memory Access enable (high active) dma_we : in std_logic_vector(1 downto 0); -- Direct Memory Access write byte enable (high active) dma_priority : in std_logic; -- Direct Memory Access priority (0:low / 1:high) dma_ready : out std_logic; -- Direct Memory Access is complete dma_resp : out std_logic; -- Direct Memory Access response (0:Okay / 1:Error) -- Peripheral memory per_addr : out std_logic_vector(13 downto 0); -- Peripheral address per_dout : in std_logic_vector(15 downto 0); -- Peripheral data output per_din : out std_logic_vector(15 downto 0); -- Peripheral data input per_en : out std_logic; -- Peripheral enable (high active) per_we : out std_logic_vector(1 downto 0); -- Peripheral write byte enable (high active) -- Program memory pmem_addr : out std_logic_vector(f_log2(PMEM_SIZE)-2 downto 0); -- Program Memory address pmem_dout : in std_logic_vector(15 downto 0); -- Program Memory data output pmem_din : out std_logic_vector(15 downto 0); -- Program Memory data input (optional) pmem_cen : out std_logic; -- Program Memory chip enable (low active) pmem_wen : out std_logic_vector(1 downto 0); -- Program Memory write enable (low active) (optional) -- Data memory dmem_addr : out std_logic_vector(f_log2(DMEM_SIZE)-2 downto 0); -- Data Memory address dmem_dout : in std_logic_vector(15 downto 0); -- Data Memory data output dmem_din : out std_logic_vector(15 downto 0); -- Data Memory data input dmem_cen : out std_logic; -- Data Memory chip enable (low active) dmem_wen : out std_logic_vector(1 downto 0); -- Data Memory write byte enable (low active) --============ nmi_acc : out std_logic; nmi_pnd : in std_logic; nmi_wkup : in std_logic; wdt_irq : in std_logic; wdt_wkup : in std_logic; irq : in std_logic_vector(IRQ_NR-3 downto 0); -- Maskable interrupts (14, 30 or 62) irq_acc : out std_logic_vector(IRQ_NR-3 downto 0); -- Interrupt request accepted (one-hot signal) cpu_en_s : in std_logic; decode_noirq : out std_logic; pc : out std_logic_vector(15 downto 0); cpuoff : out std_logic; oscoff : out std_logic; scg1 : out std_logic ); end component fmsp_core; -- --! STATES, REGISTER FIELDS, ... --====================================== --! Instructions type constant C_INST_SO : integer := 0; constant C_INST_JMP : integer := 1; constant C_INST_TO : integer := 2; --! Single-operand arithmetic constant C_RRC : integer := 0; constant C_SWPB : integer := 1; constant C_RRA : integer := 2; constant C_SXT : integer := 3; constant C_PUSH : integer := 4; constant C_CALL : integer := 5; constant C_RETI : integer := 6; constant C_IRQ : integer := 7; --! Conditional jump constant C_JNE : integer := 0; constant C_JEQ : integer := 1; constant C_JNC : integer := 2; constant C_JC : integer := 3; constant C_JN : integer := 4; constant C_JGE : integer := 5; constant C_JL : integer := 6; constant C_JMP : integer := 7; --! Two-operand arithmetic constant C_MOV : integer := 0; constant C_ADD : integer := 1; constant C_ADDC : integer := 2; constant C_SUBC : integer := 3; constant C_SUB : integer := 4; constant C_CMP : integer := 5; constant C_DADD : integer := 6; constant C_BIT : integer := 7; constant C_BIC : integer := 8; constant C_BIS : integer := 9; constant C_XOR : integer := 10; constant C_AND : integer := 11; --! Addressing modes constant C_DIR : integer := 0; constant C_IDX : integer := 1; constant C_INDIR : integer := 2; constant C_INDIR_I : integer := 3; constant C_SYMB : integer := 4; constant C_IMM : integer := 5; constant C_ABS : integer := 6; constant C_CONST : integer := 7; --! Instruction state machine constant C_I_IRQ_FETCH : integer := 0; constant C_I_IRQ_DONE : integer := 1; constant C_I_DEC : integer := 2; constant C_I_EXT1 : integer := 3; constant C_I_EXT2 : integer := 4; constant C_I_IDLE : integer := 5; --! Instruction state machine constant I_IRQ_FETCH : std_logic_vector(2 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_I_IRQ_FETCH,3)); constant I_IRQ_DONE : std_logic_vector(2 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_I_IRQ_DONE,3)); constant I_DEC : std_logic_vector(2 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_I_DEC,3)); constant I_EXT1 : std_logic_vector(2 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_I_EXT1,3)); constant I_EXT2 : std_logic_vector(2 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_I_EXT2,3)); constant I_IDLE : std_logic_vector(2 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_I_IDLE,3)); --! Execution state machine constant C_E_IRQ_0 : integer := 0; constant C_E_IRQ_1 : integer := 1; constant C_E_IRQ_2 : integer := 2; constant C_E_IRQ_3 : integer := 3; constant C_E_IRQ_4 : integer := 4; constant C_E_SRC_AD : integer := 5; constant C_E_SRC_RD : integer := 6; constant C_E_SRC_WR : integer := 7; constant C_E_DST_AD : integer := 8; constant C_E_DST_RD : integer := 9; constant C_E_DST_WR : integer := 10; constant C_E_EXEC : integer := 11; constant C_E_JUMP : integer := 12; constant C_E_IDLE : integer := 13; --! Execution state machine constant E_IRQ_0 : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_IRQ_0,4)); constant E_IRQ_1 : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_IRQ_1,4)); constant E_IRQ_2 : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_IRQ_2,4)); constant E_IRQ_3 : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_IRQ_3,4)); constant E_IRQ_4 : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_IRQ_4,4)); constant E_SRC_AD : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_SRC_AD,4)); constant E_SRC_RD : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_SRC_RD,4)); constant E_SRC_WR : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_SRC_WR,4)); constant E_DST_AD : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_DST_AD,4)); constant E_DST_RD : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_DST_RD,4)); constant E_DST_WR : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_DST_WR,4)); constant E_EXEC : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_EXEC,4)); constant E_JUMP : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_JUMP,4)); constant E_IDLE : std_logic_vector(3 downto 0) := STD_LOGIC_VECTOR(TO_UNSIGNED(C_E_IDLE,4)); --! ALU control signals constant C_ALU_SRC_INV : integer := 0; constant C_ALU_INC : integer := 1; constant C_ALU_INC_C : integer := 2; constant C_ALU_ADD : integer := 3; constant C_ALU_AND : integer := 4; constant C_ALU_OR : integer := 5; constant C_ALU_XOR : integer := 6; constant C_ALU_DADD : integer := 7; constant C_ALU_STAT_7 : integer := 8; constant C_ALU_STAT_F : integer := 9; constant C_ALU_SHIFT : integer := 10; constant C_EXEC_NO_WR : integer := 11; --! Debug interface constant C_DBG_UART_WR : integer := 18; constant C_DBG_UART_BW : integer := 17; -- constant C_DBG_UART_ADDR : integer := 16:11 --! Debug interface CPU_CTL register constant C_HALT : integer := 0; constant C_RUN : integer := 1; constant C_ISTEP : integer := 2; constant C_SW_BRK_EN : integer := 3; constant C_FRZ_BRK_EN : integer := 4; constant C_RST_BRK_EN : integer := 5; constant C_CPU_RST : integer := 6; --! Debug interface CPU_STAT register constant C_HALT_RUN : integer := 0; constant C_PUC_PND : integer := 1; constant C_SWBRK_PND : integer := 3; constant C_HWBRK0_PND : integer := 4; constant C_HWBRK1_PND : integer := 5; --! Debug interface BRKx_CTL register constant C_BRK_MODE_RD : integer := 0; constant C_BRK_MODE_WR : integer := 1; -- constant C_BRK_MODE : integer := 1:0 constant C_BRK_EN : integer := 2; constant C_BRK_I_EN : integer := 3; constant C_BRK_RANGE : integer := 4; --! Basic clock module: BCSCTL1 Control Register -- constant C_DIVAx 5:4 constant C_DMA_CPUOFF : integer := 0; constant C_DMA_OSCOFF : integer := 1; constant C_DMA_SCG0 : integer := 2; constant C_DMA_SCG1 : integer := 3; --! Basic clock module: BCSCTL2 Control Register constant C_SELMx : integer := 7; constant C_SELS : integer := 3; -- constant C_DIVSx 2:1 -- --! DEBUG INTERFACE EXTRA CONFIGURATION --====================================== --! Debug interface: CPU version --! 1 - FPGA support only (Pre-BSD licence era) --! 2 - Add ASIC support --! 3 - Add DMA interface support constant C_CPU_VERSION : integer range 0 to 7 := 1; --! Debug interface: Software breakpoint opcode constant C_DBG_SWBRK_OP : std_logic_vector(15 downto 0) := x"4343"; --! Debug UART interface auto data synchronization --! If the following define is commented out, then --! the DBG_UART_BAUD and DBG_DCO_FREQ need to be properly --! defined. -- constant C_DBG_UART_AUTO_SYNC --! Debug UART interface data rate --! In order to properly setup the UART debug interface, you --! need to specify the DCO_CLK frequency (DBG_DCO_FREQ) and --! the chosen BAUD rate from the UART interface. -- -- constant C_DBG_UART_BAUD 9600 -- constant C_DBG_UART_BAUD 19200 -- constant C_DBG_UART_BAUD 38400 -- constant C_DBG_UART_BAUD 57600 -- constant C_DBG_UART_BAUD 115200 -- constant C_DBG_UART_BAUD 230400 -- constant C_DBG_UART_BAUD 460800 -- constant C_DBG_UART_BAUD 576000 -- constant C_DBG_UART_BAUD 921600 -- constant C_DBG_UART_BAUD 2000000 -- constant C_DBG_DCO_FREQ 20000000 -- constant C_DBG_UART_CNT ((`DBG_DCO_FREQ/`DBG_UART_BAUD)-1) --! Debug interface selection --! constant C_DBG_UART -> Enable UART (8N1) debug interface --! constant C_DBG_JTAG -> DON'T UNCOMMENT, NOT SUPPORTED -- -- constant C_DBG_UART -- constant C_DBG_JTAG end fmsp_core_package; --! fmsp_core_package
library ieee; use ieee.std_logic_1164.all; --- DDR serializer. entity ddr_ser is generic ( data_width_g : positive; delay_g : time); port ( clk_i, reset_ni : in std_ulogic; data_i : in std_ulogic_vector(data_width_g-1 downto 0); start_stb_i : in std_ulogic; busy_o : out std_ulogic; ddr_data_o, bit_clk_o, frame_clk_o : out std_ulogic); end; architecture bhv of ddr_ser is type state_t is record shift_reg : std_ulogic_vector(data_width_g-1 downto 0); count_down : integer range 0 to data_width_g; busy, frame_clk : std_ulogic; end record; constant state_reset_c : state_t := ( shift_reg => (others => '0'), count_down => 0, busy => '0', frame_clk => '0'); signal s, n : state_t; signal data_even, data_odd, data_mux, clk_delay, clk_trans : std_ulogic; begin sync : process(clk_i, reset_ni) begin if reset_ni = '0' then s <= state_reset_c; elsif rising_edge(clk_i) then s <= n; end if; end process; comb : process(data_i, start_stb_i, s) begin n <= s; if s.count_down /= 0 then n.shift_reg <= "00" & s.shift_reg(s.shift_reg'left downto 2); n.count_down <= s.count_down - 2; end if; if s.count_down = data_width_g/2 + 2 then n.frame_clk <= '1'; end if; if s.count_down <= 2 then n.busy <= '0'; n.frame_clk <= '0'; end if; if start_stb_i = '1' then n.shift_reg <= data_i; n.count_down <= data_width_g; n.busy <= '1'; end if; busy_o <= s.busy; end process; odd_ff : process(clk_i, reset_ni) begin if reset_ni = '0' then data_odd <= '0'; elsif rising_edge(clk_i) then if s.busy = '1' then data_odd <= s.shift_reg(1); else data_odd <= '0'; end if; end if; end process; even_ff : process(clk_i, reset_ni) begin if reset_ni = '0' then data_even <= '0'; elsif falling_edge(clk_i) then if s.busy = '1' then data_even <= s.shift_reg(0); else data_even <= '0'; end if; end if; end process; mux : with clk_i select data_mux <= s.shift_reg(1) when '0', s.shift_reg(0) when '1', 'X' when others; -- replace with delay element(s) or tuned delay line clk_delay <= clk_i after delay_g; clk_trans <= clk_i xor clk_delay; bit_clk_o <= not clk_i and s.busy; latch_with_reset : process(reset_ni, clk_trans, data_mux, s) begin if reset_ni = '0' then ddr_data_o <= '0'; frame_clk_o <= '0'; elsif clk_trans = '0' then ddr_data_o <= data_mux; frame_clk_o <= s.frame_clk; end if; end process; end;
-- $Id: bpgenrbuslib.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2013-2019 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Package Name: bpgenrbuslib -- Description: Generic Board/Part components using rbus -- -- Dependencies: - -- Tool versions: ise 12.1-14.7; viv 2014.4-2019.1; ghdl 0.26-0.35 -- Revision History: -- Date Rev Version Comment -- 2017-06-11 912 1.3.2 add sn_humanio_emu_rbus -- 2017-06-05 907 1.3.1 rgbdrv_analog_rbus: add ACTLOW generic -- 2016-02-20 734 1.3 add rgbdrv_analog_rbus -- 2015-01-25 637 1.2 add generics to sn_humanio_rbus -- 2014-08-15 583 1.1 rb_mreq addr now 16 bit -- 2013-01-26 476 1.0 Initial version (extracted from bpgenlib) ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.rblib.all; package bpgenrbuslib is component bp_swibtnled_rbus is -- swi,btn,led handling /w rbus icept generic ( SWIDTH : positive := 4; -- SWI port width BWIDTH : positive := 4; -- BTN port width LWIDTH : positive := 4; -- LED port width DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN RB_ADDR : slv16 := x"fef0"); port ( CLK : in slbit; -- clock RESET : in slbit := '0'; -- reset CE_MSEC : in slbit; -- 1 ms clock enable RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response SWI : out slv(SWIDTH-1 downto 0); -- switch settings, debounced BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced LED : in slv(LWIDTH-1 downto 0); -- led data I_SWI : in slv(SWIDTH-1 downto 0); -- pad-i: switches I_BTN : in slv(BWIDTH-1 downto 0); -- pad-i: buttons O_LED : out slv(LWIDTH-1 downto 0) -- pad-o: leds ); end component; component sn_humanio_rbus is -- human i/o handling /w rbus intercept generic ( SWIDTH : positive := 8; -- SWI port width BWIDTH : positive := 4; -- BTN port width LWIDTH : positive := 8; -- LED port width DCWIDTH : positive := 2; -- digit counter width (2 or 3) DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN RB_ADDR : slv16 := x"fef0"); port ( CLK : in slbit; -- clock RESET : in slbit := '0'; -- reset CE_MSEC : in slbit; -- 1 ms clock enable RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response SWI : out slv(SWIDTH-1 downto 0); -- switch settings, debounced BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced LED : in slv(LWIDTH-1 downto 0); -- led data DSP_DAT : in slv(4*(2**DCWIDTH)-1 downto 0); -- display data DSP_DP : in slv((2**DCWIDTH)-1 downto 0); -- display decimal points I_SWI : in slv(SWIDTH-1 downto 0); -- pad-i: switches I_BTN : in slv(BWIDTH-1 downto 0); -- pad-i: buttons O_LED : out slv(LWIDTH-1 downto 0); -- pad-o: leds O_ANO_N : out slv((2**DCWIDTH)-1 downto 0); -- pad-o: disp: anodes (act.low) O_SEG_N : out slv8 -- pad-o: disp: segments (act.low) ); end component; component sn_humanio_demu_rbus is -- human i/o swi,btn,led only /w rbus generic ( DEBOUNCE : boolean := true; -- instantiate debouncer for SWI,BTN RB_ADDR : slv16 := x"fef0"); port ( CLK : in slbit; -- clock RESET : in slbit := '0'; -- reset CE_MSEC : in slbit; -- 1 ms clock enable RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response SWI : out slv8; -- switch settings, debounced BTN : out slv4; -- button settings, debounced LED : in slv8; -- led data DSP_DAT : in slv16; -- display data DSP_DP : in slv4; -- display decimal points I_SWI : in slv8; -- pad-i: switches I_BTN : in slv6; -- pad-i: buttons O_LED : out slv8 -- pad-o: leds ); end component; component sn_humanio_emu_rbus is -- sn_humanio rbus emulator generic ( SWIDTH : positive := 8; -- SWI port width BWIDTH : positive := 4; -- BTN port width LWIDTH : positive := 8; -- LED port width DCWIDTH : positive := 2; -- digit counter width (2 or 3) RB_ADDR : slv16 := x"fef0"); port ( CLK : in slbit; -- clock RESET : in slbit := '0'; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response SWI : out slv(SWIDTH-1 downto 0); -- switch settings, debounced BTN : out slv(BWIDTH-1 downto 0); -- button settings, debounced LED : in slv(LWIDTH-1 downto 0); -- led data DSP_DAT : in slv(4*(2**DCWIDTH)-1 downto 0); -- display data DSP_DP : in slv((2**DCWIDTH)-1 downto 0) -- display decimal points ); end component; component rgbdrv_analog_rbus is -- rgb analog from rbus generic ( DWIDTH : positive := 8; -- dimmer width ACTLOW : slbit := '0'; -- invert output polarity RB_ADDR : slv16 := x"0000"); port ( CLK : in slbit; -- clock RESET : in slbit := '0'; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response RGBCNTL : in slv3; -- rgb control DIMCNTL : in slv(DWIDTH-1 downto 0);-- dim control O_RGBLED : out slv3 -- pad-o: rgb led ); end component; end package bpgenrbuslib;
entity tb_top is end tb_top; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_top is signal clk : std_logic; signal en : std_logic; signal a, b : std_logic; signal p, q : std_logic; begin dut: entity work.top port map (clk, en, a, b, p, q); process procedure pulse is begin wait for 1 ns; clk <= '1'; wait for 1 ns; clk <= '0'; end pulse; begin clk <= '0'; a <= '1'; b <= '0'; en <= '0'; pulse; assert p = '0' severity failure; assert q = '0' severity failure; a <= '1'; b <= '1'; en <= '0'; pulse; assert p = '0' severity failure; assert q = '1' severity failure; a <= '1'; b <= '1'; en <= '1'; pulse; assert p = '1' severity failure; assert q = '1' severity failure; wait; end process; end behav;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1836.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p08n01i01836ent IS type small_int is range 0 to 7; type cmd_bus is array (small_int) of small_int; END c07s01b00x00p08n01i01836ent; ARCHITECTURE c07s01b00x00p08n01i01836arch OF c07s01b00x00p08n01i01836ent IS signal s_bus : cmd_bus; BEGIN TESTING : PROCESS BEGIN s_bus(0) <= small_int'(c07s01b00x00p08n01i01836ent) after 5 ns; -- entity name illegal here wait for 5 ns; assert FALSE report "***FAILED TEST: c07s01b00x00p08n01i01836 - Entity name are not permitted as primaries in a qualfied expression." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p08n01i01836arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1836.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p08n01i01836ent IS type small_int is range 0 to 7; type cmd_bus is array (small_int) of small_int; END c07s01b00x00p08n01i01836ent; ARCHITECTURE c07s01b00x00p08n01i01836arch OF c07s01b00x00p08n01i01836ent IS signal s_bus : cmd_bus; BEGIN TESTING : PROCESS BEGIN s_bus(0) <= small_int'(c07s01b00x00p08n01i01836ent) after 5 ns; -- entity name illegal here wait for 5 ns; assert FALSE report "***FAILED TEST: c07s01b00x00p08n01i01836 - Entity name are not permitted as primaries in a qualfied expression." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p08n01i01836arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1836.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s01b00x00p08n01i01836ent IS type small_int is range 0 to 7; type cmd_bus is array (small_int) of small_int; END c07s01b00x00p08n01i01836ent; ARCHITECTURE c07s01b00x00p08n01i01836arch OF c07s01b00x00p08n01i01836ent IS signal s_bus : cmd_bus; BEGIN TESTING : PROCESS BEGIN s_bus(0) <= small_int'(c07s01b00x00p08n01i01836ent) after 5 ns; -- entity name illegal here wait for 5 ns; assert FALSE report "***FAILED TEST: c07s01b00x00p08n01i01836 - Entity name are not permitted as primaries in a qualfied expression." severity ERROR; wait; END PROCESS TESTING; END c07s01b00x00p08n01i01836arch;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.3 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity convolve_kernel_fcud is generic ( ID : integer := 2; NUM_STAGE : integer := 5; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of convolve_kernel_fcud is --------------------- Component --------------------- component convolve_kernel_ap_fmul_3_max_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); signal ce_r : std_logic; signal dout_i : std_logic_vector(dout_WIDTH-1 downto 0); signal dout_r : std_logic_vector(dout_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- convolve_kernel_ap_fmul_3_max_dsp_32_u : component convolve_kernel_ap_fmul_3_max_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce_r; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout_i <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; process (clk) begin if clk'event and clk = '1' then ce_r <= ce; end if; end process; process (clk) begin if clk'event and clk = '1' then if ce_r = '1' then dout_r <= dout_i; end if; end if; end process; dout <= dout_i when ce_r = '1' else dout_r; end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.3 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity convolve_kernel_fcud is generic ( ID : integer := 2; NUM_STAGE : integer := 5; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of convolve_kernel_fcud is --------------------- Component --------------------- component convolve_kernel_ap_fmul_3_max_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); signal ce_r : std_logic; signal dout_i : std_logic_vector(dout_WIDTH-1 downto 0); signal dout_r : std_logic_vector(dout_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- convolve_kernel_ap_fmul_3_max_dsp_32_u : component convolve_kernel_ap_fmul_3_max_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce_r; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout_i <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; process (clk) begin if clk'event and clk = '1' then ce_r <= ce; end if; end process; process (clk) begin if clk'event and clk = '1' then if ce_r = '1' then dout_r <= dout_i; end if; end if; end process; dout <= dout_i when ce_r = '1' else dout_r; end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.3 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity convolve_kernel_fcud is generic ( ID : integer := 2; NUM_STAGE : integer := 5; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of convolve_kernel_fcud is --------------------- Component --------------------- component convolve_kernel_ap_fmul_3_max_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); signal ce_r : std_logic; signal dout_i : std_logic_vector(dout_WIDTH-1 downto 0); signal dout_r : std_logic_vector(dout_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- convolve_kernel_ap_fmul_3_max_dsp_32_u : component convolve_kernel_ap_fmul_3_max_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce_r; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout_i <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; process (clk) begin if clk'event and clk = '1' then ce_r <= ce; end if; end process; process (clk) begin if clk'event and clk = '1' then if ce_r = '1' then dout_r <= dout_i; end if; end if; end process; dout <= dout_i when ce_r = '1' else dout_r; end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.3 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity convolve_kernel_fcud is generic ( ID : integer := 2; NUM_STAGE : integer := 5; din0_WIDTH : integer := 32; din1_WIDTH : integer := 32; dout_WIDTH : integer := 32 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of convolve_kernel_fcud is --------------------- Component --------------------- component convolve_kernel_ap_fmul_3_max_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(31 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(31 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); signal ce_r : std_logic; signal dout_i : std_logic_vector(dout_WIDTH-1 downto 0); signal dout_r : std_logic_vector(dout_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- convolve_kernel_ap_fmul_3_max_dsp_32_u : component convolve_kernel_ap_fmul_3_max_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce_r; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout_i <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; process (clk) begin if clk'event and clk = '1' then ce_r <= ce; end if; end process; process (clk) begin if clk'event and clk = '1' then if ce_r = '1' then dout_r <= dout_i; end if; end if; end process; dout <= dout_i when ce_r = '1' else dout_r; end architecture;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SRAM -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SRAM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SRAM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(319 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(320,320); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(5 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(319 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL COUNT_NO : INTEGER :=0; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); BEGIN WRITE_ADDR_INT(5 DOWNTO 0) <= WRITE_ADDR(5 DOWNTO 0); READ_ADDR_INT(5 DOWNTO 0) <= READ_ADDR(5 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; DINA <= DINA_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 45 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 45 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 320, DOUT_WIDTH => 320, DATA_PART_CNT => DATA_PART_CNT_A, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); WR_RD_PROCESS: PROCESS (CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; ELSIF(COUNT_NO < 4) THEN DO_WRITE <= '1'; DO_READ <= '0'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO< 8) THEN DO_WRITE <= '0'; DO_READ <= '1'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO=8) THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(0), CLK => CLK, RST => RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(I), CLK => CLK, RST => RST, D => DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; END ARCHITECTURE;
-- @license MIT -- @brief D flip-flop based register. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity reg is generic( WIDTH : positive := 1; RST_INIT : integer := 0 ); port( i_clk : in std_logic; in_rst : in std_logic; i_d : in std_logic_vector(WIDTH-1 downto 0); o_q : out std_logic_vector(WIDTH-1 downto 0) ); end entity reg; architecture arch_reg of reg is signal r_q : std_logic_vector(WIDTH-1 downto 0); begin process(i_clk, in_rst) begin if in_rst = '0' then r_q <= conv_std_logic_vector(RST_INIT, WIDTH); elsif rising_edge(i_clk) then r_q <= i_d; end if; end process; o_q <= r_q; end architecture arch_reg;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gEUG3aQnn745SdEHDqvpHqQyJKZdczJ4cMcUlWg7EAEJqHZecCMx5xB4allZLRW33F1kthRL0MIs 8A7lBay3Qw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block URNQQhE8kru2UTfgDmAh3pq73Lcsbn32SR6pd0JJQG3AbTzBCUaNdq79Z1yIvyAU4Uju9JAwvqjL reDee0X0uRP+n2svYRrzAqlh5AORQgRPMNJhhiTJIjOp+9mFL2Mp1rcURMGeY1Gzzrk/Na4Yn45N yjX4G68wyS4JQ2/IwEA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XTuY+uAJQVUV0ZE//+rkrr5jNTJ7ETpTAnYJnT1WieoPg3xCuP/4/B+9tp2BsALPfIj7Lt8CeJ/o Odfpx9fys9n2fezSx07iIe/a+JQdky3IGFnB8fPxzTY5iuElJJYUY7nILJ/+RdBDhajGWa5Bqymb c7vQVM9PtcHU1lzPYYzdFVJvo8rH6KdyROTukpXA7NX+BsNVUCRJNKOQRKu2UJfxUFwzTTYf89GI +bx9lcPIVZOX9CKR10KINZzVByWGtOU6Vg376VdyxZuhbYe7JSKeGzijP20FIGqHorSP/yiVOXWZ qke+q9JC84tmYEwakJzbqrcxirbGq/mmwQsLBg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c18P0uDup57huEYx/iJ9PztJhjoK4Votyqm160zaPfnM88bcBoL0EAsmc62Dr4TWV3H4isvla1LG Xw8aj2XP+DOu394T64BbKAiMM9Usmtf88gBxIrxJO8osfhtfY/4vd+R8mqE6Dw6cv0Fom64c15b5 9HVcJi3uWZiHnbsgakc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M+R6dR1mcCR1jv2Ux9mE3LpwIFXNwQDdNbpl1QLyZxgBZo0hLjceSA6Vt/hUHuV8xJDuQzJTRlvG +WnwKuOt0rlFOL6OzcF/uw4s+K85Tv651D+ylJn6JLubPPWRdfml0hqg21X5WJp4b8zAiMykumqq aFvqhxhQ6CZsDsNTcFveIA50debnfX+mSvqy/9RUxlnN85BxFmfwZoYZsrM3D3ZEAx2vXBfjC+Z0 UaewgMvOAjQSi9HRAbGpvw4oyGe1tvXNEpdGItL4+IsjZN4EVXEgEElZKYR3fefwJAWTrB9cohx0 Fnc3U0nS24Bo0Gvxn7PvQWvrRgYdRdh/kZ7uew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16992) `protect data_block D/imh2wtdAFOIaI/NPJw+d/dbii2j1B8aYNv/7Y3eIIrmzeVtg3MxCWPiLpuSVG9a+caGfHefrf/ nSVD5EHU2IbfqQuVxWFuL7MsQXp5TXPZYNZX0fOeQPGCddBv94KQeAEoEJvVcfNsYzUr0uwU6n3D P7o3TMlWUX/oSLxjISJTNp3Au2C0fTK5Pt0i/LRsBS3m/9yfEqqHvIfawgM/32XU5sTEEFgVWVTd Oa1Oq0++zIYZuKpyODxX85qxYKDJVWEJxdIR7LezRo2cat0So2ew9x8cHxn8RGUEFsI9zGLCrqye iQqTeZU8JOU4XDNK1zGN5Kt8eaqnJr9psjKcnSfVPcDPBkdcMsYNYHa4/Gfi+/suA+2elO7fP6NI 6c45gwQbctT4rmluKjtNGTxFvVMbdC8CVheA14C25Ziew1DsFGCxf0bmjjDd0H6GNnnlHXt36XUO BMPQdadFkEjwTS2LeVMvjCV8KHRODWn5d+4n6l6y9HUgWwHWLA8nq3tuHO6i0LFWm+ONWnlUGNUx /SIhYUVrMa48KU6XFg+VvqWffIQes0UlvkLREvk48KxboElCU0i2+RNiG/gG+j47uuhyZgSNQdVn IBOSFdDU0cTRKE9aCPlwIsFo+zQUGglH0GbpxpM0q9B2naH38NSSUv8KJmv4BJ8TPylvc+hyEBAv F5Sv4U2tUgP9xlGBScJ7ptT0SZ80QRHJxl52RFUa6Lbvl96GKAnlonA4cMp9kO/p66pBLTKErrz/ EOOirXLsr3V0yIc2o7UUWoYkL2N4/8Pu6Z1CBHtuuGaNdRcnoWFXrEWV8mOvGR/FKGWVJNahqqky 6599KQyQR0xF3z1WsSJmQ+EKvaYa+mvl7/SWpvBpzYQ16njzkHxoAXjbwvxyDgT3XZWCfobkH6Pl 0c+jbWdci/pSfTmeDStyl9AbrOz5DZObzV5t7jymahHAzmQEKvlMSQ/Ok058D2HPoH88xUv2AMjA CQ1HVx1wfdrjzxtAvs9EXuGnBjiY5C/U4QzKcAigM44etIpl/3/MzhhBmnSgYOxPyvtN82O3Ha+s w2jLn6J+KLFN2iMhYVTK/R0fgbzaRk2VYCamyW/lvkqADQd+BJ7c8XSol+DI0xPNemfUo3fiYBl/ edyFU4S6tlA2fJTm8myq51D3vzduZfMx/xTnlxoSb2177TlDVLV9IUrOWwvfU9473n6ePULdw143 9LQSPeJCSkxcpfL7yL9uMBVmh1RXXh+6KHHbXQqdbvnKvKvlBhLAxaHIT88haVkggeT3FambAr9k ZsTQ880PJa4Lh4NfgI7WNoFb80XLQ7B8C5k8MLwezmUuD7WejTN2yhPaw4mL9Y2G/fqm1hTNtitK oPCNgeuTrLlcjlNi6K3nrJWqRVtkA/ZcjqZuqtygvQhVSiIRwYO05rA1lTTZsW9nxjsD1BLpi5Zx iNRJkPIxOwNW9tUvWU3MmiXMpE7dvs7Ptkm7kilM+5EQCI1M827XHkN1A0wonPE9pvAhUmHIbmV4 MStH47V83qO03KAUotpNS13hj3Z7V4tOTQ5rk9aHQiYi9ugMaWOK542UMDZwgx+guqhOH3+1STGx mWhyq5nT+he2SDrHNc7loJkeCEkpEgmQLh/Tn+jql8wK3/Lm69RFj4EohbK0AcNsrzjHohs/dKxh /EQ9ovD4nQC+zHzPwDIsyOhCe46K7SqPGMjFnfccstjk42ZmljmlLuu6AicIWFyDC8EicBBJXtkD 33Sn3CcrQrgSiy2Zdq1trSSFCRtpIHRwUa7ZEnJXrGskhWajVo/LG3uvBYvcZ+YKIGG8OoGPIRCC fIrYTnrzXnM5oxvIx/GpYMUyJ7a1wRvwdfR2PD9IavqDCRN6WimuK4CjIyb8VK3hPh1zGYcUEoe5 StZ/s3Fiqmu9u1TjSG4ezoj/YIeHACIl/3lWhbCcCnwJXco5j2HEFnYxnvqhHb+teYkaHQlpyFsU zdWcmU6kmXooqhbo1URPuqZxmWlThntzEZWKUX55FAWzwQuQBrD4dX2xhS6eczsti1WuwhPdf/yK tcG5nD6A7SYJBvIsall4mbsJXKV3Nj3ATc1Ufg/Hd8gH7hlNoN28s8qPROlr1EIVzs1Z5xO2H9pP Iv5PGV93aBrjTDLawyA1HLOqyHCr2n/B0t252icOuxl2+hJKoEkpk1PjAeOp7MC8Uqphk1SoE8nN yBIlV2hv6FcfDl/Yaau3FiDfbTScMgaYUZhAk2P0NCvZgMxh4l1vORQFMY08yC6mfHKEwpXFDUtv OYncX22L5EDuTz5At/0oxqZNj2Ly0gSFvNoFEAfzFDmeVIQj55tn1H7X37Pmg/IK1wLRTtviUPf8 yg20B1dS1NUIi0QiwWs3PfEPibECmULcXePhRmg3oVlAzbUCexJEmq2muUGSn4MoNO9P6DmJk2Ns X0egmhfrr3H7HQOgF0bYxjhyTyD5UeM5ncYCSy8wvb+n3Om/2L4F+BXbIxTpCXn5SOBsqX0+rO5Q 9hNDNRe5TwTUBAAzHl+pEZDWbExsCQFGMqn+OH8SMRRj7iN/Gu+0Y83KjTP4jFpJKjKpXQTaXyUp MrGR9Yk3oWuCULdAj5ZYIJTy+VrmMEmhUfCjnNPZdRFeedF1HNexNRUfP71mxtVXHFNydpNpkiQH gXkRgz+np1HO0fCcaIDosMxFGGLJXeMEnznVv/ODjl0k4XSITxVA1WcWzbsEr74YQ49GMWA5O07U 0CVYQret3R/631e8dFLKu0w83dv0urUGx4LJZ+sRBdhFN6LOfJv7lQffMfkINpwVo5hlwtM+nMv+ VOr5/wbp3daMNE3mEi/bwfUy9O54DjSo68BRMSKjMUM8ESGYub/10E4NtEDStjMY4zf431DByfXT HghUWQBeLVW9j0JwTpdVQFvNTaFYbH55AP7RZPcCg6fJ4TCk8aHsn5WAKKN34nYNlAqepdDMR99w 28k41qLBSdCu0DuMArFDbQrOsdIOEbG8XMiQBAQ4HsGqQ/S17siKYtekXpnK9ffo6o2N4yPGYQTj CR4X1wvd9qgdNP5vtAYMu7nUdM47nED+bxtcLqgZjcnysOG5Ml7szCmzuEtOxh1Ij/0JilC1MkgI orlvvmfX9D/9SgIdAyFOTV58oiJ9oP6zhh4GdElyTUOpJJl1TvI3vM5Eyx+5bV7Gx7cg9MQ7ToEz bBn+5ZySg0PShKSJQWh3fg9ABGLC2hLhDu53W2ZEnoRBOt/eIIYsgxmkBSXvkECq4hfkiJBhz7s6 3vPoa1qxywE4GTOwQBVdt4wnil8eMJNPq1AreEwp+JmOs7JUr0N1xRG+KKAilVinnt/XpfkYFunS mlRRwXszHZr8EZenBK34+uvQ16MWm1r0OThSWcwEp32SsMExmQ/owagnznvyad+kc4CsyYzItaWR rATKr2ONFTGzeXqxo2U1ere2Mb5hCqS8dbEzThVyYrMWy6ljAGSUjBW7FG33AD1AlxNvnofDyw1K +Z2LclNVFZTIToLjZceIYwo0c1DHRtSyFVX6zrTBpzpIDcZEUs9aThIZmKmqK/cYudpQoDqJNBEL 7Qu2+SP+o4UzpZZ/wjV9dezdik/d5QVdRLqafpXpW1HAkbDW122nS2OdGPvP6sYyFkmQPMsgKyz6 OcFEDGDDy9JXg5/e6Bkn4qIXZp9d0bB79KP/IFcIsZyiQ2MA8O6Ri62oszOd9vSwXKkZw/H7fdV1 exyv4Yqd8Jg0faWtZQgYeutyDR2fDw8C/utg4dh7Sei2HGvz6celMtx/CiACTzbcIz97j77ymLaM eiV0hoSnrfn6DK3GDIMnyKaKPyKVKvWUlkTBB0bnRz5gOGNBcRIrd9Mc9IQNAf135M2qhbLlPYMn e9nDBfriXoJuVaw+nvdHUWMlwdssgrimpWzEU32CkS8d9loJo3ZnofXK6/B091lQuMtnbBMeQ7FB TxB5jQXKQjP5GB/9IE85kHKd/5hlm2gw0WGf8LLj5TCgHJ/sS8fzkSCXsePpUuucWwoC06ZBx3EI dvpQbuFYMiL7U8gZA8UIbErFbKzRsPCKVK6xYSjv1Y+//ZsU7P8HxQK4ZHH/M2cR6FCvDCTqalRt uNmEbRozbr2H4r+UXjsOqv62skJYEzCoEhnZCmNWTxodzrrNE3T2I2S0tNiFuXUm6FaFfLACv+LV 0knCASgcc2YRG8+LMMfqP+QQaQ8BxBH997FKam50xFSNbTSvES9nOwmpf9V3wPeYSND3xYtp/YUJ T7dKjW7s8VZfmoEpQJFatxHBheHtFEGe7yFlD8FLmerhwRQHAAGO36Ur6NpMw53oAj0IvQsC/Xam x7SnUFzQWV+UHc7xxzWz7JJVhv77d+qRlsuRZOqZvnuOzTW2W4fwrm3wv6pi1L76srqvYXtxbeUy w2u9XUapFQaAUYocqkfSrMsOOt1l4rBa1DPbhOSxWWY1esqQo6/4d+5AOAgBqZ+6Z2sqWwI+GSwV BdvuqS514PSbftw3I/lR9FUSyANifD/Q7e+XXq1DxqMc8FSGx0rLjSAHhzMxNxYUZSk9e7Q580Kt O0J7H/kNYL7vtZd+Td3tnGVLn0mYu7I9moPsC0qtgUTp6eii2agznUHL4dqQ2IRCFy4oUxKMhYg5 IAflWMcZMwwYVaQj3ms7bGXmQi/k5M+M+KV/TB+FfBBfA6nAZ0q9OxkWa0LXirReqdLhDB+4nReQ eKZJ6KXcyxBAfnfsuOKnnObi7TbkgKVlhfrxI21zqB/iOpgebeaBSBDPpovHJ9yttp98oW/ZOvP7 NpQXjEledYcPTwDnxemMScfmjf3hMG4+7Mm31WSztRxLxpo7wq/Tkufduhb+JW8ceEz9j2UrKjqR 7f+V0UBJoSvMM7hJvwBT7IoIXpQwFrjFq4ytb6z37SOeEIltyyjf+ckWEGHkkjeizxgwSXqeg+1h nB2YTSTa1bgST+06tC5R8XeeZh8aBVcYHRKjz681x60msObJqkCpwwIe9fDT0KfpEd7G1lxLJ5A6 LTDyILZuQHcX7Q9r+fi06J2mtqTZlbXmZR9okEP3x8kjNfnBzW221ZsRRbHm4j0YCaQovV0vEjC+ CaQ8YReWksapUdYglKVDgL4+uaG38Aa8G4/QkET5gHqtRWc6mD9bG9qlMECms/MX1Dbv59vQdCan 20mOxs33Frpp3z3Roglr52ORPMsKVRj7YX9mFclzOME6aBVODra7Jhv2APZJ4/r0gEtZTnqB/W// r7o8WFcwlrV81k3sLDZwnNpnKmUeQiBNBjcfplV2cGWz6Emyr0b6iXMZgRPygCHuroOprR3gvmi1 oIRS8J8jkkham+f6NbgziFf3RGBddIIQSgLeqmzLJiy+ooMMh8YJ4+BH0brVp0h+kMCMhrcGJgQS IW7V57WjkxTG1OFRAZuWTnK1xXOarwuU0KQBj2RA8xgkGZfpia33AUqz2w0Cvwn1KlOakH8OrHR2 g/K6R63qIMj2sXtbBOpg0U/9mbIQGRRCSxpING4DpL1mKeHAFM0HULFdhFZj/mDFCsvjki2nSLgT oeqokpSMXJPxauBGxZBBIBVqU7ukA+rQyaFUkuWL5f+EzuLESSVYeFhzmQAbY+r2pjsr+H4VV4Zn eDnJrMr7xs7cTe2X2/B0aqQ8is1NsVtA5mGNnEmjF+E8WZ4/NSUM2MhrJN2Bv85JbC+xL7OKEQcw DAsRuDDd0xxOLrgtjN+RptZoDWuE0289AxHgg8EBjQSEkUJ+xAlN7i4YjO0SttmUmLkRCoxdoV+d bdtouiupStgIo7+hsE2v+aD6r8FhG7C8woao8oUle/szRRYCqxLwpujPDqd+HdTIvnNHWNN8Gy+n o/EHXJ63K1CHcjMuzfhGzca45nbj3wu3lA6kK34ROvRkYy0w1hfEWJ5fjuf+tqSDoxZuRQl8PsqY 6l4ltpHk1+omqJvGhBAPiqZIIeV3K2Hf9oMLo2xLydBCDhKZa+2NiMCiYQbWwuSAvkc2YdXYNKx3 0SCeRFTqgMdUNcidQjoUDKwmU0YTyoWeTOqDLdszmyj/Sph7PTOcnwbxfuj1gTi3gABRjG21wtyg LhTyfTmWCM/RVKDuu8Y7PWHoZ2HlLb6tZAMMBSEzlpmnxFpE2CWSO6xlOeV3KgvcF9h378Pzl37r ugp71yZcEonrAH1f4egbMh620v+QteyZHD/EfuoLz7MeuYAdgnCz12zNBxrxEJQEzfCAKL+F319+ Q9q2Qvh8khiZO43+jtTk5I9GzjK01Oe0oiW6xKFhFHREI76zxJwQnxNcxtiS16WgIsAhnvnuSble T32GotZ7Zn7FezR6rlJ7vo1cCTeGrMa45pfRQBQyNYIiqIyKOLkI94bJZGFOcn6iy8ji0r3YZz5M vUlsZZgcbh0HiItknc8G5rSP1ObaalknLmMUJqRlwQ77z9GATbo35viXK56tw+yBFkGB3+eW66A2 tWksSUM+63Lm/QdxFJJfLE0bx9ZkplKQf3Z3Y2TKK/LiGyesIiypAeXr1BapLv0/MkpCcJT0yZ+r y/GtqED9BTaQ/ZZtMxkWvVx/KIobtpru0SPyBFCiW6ESy+NmrKgubTZTQAcxyJ2m4A+IoMPiuzNR OzdYQ5Qcpc2B2YRfW3+ESaZ9avPY6jQSDoVX14CozwWgGabhOQGJMs46kz7SgvhgSre7t7WsN/jU gdxgWciaPj0yTWJmfUNnasNeOKiE7cxac+9qSp3vJw4p9iMzIbm/wBTg6YMJLLqwhqw2tZ6/1lVr 4nh3UzpCrwIbhOClX2l65na7b3/c7jq9OxOt6vYSXtYkqQB4ZoCtqc9YmRs4vTIhBaOUEjR0jPVt ZeTZ7KO13A0vMnsxdgnJQ3usuLNrJK5fEdefv8+Cosjsnq8iPkpGQZtM64smO/WBZ8kdm7mfOBr6 rIZ0LcbpX0NCyU5DPNrFsLzsB4e5GfzTGJlGCYjecxO1zV6O/i1s44oQsnHPjjGAI0+RNQuCuRn9 EVUkWybOzo5XSjoKhyZ0hQvhgOJ+h2SJD3hHGLRuU0rls8wIqzVdK+SsuhrHZ5RCd1O69rM/njdq hwi38/xpTAKJaay/9F36vKeReCgQbeScLrrU8tJ1BcHfJU6C5ylf0bsRuBwtGPg3fSVuFLH+xaa2 QfzODPmNUx6fZ7o8bpzXw6Uio96p8XwHKIfetjDNRJp64oPrv+kcHeaZ9vf3xiR6ProRAS8zcekI qOTqpjhGMNRj7SH9OIFjZYW9NHy1/Inp9NQQ92ZqhSO11PBolvXdl7PqGG+hQiwLoujjKXYOxdE/ +yAgRiCv/j+8FLAH4TRUwd6tdWxn4Jo6H2caaMWWUfuy9+YgkLOCRdNsGEQwWPHkXVH1f3pWcTKZ 8VRI+63ZCpB4X/VPAgiY8rA/ibwVZA8dvhdRELajzJxRRT+lC7aMYyv15Ie0hwlfxhrWotyEAjgm QBr/BATgeKijDPKhcY30/hWAsYrJGFZyde2IgVKKrWTImb4tKn3vxKGwdAWGzXarScL6keKvQblv sQiKhWe5qU04i853QGaXoB7FxWdPI6JnRemZdXQM5BBstPlMUYNUClZtFIK0w9CB35fdIh5V/7ym Be3GR37DCZp6HMBF1nCVGaWgR+psAjg11d6oqE8witOwvG+LpMI4kX2bPYBPqCiuSWdN897lO/lD S2F2+MWejQMCAvfL7AwgDSk3TERr3+xevnckj9EFe4Td3diVjJBAq5P6oqlgXQFCcNJjaRDajbbM 3C93v6frI15q1D9xb9wuUngF9uNOKe0mmjSnDeA5z0ssGRnrzpFDNHk7+G3eCY5gYlVrziL9vp4x YufzO1rIHvjqtWR99WF2BsOg9fwnri9mFBwstnNdELzfMUp//6G2e++alRIwyKL39yjc75FhZCKB us/YkdVpMfFmj2JncNdVYvL3PIodS5UCmDVHra5DPLc8Jq+virB1NBUYajSmn8wZl5DD4Gct9QlP Gh+wK5aZKlrMUwksXdg97KWY5pt/1inTl8ROaSfPhCSOZBbDqbDHwlBZkSC0agQ42oUsgQNHjAoS oSJp1u5Nqr8E2XMJK8Dxu/3J7SV44Oe1qubgnNy+HjcB4vqIVWjtQaKgZ3LWk1AActiIITL9D6hJ t6fTEJ7mfka6EMGtgxeU2WsZw9KS9WxbNRB6yzX/NJ/MCGw9xvG+bk7dPp18ELx8ZSdesjyuBA+/ tR2fW4ToJVLwPmUS7k2+8hMSlZYq6JmoyCs/LCxfm2LBrcFaA/Nn31p/Oi2lsL7lK8ejEv4t7a+x NW1nKLApZqgR/wtxu1W4rLW5Xja0ozyA2LMYVkSf5u40hyNojRg/UQPP4j+j0bQTkJIMDQbBZZwK e/sSUZSXLhhk5oETDmmoaw2l0SesTOlpAp9+gbGFfoGqUQqP0kySWWY57nkZERGBP5xXb3B97DOg dkxPmkuu/4p3eHUtOZ4Vm4BpLC5mRncXEniiAEhogsC8hXDf0S3UGdl1YZBZ3VR+7/sR+f2nj01a xXz6HUrjAPof5NVNmrWitHpQxMKpjZsWQjvB8jBPXlmMaZ2DVvFavhkCrJkJ7rB1zgvjAlLNPK3g 2zNk9FmhWAes7j6H/wmv5E62Bt6pSYQ1SLOokPiNW4iGOQeCLxFV6TrFru3n+j8h3FUym1xAFrKq PK5l4AtpxW/3wK1BHJDfFexsCjXJrIZG+dKVaneLCC6s1L9wWN2OvzYpt2GcIFNcUB8c+C4uU4xI oHbWSFltH63FDuQfiuUp6kV24e4IUUCHZT9q5EDu5ZBWi02pV5AeHLrnuGgzRltom9Fev6Dj15qc 3oEn3OgS6eI2mJYtoW0z6o6hY05/0O3/p2UpAEr/Pdh5IKSBhDaqWn3ajvv+l485UNrvYiqkaROQ /hnaMhrtgNeHYvQwjSk8HsAG0a8ENCjm/q3OkUD8aT4FZyo30OTP+V+yuRsq3X8VL8NyuNe17Ixv UhDYDjfyLQLEi+SODhCtMQOSTwGQT4MPRmOlcHjnpDAbk0BJ6DS+yuRo1JbagDzH32cC8/gU8pAp 3SIt2iS0EuDMh+6X4n5rDRfsddt1Opz+HI+KhM49c7/YhdmrXaVSovvOybKm0bxWDqxhYYz/zncd tfoX1Hi6nz63kVMF9ffgEV3clMvvSFATgi61AqIrihRHQGTBni5oSMFSEWqus+OeqhpYDo6GLGeM 5n+cgxU2BBYd8lFs+MEyWPjEnYOFCBYSm1rZ5d/MX+JlUfnYWQFkmFFwF484wni9CCPamWeHUggf tLbyJekV0L0kkT8m0ZmA0RjFlWUzZ2tmlfRXQUMv12bXjERKnvFJrpGpLCgp8Nyz5Of3pAVqMvfi TZ7CcC37vFPCEmdwI2KXKUqgkYXaTwpn1xUGI77/YhvaFfyAUQzSWkdxdg7JxyQM/hl6DVtPcgpL y+6iYUUsFtT8XrudwmhkE58cl+SWtMAE7Rx0Pv6FI4M+nRX8Kq9M12mxIDH6tyyFQVVcijYM5Lhr vaLoDZam5GoJfpaAMUaDOBFAe+YX3jUxQpCi6ExvkdoUV0vMxRnk1647QPBr2IoTvzqSQwfIlz0w gV5flQ82LEjYGVlbFs1EissE1cdRFJ32FGpxa6+f0Jy5yP1nU27IFS6ruarTT7BXALTKIlyl1yfQ ViAW0LqIf+khiujQN15F3c3HlmlBVBp8Nk/Eqv1VgtrBs7bQ0032AuDulppbkPmE1cq5hBX3W0tw qlYteWu/vRw45t72IMNA85Rmue4o6XELRpXvoEaae5ZUyl0OHm0V+8ErrNHpIwkd1A6wYC7QyXxt UW7OBMBuWltIqoy85ewVEAics09SgwX4AtQPk5lPH82keWu4Dvmja1AUH+PPhgjt3mDkopX9V8dr rXw7Ou7Spv7Q1e43AcGMK5hJ+KJE/6p+qjkfGCwCZleekoj87MTj/2mhhPQSm4S9bhOGlZZQauiL uaV6bzRUJmVTTILHASlDPa15N2KtJgkjyCJ02vqYULK9XEtGjQeOTB+A1c0GCxfbUfrXyko/Focr ISJXW+HQ7O7YktkpjlMQIEbB2e4RBVovWoROiq09gmU9IJuI+DIEzBpFtWUoGEc+ODKnvumhqBWE 0+mkvnyeNYTXJlS9tCwr+MbHB67Ox8o5dJRcjn6homLWdQoILyJzWMTpTq7eymW6ku434gs7Rna/ PRlQ9NReJlu2arF3R4cxw23hch6AFsK/V5lBeGYQWpbWFpasTmTcdksMqpVIjHt054+YTDS/UlrD mmO489Rwn2z9ufC7d36pYUApITRtAtWznPj2MJqK+6qzJIeNVFzlPpjAoULMUCZKxd0ST+JgTX9B ANXe2h5WcnOXiFxrA4f3IeT6kxbZHpMoYQkJ1zft+wiLdPnB5lHNwsXA0oU7x1+DhRVD3kKfxSDt KDlchV/Rj1FLWdWyOH4Fe5LSKBICZtWLGFgHPwfAKrBHvR8mA9jQx3Sp0lrErenDxZ0FA/tAa8Ua tmTWsufWkJ/kaW90zllJFAcPMMCTs8uVX6Ez1f+MwUDUrT+KndhqFciGRM8QjUc8lCAZhitz6UhY /po+WUHgsVVTuteq64GFTKY7i65e+IOZOAYkCfGtxmraRTw3IqaX0Ai15ezwhZRHFVxy+m+Kxblv 7iHaRXX6yKKKcZp//b1U26C0fCQ/NxoMNWriy27Rwv/bigKGtYQzLmSb9xODUutm2amoP3FMCXZ0 tuld/Zy7SHLCDDdaSY+UF2HoDMp4MUi4fmO1FYt1iUfF2IMFldX69vK/Su7hD88nFxYS7TeWF+Mq Xmbz/BjNqROGzOzoNfY095v+W/H6u4wu84YcjO4nYlI+CJZ0rvJjvZVCu2YgnBpGTN3jmWXIC2mi IzjWcHMegx7pNd7uuJmdRXE0roFQOfjXLCRmyyhZjOgTHJ4Xc5HmNUjRaeAUBq7EvpE54Yii/Q53 prV1QNnpOyQuHiKljZdZ7loh4tFXw9rgLllZBrHdiED6vr8uRtbUClaOlxmvJwX9Oa2efTx96mXm VlRSDMtlOtcJ8yoeDOGo8yBUpViVAwBa/31hyoMM1ieckpCeKGzG5hdaa/7US8J9MeLbzR5zs9sU KoOH+vK1BGA7i/SvzZMASIif8jDlwTaXZk0B0GX7B2lHZ1cNk4x3ZJ9PJfGKXjQS2AhuM9ANpJQM /H2wd79tAm6Ou0t5Fos5RUkTz5rtQn/yN3ycmshTEjB8rW8DaFSi+NefGFx4ZGipI0qK04T8aZ3Q oj7fgu5kTsjzhKUklBNF+/neYHvGWFxdMi18id5y9s00ck/kvn+Mm4F9fG5mc5ZNrqATAg+bnhPJ Unp/Vyvyb93kh9A3fK34pLCckG4u2kUlHWDHoB3TTFGT9R+RJftH4brh/t9Zc56fbhkbmxGK/jum rjsTsa+m+UAAGtb8ZivqlE4C2gw7umAio8AcUr/h7NYMnVoHQvbIS6K661WVu761MZ488d4QIe6n A4ZCwQiBipIVXJbZdpAwh0YUQoxALmjeaoRNfo3aqgamMo+/OTe99ntvwfzxKAtwV7i9RGYnswSu XF55Xm0DEBbA8rFBwvdfhdR640uyp5FDvIX6oUMILOB5DnehdvfLBqKWZfD5n9Qc+76fVIK/dGON UfASAe0w9li/WJjjhMbfy4Jbu+hGeIRFBob0z0+v2LN3LWqnBw9GU2y52tixudlJOpcPqCLrLHn1 8oKC7zk6pxujN+wHJ23XpMrV7fhiOAj6K00Xik54AvZ/2/3fxmjsU8bxC+dTbMN6M3XPfK4PGp2G JjFxWQ7vMWiqj2YBc4mPItT9CKWA8I1/3B5Hrb4ewK19qDOHO0HGMdVHmYM5kiBPDF7chiyMWNbC xWtKKCUcoYqtfI+EwXnNocpro9lfyI9C5cb+XS6J4/qgAn3EmQ6auNJAMzAHTCF0Ls1AMdovipkV Tpy3von7vKJ504Ol5yLI/5JmApxOMSH1cm8JoPW/pr89ypSqUUoppzooJ4WS//mFhB65LQm2sEvG G3tQsTFaKgUTxR9+qoDA+cJMcuA5HWQVVlcZ4cIFAU5lsZ+i4LRaIX3P6rgs7VpnTtYiHPBQItVl S18lWrwzOD2xvMIzIrNWg4RWTlbF8DcY6wVotvlqDl6+mQIyLB2KVMGL+08Qj6U1ho0nDm3uuzvl 5ZkpOAxVlZuGjjifDB+hjqOK9PUGgNDG25X1J9pp8xSE3XuJgsz4EDQTDgWV1/oIETb+BOgfAKrk Ie6XOJmM2jL/10m+L5AUASwby4ZlbSsSil31I3/0kG1zZ7dR53UrCNRCBnWtaCiT+ARYVPUyvNDF NJ2CDxXi6ovo8kuAYOuB29TkKUFSIPRtmqtfR+y6EU0znjPggFVFPkOutCY8GVhp7Cu/ZnZlQ4kK xAlPcSvDv0P6Wf682ub5/8YoKdLCo5Zr7lUXOMBLUdL76BsBozjfrZBaYrDzMBj6UflxJQH2MP1u +nNDfBzK3LUdyCfCo3lYk1t3DR3+ut7etoHdBSYqeYDmaoMyAF6IysRSejvvZpJROmlmRAKRz3aV 9xHs4FZJb4Z/g2a4L3cmLJ89pCsogY6cHuogoBbbtQRLrfMQBPfNXoXb2TzZhT2goxRpjzixAZyv i03utqBnxO4vcfbAaadRF0W2xdm5fN3zkBGvJ2eHS+dtgwNA7/89SyDa54E7Ca3HJerl/NoEf9Lu EPy3LPkfOeuk0Qr291Z2nhLfRlrMyCNuWBPa7EwGRXfHX2XfCOU89z9fggsKATuudXWQIXNpI/hR 8V/w/lrijy1jyPZ3hrksTyyBGFWFFB7/TsvC7M27bF4mSZ5a2J30FXrq+2d1JYz+rbg4ibqv08V5 MfYY2VuFR0UUsFW9kal8u7G8WB4pMg68zbntHJovmwSwvulQnKoXoGyGptNEeyHNqqfoIOByvLIN UlTg2ld5Q3PSU0q7yH+RgpOGFdV9qGoJQQ9iUrmeEl5txm7z6AfQvasgxR8UF6mt1rRSMfoivjhk gyVuKFAHlOHiY74C2fW1RdIp2Vl4j924Uwdf+1hhdJCamqVuM1Zw9E0eH7CXk86TXxnrXIJggUDd gmAtTrxH4cv7bjoOU15nbaFyLLE/2aocOoAEbI6B59Im99BeZMKgGk08Jdac0gRZ85emu0Kp4w+9 mrfx9aQvQypbKtQWk5T4iJeeAIWGeAhJLeW8DT9sG03xV0A7riN/3/gPA8c/Z1UzlN5bZnDhMVaA tL/jiHN0ARecUZL2y3c5fkZe5iK4w0kv3hr/bUIWgsrMbVby9A3YyEfKW3ZG8t7VYczOE3nmvuuG st7CW2N7+KsxK0vzutGfzjJqsGkGbS3FFVrX4NZeJsmvpdVf1SQZIM3GkMbJJczxCO/KGlaaK6hz zUNqEgQtVdcXCp7jkQW5ICbdw4qiNtlQDgW6apDAd5yXXUs8N0gxNz1oPFqw6BdLopqgXrK2YgGv NwtBM+sfj2M0QQXbUfF8mSR2QYlJ47ECzhzcJcgSk5rFtL+3Jc9wUWRb6QmPxBc03DY3vQ//XgQ2 Zp0OvsXeYdVw1G72KsxCnLoVSmXzZOlu536/eudwa3o9g+dAWcx7ynepF2047GwHExgQ7s6mwf1X J7SEaacyfE+DYvJnXsizDruwgXJzUV9D8FymRz7GlnhcuIG3gZjnhEIzQb36b3rwiGQFFvb1F7bH GvwPTDDcVincIVhhB5k4hsT/+gvPfTlKENmO0n9JhEzz2rQnTOZT91sdjO4/VY4EduQSRQzytP0Z lTwoy5OvJ/Vot14ETuLZh0y00umeI6KlzvyFA6FQ6giRPIjEZnkdGVSq61Lys7CmWR6f6yTPZuJV H2rfR/+IdHmDFndZxi5wRWuDq7KkjRHwRvzhz/rOHo3fzpIMH0gRTRowR35oDNhS6Itv7gy9vWwz QzrooH43PtyGfCvtQdrCsBlTBBtZxnfMMKXHQS5aIfkOEz4gTkEOzCjRt05GosCs9JQL6P7ABWMi 2vHLaxAFKMPPgf/MGL/wDn9S2NMlLPJbCQdYOR7CvyqeKkP0H3WHzA6Z8/7BsMAfa+sYMs7wN59L +3ER8wyb/8ArgUWTNVGgn/1i+bf4Jt3CseO4oEp/NDDZmZmHZ5n7hQUdFIg/Mo5djtAYl2UPxDal EXwfhpmqx7wfZMCohhsxx+Ye3RyBvWn+C3aEP9K59kuPd+DgxJd9izyqDhk2yqrXEMI6AYoi/9pR /Tvv+6dRA7F7fXL/8axLVA54tg3LGF7lmAuPgjD/9d/hrXfvkrezNuUyFWq5CVJTz0z8QYVi9w30 r5Bnv5fE3Tp4hjZSfDbWYhCuvlbJEm7yXeng8muj9N8m+bly/9VVx9HU7k3+d71Z31DFQ2e20qQl jMcam6Y8K+xQ8jxA0dYx3NvjqCGIKZv97DAJpqQl7AO5fRLyUhVLGpT5DKftj0uFn0bdUv91EBAy PkeCY6O1d8yH1FKQWuP1jzyhTp5LszjMAgh829YmPe3Maz2GsCNdQXUO47kuE85wcni96Kan1JFx GXu1IE8SX8VdnN0FgJuko/J0NWZDmzpJMaDekpdm0Ao2T7zL3Gp6UzRZsQZbAmK2/L5aRamYIKk4 FER5RVoB5Upya7OrnEUsKEJn7OBXxdZfXlkDyiriwoZ/vzprEbZBBAUwxz2vWfbTZqSCoHV7piTZ kN3Wu9ywtX5KW9W+b1pApLYZvPu0iCgTg0vqjGaNIOnUekGxN80/0OtYv7yOgrWEAuZScg1k47+T QmuRJqjmQJBKGCe2QsEyonxoVAZ3isq36Pk3xNC4dfTNR8cybWutHJruSU/D1/BitLpLvyz/6eIC wiVA0HjK7hhWDpKk8eTsEV5VrxEmMh+P7B8zoNggJUveexZLSkVfw0pcfSEmq+aQz+hNGAyGLHoP lrquwdOC88aXzkqEMxmEkBvD1Bu+p/yhyMbcY/x2B3O9BcfniOhxnjdYG2aWPEmYVvwLFtvYvcah gtRIg9Nf/coW0b04LzcBf1uz370OT/lCJZDbdPyuDOPCI5tc26zsy+ZrGdKrsjfMp7WIUdPWckK/ ZnhrW+E8qljrf1qU6GIfs6Zj+2as49TdRIOczXG9TIb6PEziYHu1o/aa0n6rbSNTZu4pEdXfOdE7 5j60/EBAzPNqg+a3nQwWwOngLs7EfkCTny5AJh8a6KKsAK0rD/v+dQLV1xEtWiuhgeEFRccx5ffX Zx4GgUGCS3jUZY6qC3jDh4Qw1QSxi4EZGkpRT5X9QYAJxtq62cCQJ79TssLjSG83w5tKZkrEsYPi phwXTsOgoBa1Za8hsfOdb5Dd25wYly5oQbzfEWWO/NHkSGI42mXHTFRaqOp6J+H8JnHR9jNwvOtc XIQBSBYpOkve/XTgZbLSMVYcgtlOkFAp30TePAiDtroroznaesy5UFGWno4FKaAWXJcVNKndo2DW qe+HkKfy/CGP17zxo+dzsNarNI/kdg/07uX/Ney7/lfwS5Yi8egaAKk4ciT0GhstuMIfU4A5kqC3 SW9Y/JoNVBSaV/rUrXeUoxmhyEc9VSzVEBNh0KRmI9R+n87hJyGYolfMa4penSmOjgwOrDZ+Ol+L ZqDe7qYvW9mA1WaaxcG4T+YdK8vJQnpfLXA+MOj2vI8i1wNevhVsaJkarxK15+r+gauTRbh4+Z14 J3mFppetZtWUe8SiXBo6+EghJZLKsn7/V8BHwWPM/aXaIIm2DVoDcqFTRUnMZCEutyObeTcyRWka YimsHxIkyQUH7cery5XTK8emDPOKNw0TIFqTh9A0WN7wPPtebVJfRf6FU3h4dq2sSRCgkViXTtVG SPc4oS3frbvskZTMPNCKyufClPZCSpwn32dJQ2/fo4EC5qv4jIXeyZ2SrB4EGbh0cxSL5fsWh3OE 1jv5Krp9q5lg41TMvuLbQh82kqgivq76eDT2buvC5tPxwoBGZ6FKWFAW6NrgnUAS2o+7vwUczdc5 e6vegSczW6Qg9i2X4GYhaKvM9kHxxw/oy7xg/peKq3DlwqGXKejWjn7LvCia7Ztu0TjvsgiJRc2M 7ajiAY91+LhgPYAExIRWN3RqdPT21N5gcvQ8RTe3RwJyIhrtrMfaSuS3HAdu7ijq/T3N1LEmZ+T9 z/RrT3frnhWvwEF191/J2cfFr0HqiA6+JCH2cJ+lyKIyXh7w5CeTn3h4wZBx6VXXVfMrTESAwjJb YMU+/goz5OxgDYlVMhTGvlvXpxEUUIxMJj76HV7awCb6icCpJ0m1kezxhFrgdD1e0C942Oeh1asG 3tbb7GfVsam1hWdaEXRFRIVf5fHY8ufYZ6fx9qVXiy2mZwUouJ9j6lNgJnJaq4AFwJkaWJN31rZS tCh6JBYRXLa9GFbhP4hlU/7YdEhuf75Y4y3DWFklqWUB/ocG0x+Q49rEe6/b4zLx3VHD95KjPfDV w4tMkngKaoA7APiqYYMlo++JoWOR9qNGeS2e92h9wrKw5u6WPmmY4h2hE6GrSxH3fkqGIlvYgQ+Q E1bJwfG1klkE9Jiku0MmnnwYhB9qz3LxTdJqLE87IRP21UMETJhkJ18im80PY0PPuJhiWwLV+CqT +4/zVezp48zNy4sDDbwU4PXR42oqMuGPAxPP6kIDPMr+EQ6WhrKLSkrFYD7lNOwlMypZwOp8mdOP sllXb6XXROZNWQdf8Rq9ihWh9edeqdqm61yiIarTKXG5sqfoSm7PWtkseB1IgVDjch8Zc37yLTpB yMj3xevSWK2+KAQVyebIWn4keYl1H2XWaIqRo3y0nyGHVk3POqlP8F1Uwi8CcdcKOXdgNUG5bFZq Z1wDZlj7Rd0aVkfVB3CcK+5Pv9HdcTkcLcmFgvQwWUhaFW5MikiCUKWPSru504RhpfnEfghUPHqj ISFbh2WhiTbWkZ4Kv4enOQ+wnf4tGDcSk3h03hkb93tOcS0ruU9G7i+xkTxhXYHPyzvwCpj+LgOn zcu55YyvHQnZadf8U02imdg3ny7JzyNwGc67ZLLaos61yg419MylWMPzhL0Ak9xpC9Znc1gMDt5f JtF1x3pb2SPxGDe+wzUrvvYZ6EJJcOpyNmpONpceeYm6PTT8jxsJW3RXyj1R1n1mnq5UIz0V/Y6x 1fLwTvXwElufGIQCHDyahn9WlUsI50DhBSV3BOH5evQP2AUHqovaQfC8t0ToYo2h9jbsCj0EED+5 Y02vWYO+U7PicpZKzSOZMCzehia++Pc/ohJ7VdFXXpU4usZatHvAOlc1T71GAafaN9BZQVbwtq+s A+T9LSY0igXx/KPiS0H9HhTzdBnPg7K2rAELP3Ukoocf4xVHkSFLLEer9xWIKYoQMHEqWKijxKkO h/Mo0q0D+2IM2O2fyLawoiAxbWdzzABEIVo5cM+/wetXyq3VKNsZ1Z1TPm5f3Hs4i1UZP1zcqe60 cHLKvxA2JvkV/SBjDBHvpFJCn/IqPwTdXyQUiD8t0GjCFpLMb1ScjRv2w4CXG8Jmglyniu+qjezv 9AsQsvWY3HnlBEuJ+xteQX8x0rKZNppp73129kAiN+pe1QpUXrfMhw2GyTIlRM9kcnPHTAQTNgHE 2ATTtc1qtYi9GuUYRTcLsGmj/C2Xp+pzTvsI1DWE5KiUAw1xfJ6Aqfn3XEHEv9f3DLPkfWiHPm0k HIeaL1Gj7sveV3UXRqKidznz/yBf7yCJ7PYelbxcQJgWioL/5ggZbNafP8lNaEi2TvUm7+zPu6Eb EwFhWI8nX46Vmqt2x+z3DqCoNuBWaHJACzSOf01nnxC4MkdcuDqSKarv8R9PBhe0ehDJZ2PoJo8+ vL3YBc5VuPGMwV2Sy/wMz8b0Dgz21Aut1Mflo/HIcIfJ4ey3bAFafvSWoSf5+rbrP+4n5TN9l9aT CWAVB7vBCskzKuBITXaC81jE9ndyUArKpa4IjvXMwZ1OYakYJiHo4MecVYQMpx5PkLsK8E/ce0lw iGDEbQ2/Sv1B5gdgRNiaGWGMPmIvabRQ3dnb4DFsFGIVuc1lGfn9n2N5+XyUnz/6Qhoe9eOSg2ly BTPmRrEvvQsqbtpunJKG8qhrtf32Blv4tykyFYMa0hAE/KW6NaSs0Qyqxqqe4cGwMF89K3u8Q8BK ZR2xT8s5OZgjg15FXeFRmZQMLPavCi4E56Dz0wf7Zzj4mywXfsWVCZXFZbEY7AG/8W75kNSb1lrx eYBgQ/iiNAKfwI2xCX+FKTiX/HI/LrERiiJ0jtIdMSz2pu4OGti3cgnnV/cz44HQ7ka0pjq7/XPU /PjPISi8QFXgLIMufVT1gZdpC+3x4rbnBXw6/Car45mKup/CAO1/oE/Fyy/dzrXN76cdAH4zF9B5 tC+DHNZmYESCATmCETJaxXLIhBepCCA9YOBjZ25ncjd2FED6fhwJmMULiP+Duoo4ycaJ8EwAAHBG BjKSsnugZonBtxCn8nawQlRp7tYKms7zdjSc3uhTBq2E5icAxOfqKLD3WQWkxZ80konIzclmlg0Q JsLlz8HmtPYl1A5+ZO8r2mh4ZQwXHaF0Ej8bmBULMyG0DeQu9PidLHXRyxYziv24OtOyco+0Ebtk h+tuM9Z59K20dk7zykmGKeDDorBTrOfEp831ehju36F4rKS6gqdnJ33D4lXZZMjvGlnDnoh/kC8Y RcP/7Wed7wrQwN9pyfspbq4DBM7gCP7iMgkzOLOfW1Ae1PaEE8bhJ00bqX+/QCGeQ0d34NyTLSto SnQYf50aK+OJySmW+nVq4LEVAFmmGSPnUbSWZ6nFDQ12qZVhPB4mTfeVkeQA21Pfeqe3ygGjxtt3 DLegZyv9uzTJfGJA5mjuC8yzwAIwFx7SEnhU7le44ceRGcKYIAvzZ9uvw2XfidXXt4MhA+WL+ISf SeipLCq1FSyBnBXnK0uUOfouWhf9Hj3qq22sGlMs44NbPtC6hkd2FITGpxpaQrablCm70cfG6tHT 2jCIG7cl/ZVEEVUfb78fvL7+5HGEFTl1DprAU4OB+9GeI50uGzEKDBv9OWVD3dasYQYIyyfZIJe4 u6WSoHoBuhNqHRUSfvFSkGf38KhOvo2ZgxBON3c3b/GNRjZKLRY5kU7fkj/wujQo/+aWPVVnuILH F32INQ1qbr0txekr/LgVa6Sb4D8jdbR/ddra+n59fGlM82vDZDC6UTKYU16CpJYL3AaZQ/Fl4Vpw F8HiKs1zAf0xmTSvjmmxaA2FwEFCbVzn8FAzCROqUHBi161Ts1PJ4nLg/eqiSQPzDy01AVF6X6wv 5+KS/+nZ8ymCm2qrY1S/skXH8CKfvtVMqJBI6hGKFeuf6vuOwhzOVNbmEjIyovTAJEYxg8CVHIWC lF+q2ACfgnu12yKKQjnH+mTL5Kj233AE4LqkLwxxMXHfRLqjLW9mT/WSvjXT3gqm1on5m7n4YNmT QjQWbn/hcTHxSCrA9eI9KWOmZPDB7ETC7bbamdeRNDiUVo99QPhFq98Q1e9rtHCedbCgJ5F28zbz 4GloBF52QvjLF/dQZQmYphnKjMPRf7N86xGNFo6XqH7r7bZyeJiv7wJUBqfLg8rZi+wvfUVOhdNF oxUlz9DC0GJzGhlqN0pSj3HRtD3OJWXW5sfAJCKT3vext/q8AZi0UueT5kA3XGwWN8wU0akceHLN DClXawFmkQqaNH4IxBIoOsS+Q3FwtYQA16jglI5JJHelaaxZ7H2s2KKguaSyfpE7gp1pIknRxcFT 96ZoWuf3ON9oOaeqcRI6/0BvqKJ/jnqbTa6AIERoY0dEvfY9QSGxnVGTHiPe4sumw/qVtXYeTtEc APdio+gzrhIkFFgGqEdgQNEIMsgbmlijaGLzyKUS5+uolj5qNG80XbrxiVTdUx3wX6UYfQlkHuXQ QQFlAvMoAq55txoUYQcnWdWyhZDEu5E7tnfFkEe2rnUL0+ymHW2Y+iNrHwVFX3MfcEvJFTEfFVvP M2U/irUgNat+dpf8T2DTtiXzM58noEaZ46ttUuYwbipsFaC57u+MrztBUIOGDvGF4ZEIeQiQ4Zxv UFNOkFHJ+FYODCc4aRDOUnIn3WBUES4GJftb9VaObmVuUXQ0jprx65BOSNtrRk/DcBDABeM1pJUV 8lT5jmrCFDsTnbZInHkBtpRVTv0EC4nkHxtYtryPydGd91DCjoRVvSKSIrotRptvjmzdO1GJWlO+ dPOf7MPqL26u2mL4+tD+oEZE8IxUxJrCuB8pEA/i6p+RIWVLEE7Ky6R0izuDHIB+GmcITCWzBZpE m9HwznqMQMtg3lxrCN1PhoyiDLAJ5dJ1ml9IcTUeXf2CJBJIbKqagTHNVeAp5HWle57kYd5sCDYx nw28CPiKnEfGLnHGV2El5EiPY4czDpwzO4ii5Q3XRkDmCp8dA+EdJQAi7MOX5nH5BtP2jUiFS8pG 59hU5nnR5sToEiYo3J2GDhQBxZOmxp76TnwkuEsh7yYRplpBEDeWIJWeE+8ASsZKGT4GSQ/1xLau 7e4PcgJ1bd4jsRvrChPtLUcvUaBvN3SDAtt4DI1ycenJ76bQ7I3jT87XgEj2aq1yc8658TG9lZr1 E6eUdbDXx82+4BoQYaOUqVrt1mzTajJAImCiRC/x9v28Q8VWRrHGBEJUMKrhnhjTQgu94P+48+oM 80SIVVNF/k3iMZiBFuayMdc1gFAZLR3VQQBkpCM7I7ZxnOe2P7O6ehwpD6CkLfj936tpIu70Kql3 p1X6/2WTFrITjweTxeXGFgZJvCiwgA2tpHn8YxhAl5ZTc887Mp7/I8DqA9aGWhzjFtzQcNUmt4NH FC9s1+ZDPjn7Aior67MoP8pcS5H1jQl2e4euUYK+2vQsAuHp3VNL8EFlQfDWzmLeTT5Cl9FrhkDU rthvFwCDE0B8T+YZ7++j9canv3j96h/BxP9Qnq/QM9hfl/iRhrzQuhRXEBTbfR8Br1owQdptoUYU 19InaU6ePgxdmckvOEzhGmv9bS52kmw2PpKw7o6mbE0Vgmmh8gRnbjhlHnTILyT/+FyYnhYFYNfs G+x29yF5jz4evttQkFQY0JAoAhTspd1zL88OTbehHeIdBNYjpkWkga8x4QV6fydGHh7rYBo/hvS7 67mhjHc93kGErB8bMN1+gtkMJ6YfNRCVYlYwcd7h1dGLEZN0K+wr+e/yOwLLp/rg6jV0uVV4/QUk N75zDSFuwk9ZpmXCu+TEqT3YHNa0HOte7+H0VRnKANqa1LOZT7OXuLcfIeFQDrx+q95tdBozAZyH 5TXvSRvLRs+k76N9eCDDYJP0yDvPi8INMmMh0ThPScgmYhkvSSukUl46mGBdjwLc6pjuaXiQ8qMK za6lT6CWOULAu9h/feUyR7orIL7Px6mYxQ64jci39Go9//6K3dYfayjT6y32yM7jV2jtAg4P0R4e Z7cg5CORTXFQ9Qzj9kZyk09JiUyT5v4kH45BXTxCPBZzGN9OOQp8ZAbKbgci4yy+JoueTSr6vkpd OeiI/fJ66aNFCfymSnvt2clHNYeMAxI+sEX772s9UVhIPmXLA0+0y46CHbHZkhiR7mAOfUi8IiKs fJOAUHdEGX5+dLlj81cec2oFlavE61tALsz/IKw8yTon54ka3p0Cp8ITq3k6eGNlM4Wx+N8k+BNm ZCK+KgKmlJMohMaMvt8mkY04pked4h4emBsZPDQK5geeD6wkM3890dAUbVN5wXaIImVzRFGKlxsI k3tRZmoLt8WrkZ/l6gyPhoOgkZ8aGq8gZ04v++yOPQ2lbdc2ufuYBo9VefPvFypUuFRAt7SBWIsf VByCa4utjHbrb9NW72TsABU+yT5mL0Fcl53qT8huPlDwY3F/50/IVEhV4PefiOEsotL807MJbf6t JtdfzC7gz8M52l+cYJlVdM5ic9rWOiCqEeH3TH1J+rg62G70X7OeNngV3lRhO3noiB/ozwqQhFCd PyM01i4Tf6qHaWxrAyhzvCeCSetJyJJZjtOjuygYwrsqUlrn6KQtbO0U2+qhrp4Rtj3TsP36adJ4 VZeepkQu0jvCk+w+mkkbjnQeRhEZ05QZExVcM6ZLvRjSG3uWrsVaTyHr/JjGXc/wYu6HySC9Suts 3h2cc1syibHYCHWvEIygZcbiU2HDcpkHJS/K0NCrd6YuE5bSfWXw8mQRlGJBt9ZZfIeFW8ER79PY UkY0Xz9JeSkMAGIM+Th7Mp9kPl9jEcCNlhgOuJIirbosNBZm7KAPbxxcdGxmjqFO3jwgCuABM3z8 +IsdFBoiwF8YoCofUiunVyYFvlH+Sn2RWA5W4X7HM4pxITsnN4W6ZtjG9UD/APPwiBXDzoH4m3KS 8xnRM6vHmjZi8wQ1nZjsc55DZYa0Y6z75uKnwUuHuo6f+VHozIFLR4CRfhV6twDieobO2UNo9Nqh S83o3pF8avu+SwR5cT+jNgEKUyFknzRng0wBD3RspK10cTCI26IE9prNjNdEeIMuGWnOoQs4DAlz /POKAIYbVuLwRtWQwQ/6T7gqcHze+QmwHTuq0sCrLtGjZhVBZg0EZNnXJEJ62Oh00HrwsvSfCUF7 5evAlB8+wfb80+MAvyLjaJzv3t00c/KyvzbgFjRwBm/tuXlDkl9UXngLIYyz+vOwlN0g/BEKMIVZ 8j44zMALQbsZiKKyzW593GRpMe5hbpWd4OG++FkXngyxkbpsPBzo2lla6qxzsQLv9Kfz5oYVEUVP aUjUrGgT `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gEUG3aQnn745SdEHDqvpHqQyJKZdczJ4cMcUlWg7EAEJqHZecCMx5xB4allZLRW33F1kthRL0MIs 8A7lBay3Qw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block URNQQhE8kru2UTfgDmAh3pq73Lcsbn32SR6pd0JJQG3AbTzBCUaNdq79Z1yIvyAU4Uju9JAwvqjL reDee0X0uRP+n2svYRrzAqlh5AORQgRPMNJhhiTJIjOp+9mFL2Mp1rcURMGeY1Gzzrk/Na4Yn45N yjX4G68wyS4JQ2/IwEA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XTuY+uAJQVUV0ZE//+rkrr5jNTJ7ETpTAnYJnT1WieoPg3xCuP/4/B+9tp2BsALPfIj7Lt8CeJ/o Odfpx9fys9n2fezSx07iIe/a+JQdky3IGFnB8fPxzTY5iuElJJYUY7nILJ/+RdBDhajGWa5Bqymb c7vQVM9PtcHU1lzPYYzdFVJvo8rH6KdyROTukpXA7NX+BsNVUCRJNKOQRKu2UJfxUFwzTTYf89GI +bx9lcPIVZOX9CKR10KINZzVByWGtOU6Vg376VdyxZuhbYe7JSKeGzijP20FIGqHorSP/yiVOXWZ qke+q9JC84tmYEwakJzbqrcxirbGq/mmwQsLBg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c18P0uDup57huEYx/iJ9PztJhjoK4Votyqm160zaPfnM88bcBoL0EAsmc62Dr4TWV3H4isvla1LG Xw8aj2XP+DOu394T64BbKAiMM9Usmtf88gBxIrxJO8osfhtfY/4vd+R8mqE6Dw6cv0Fom64c15b5 9HVcJi3uWZiHnbsgakc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M+R6dR1mcCR1jv2Ux9mE3LpwIFXNwQDdNbpl1QLyZxgBZo0hLjceSA6Vt/hUHuV8xJDuQzJTRlvG +WnwKuOt0rlFOL6OzcF/uw4s+K85Tv651D+ylJn6JLubPPWRdfml0hqg21X5WJp4b8zAiMykumqq aFvqhxhQ6CZsDsNTcFveIA50debnfX+mSvqy/9RUxlnN85BxFmfwZoYZsrM3D3ZEAx2vXBfjC+Z0 UaewgMvOAjQSi9HRAbGpvw4oyGe1tvXNEpdGItL4+IsjZN4EVXEgEElZKYR3fefwJAWTrB9cohx0 Fnc3U0nS24Bo0Gvxn7PvQWvrRgYdRdh/kZ7uew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16992) `protect data_block D/imh2wtdAFOIaI/NPJw+d/dbii2j1B8aYNv/7Y3eIIrmzeVtg3MxCWPiLpuSVG9a+caGfHefrf/ nSVD5EHU2IbfqQuVxWFuL7MsQXp5TXPZYNZX0fOeQPGCddBv94KQeAEoEJvVcfNsYzUr0uwU6n3D P7o3TMlWUX/oSLxjISJTNp3Au2C0fTK5Pt0i/LRsBS3m/9yfEqqHvIfawgM/32XU5sTEEFgVWVTd Oa1Oq0++zIYZuKpyODxX85qxYKDJVWEJxdIR7LezRo2cat0So2ew9x8cHxn8RGUEFsI9zGLCrqye iQqTeZU8JOU4XDNK1zGN5Kt8eaqnJr9psjKcnSfVPcDPBkdcMsYNYHa4/Gfi+/suA+2elO7fP6NI 6c45gwQbctT4rmluKjtNGTxFvVMbdC8CVheA14C25Ziew1DsFGCxf0bmjjDd0H6GNnnlHXt36XUO BMPQdadFkEjwTS2LeVMvjCV8KHRODWn5d+4n6l6y9HUgWwHWLA8nq3tuHO6i0LFWm+ONWnlUGNUx /SIhYUVrMa48KU6XFg+VvqWffIQes0UlvkLREvk48KxboElCU0i2+RNiG/gG+j47uuhyZgSNQdVn IBOSFdDU0cTRKE9aCPlwIsFo+zQUGglH0GbpxpM0q9B2naH38NSSUv8KJmv4BJ8TPylvc+hyEBAv F5Sv4U2tUgP9xlGBScJ7ptT0SZ80QRHJxl52RFUa6Lbvl96GKAnlonA4cMp9kO/p66pBLTKErrz/ EOOirXLsr3V0yIc2o7UUWoYkL2N4/8Pu6Z1CBHtuuGaNdRcnoWFXrEWV8mOvGR/FKGWVJNahqqky 6599KQyQR0xF3z1WsSJmQ+EKvaYa+mvl7/SWpvBpzYQ16njzkHxoAXjbwvxyDgT3XZWCfobkH6Pl 0c+jbWdci/pSfTmeDStyl9AbrOz5DZObzV5t7jymahHAzmQEKvlMSQ/Ok058D2HPoH88xUv2AMjA CQ1HVx1wfdrjzxtAvs9EXuGnBjiY5C/U4QzKcAigM44etIpl/3/MzhhBmnSgYOxPyvtN82O3Ha+s w2jLn6J+KLFN2iMhYVTK/R0fgbzaRk2VYCamyW/lvkqADQd+BJ7c8XSol+DI0xPNemfUo3fiYBl/ edyFU4S6tlA2fJTm8myq51D3vzduZfMx/xTnlxoSb2177TlDVLV9IUrOWwvfU9473n6ePULdw143 9LQSPeJCSkxcpfL7yL9uMBVmh1RXXh+6KHHbXQqdbvnKvKvlBhLAxaHIT88haVkggeT3FambAr9k ZsTQ880PJa4Lh4NfgI7WNoFb80XLQ7B8C5k8MLwezmUuD7WejTN2yhPaw4mL9Y2G/fqm1hTNtitK oPCNgeuTrLlcjlNi6K3nrJWqRVtkA/ZcjqZuqtygvQhVSiIRwYO05rA1lTTZsW9nxjsD1BLpi5Zx iNRJkPIxOwNW9tUvWU3MmiXMpE7dvs7Ptkm7kilM+5EQCI1M827XHkN1A0wonPE9pvAhUmHIbmV4 MStH47V83qO03KAUotpNS13hj3Z7V4tOTQ5rk9aHQiYi9ugMaWOK542UMDZwgx+guqhOH3+1STGx mWhyq5nT+he2SDrHNc7loJkeCEkpEgmQLh/Tn+jql8wK3/Lm69RFj4EohbK0AcNsrzjHohs/dKxh /EQ9ovD4nQC+zHzPwDIsyOhCe46K7SqPGMjFnfccstjk42ZmljmlLuu6AicIWFyDC8EicBBJXtkD 33Sn3CcrQrgSiy2Zdq1trSSFCRtpIHRwUa7ZEnJXrGskhWajVo/LG3uvBYvcZ+YKIGG8OoGPIRCC fIrYTnrzXnM5oxvIx/GpYMUyJ7a1wRvwdfR2PD9IavqDCRN6WimuK4CjIyb8VK3hPh1zGYcUEoe5 StZ/s3Fiqmu9u1TjSG4ezoj/YIeHACIl/3lWhbCcCnwJXco5j2HEFnYxnvqhHb+teYkaHQlpyFsU zdWcmU6kmXooqhbo1URPuqZxmWlThntzEZWKUX55FAWzwQuQBrD4dX2xhS6eczsti1WuwhPdf/yK tcG5nD6A7SYJBvIsall4mbsJXKV3Nj3ATc1Ufg/Hd8gH7hlNoN28s8qPROlr1EIVzs1Z5xO2H9pP Iv5PGV93aBrjTDLawyA1HLOqyHCr2n/B0t252icOuxl2+hJKoEkpk1PjAeOp7MC8Uqphk1SoE8nN yBIlV2hv6FcfDl/Yaau3FiDfbTScMgaYUZhAk2P0NCvZgMxh4l1vORQFMY08yC6mfHKEwpXFDUtv OYncX22L5EDuTz5At/0oxqZNj2Ly0gSFvNoFEAfzFDmeVIQj55tn1H7X37Pmg/IK1wLRTtviUPf8 yg20B1dS1NUIi0QiwWs3PfEPibECmULcXePhRmg3oVlAzbUCexJEmq2muUGSn4MoNO9P6DmJk2Ns X0egmhfrr3H7HQOgF0bYxjhyTyD5UeM5ncYCSy8wvb+n3Om/2L4F+BXbIxTpCXn5SOBsqX0+rO5Q 9hNDNRe5TwTUBAAzHl+pEZDWbExsCQFGMqn+OH8SMRRj7iN/Gu+0Y83KjTP4jFpJKjKpXQTaXyUp MrGR9Yk3oWuCULdAj5ZYIJTy+VrmMEmhUfCjnNPZdRFeedF1HNexNRUfP71mxtVXHFNydpNpkiQH gXkRgz+np1HO0fCcaIDosMxFGGLJXeMEnznVv/ODjl0k4XSITxVA1WcWzbsEr74YQ49GMWA5O07U 0CVYQret3R/631e8dFLKu0w83dv0urUGx4LJZ+sRBdhFN6LOfJv7lQffMfkINpwVo5hlwtM+nMv+ VOr5/wbp3daMNE3mEi/bwfUy9O54DjSo68BRMSKjMUM8ESGYub/10E4NtEDStjMY4zf431DByfXT HghUWQBeLVW9j0JwTpdVQFvNTaFYbH55AP7RZPcCg6fJ4TCk8aHsn5WAKKN34nYNlAqepdDMR99w 28k41qLBSdCu0DuMArFDbQrOsdIOEbG8XMiQBAQ4HsGqQ/S17siKYtekXpnK9ffo6o2N4yPGYQTj CR4X1wvd9qgdNP5vtAYMu7nUdM47nED+bxtcLqgZjcnysOG5Ml7szCmzuEtOxh1Ij/0JilC1MkgI orlvvmfX9D/9SgIdAyFOTV58oiJ9oP6zhh4GdElyTUOpJJl1TvI3vM5Eyx+5bV7Gx7cg9MQ7ToEz bBn+5ZySg0PShKSJQWh3fg9ABGLC2hLhDu53W2ZEnoRBOt/eIIYsgxmkBSXvkECq4hfkiJBhz7s6 3vPoa1qxywE4GTOwQBVdt4wnil8eMJNPq1AreEwp+JmOs7JUr0N1xRG+KKAilVinnt/XpfkYFunS mlRRwXszHZr8EZenBK34+uvQ16MWm1r0OThSWcwEp32SsMExmQ/owagnznvyad+kc4CsyYzItaWR rATKr2ONFTGzeXqxo2U1ere2Mb5hCqS8dbEzThVyYrMWy6ljAGSUjBW7FG33AD1AlxNvnofDyw1K +Z2LclNVFZTIToLjZceIYwo0c1DHRtSyFVX6zrTBpzpIDcZEUs9aThIZmKmqK/cYudpQoDqJNBEL 7Qu2+SP+o4UzpZZ/wjV9dezdik/d5QVdRLqafpXpW1HAkbDW122nS2OdGPvP6sYyFkmQPMsgKyz6 OcFEDGDDy9JXg5/e6Bkn4qIXZp9d0bB79KP/IFcIsZyiQ2MA8O6Ri62oszOd9vSwXKkZw/H7fdV1 exyv4Yqd8Jg0faWtZQgYeutyDR2fDw8C/utg4dh7Sei2HGvz6celMtx/CiACTzbcIz97j77ymLaM eiV0hoSnrfn6DK3GDIMnyKaKPyKVKvWUlkTBB0bnRz5gOGNBcRIrd9Mc9IQNAf135M2qhbLlPYMn e9nDBfriXoJuVaw+nvdHUWMlwdssgrimpWzEU32CkS8d9loJo3ZnofXK6/B091lQuMtnbBMeQ7FB TxB5jQXKQjP5GB/9IE85kHKd/5hlm2gw0WGf8LLj5TCgHJ/sS8fzkSCXsePpUuucWwoC06ZBx3EI dvpQbuFYMiL7U8gZA8UIbErFbKzRsPCKVK6xYSjv1Y+//ZsU7P8HxQK4ZHH/M2cR6FCvDCTqalRt uNmEbRozbr2H4r+UXjsOqv62skJYEzCoEhnZCmNWTxodzrrNE3T2I2S0tNiFuXUm6FaFfLACv+LV 0knCASgcc2YRG8+LMMfqP+QQaQ8BxBH997FKam50xFSNbTSvES9nOwmpf9V3wPeYSND3xYtp/YUJ T7dKjW7s8VZfmoEpQJFatxHBheHtFEGe7yFlD8FLmerhwRQHAAGO36Ur6NpMw53oAj0IvQsC/Xam x7SnUFzQWV+UHc7xxzWz7JJVhv77d+qRlsuRZOqZvnuOzTW2W4fwrm3wv6pi1L76srqvYXtxbeUy w2u9XUapFQaAUYocqkfSrMsOOt1l4rBa1DPbhOSxWWY1esqQo6/4d+5AOAgBqZ+6Z2sqWwI+GSwV BdvuqS514PSbftw3I/lR9FUSyANifD/Q7e+XXq1DxqMc8FSGx0rLjSAHhzMxNxYUZSk9e7Q580Kt O0J7H/kNYL7vtZd+Td3tnGVLn0mYu7I9moPsC0qtgUTp6eii2agznUHL4dqQ2IRCFy4oUxKMhYg5 IAflWMcZMwwYVaQj3ms7bGXmQi/k5M+M+KV/TB+FfBBfA6nAZ0q9OxkWa0LXirReqdLhDB+4nReQ eKZJ6KXcyxBAfnfsuOKnnObi7TbkgKVlhfrxI21zqB/iOpgebeaBSBDPpovHJ9yttp98oW/ZOvP7 NpQXjEledYcPTwDnxemMScfmjf3hMG4+7Mm31WSztRxLxpo7wq/Tkufduhb+JW8ceEz9j2UrKjqR 7f+V0UBJoSvMM7hJvwBT7IoIXpQwFrjFq4ytb6z37SOeEIltyyjf+ckWEGHkkjeizxgwSXqeg+1h nB2YTSTa1bgST+06tC5R8XeeZh8aBVcYHRKjz681x60msObJqkCpwwIe9fDT0KfpEd7G1lxLJ5A6 LTDyILZuQHcX7Q9r+fi06J2mtqTZlbXmZR9okEP3x8kjNfnBzW221ZsRRbHm4j0YCaQovV0vEjC+ CaQ8YReWksapUdYglKVDgL4+uaG38Aa8G4/QkET5gHqtRWc6mD9bG9qlMECms/MX1Dbv59vQdCan 20mOxs33Frpp3z3Roglr52ORPMsKVRj7YX9mFclzOME6aBVODra7Jhv2APZJ4/r0gEtZTnqB/W// r7o8WFcwlrV81k3sLDZwnNpnKmUeQiBNBjcfplV2cGWz6Emyr0b6iXMZgRPygCHuroOprR3gvmi1 oIRS8J8jkkham+f6NbgziFf3RGBddIIQSgLeqmzLJiy+ooMMh8YJ4+BH0brVp0h+kMCMhrcGJgQS IW7V57WjkxTG1OFRAZuWTnK1xXOarwuU0KQBj2RA8xgkGZfpia33AUqz2w0Cvwn1KlOakH8OrHR2 g/K6R63qIMj2sXtbBOpg0U/9mbIQGRRCSxpING4DpL1mKeHAFM0HULFdhFZj/mDFCsvjki2nSLgT oeqokpSMXJPxauBGxZBBIBVqU7ukA+rQyaFUkuWL5f+EzuLESSVYeFhzmQAbY+r2pjsr+H4VV4Zn eDnJrMr7xs7cTe2X2/B0aqQ8is1NsVtA5mGNnEmjF+E8WZ4/NSUM2MhrJN2Bv85JbC+xL7OKEQcw DAsRuDDd0xxOLrgtjN+RptZoDWuE0289AxHgg8EBjQSEkUJ+xAlN7i4YjO0SttmUmLkRCoxdoV+d bdtouiupStgIo7+hsE2v+aD6r8FhG7C8woao8oUle/szRRYCqxLwpujPDqd+HdTIvnNHWNN8Gy+n o/EHXJ63K1CHcjMuzfhGzca45nbj3wu3lA6kK34ROvRkYy0w1hfEWJ5fjuf+tqSDoxZuRQl8PsqY 6l4ltpHk1+omqJvGhBAPiqZIIeV3K2Hf9oMLo2xLydBCDhKZa+2NiMCiYQbWwuSAvkc2YdXYNKx3 0SCeRFTqgMdUNcidQjoUDKwmU0YTyoWeTOqDLdszmyj/Sph7PTOcnwbxfuj1gTi3gABRjG21wtyg LhTyfTmWCM/RVKDuu8Y7PWHoZ2HlLb6tZAMMBSEzlpmnxFpE2CWSO6xlOeV3KgvcF9h378Pzl37r ugp71yZcEonrAH1f4egbMh620v+QteyZHD/EfuoLz7MeuYAdgnCz12zNBxrxEJQEzfCAKL+F319+ Q9q2Qvh8khiZO43+jtTk5I9GzjK01Oe0oiW6xKFhFHREI76zxJwQnxNcxtiS16WgIsAhnvnuSble T32GotZ7Zn7FezR6rlJ7vo1cCTeGrMa45pfRQBQyNYIiqIyKOLkI94bJZGFOcn6iy8ji0r3YZz5M vUlsZZgcbh0HiItknc8G5rSP1ObaalknLmMUJqRlwQ77z9GATbo35viXK56tw+yBFkGB3+eW66A2 tWksSUM+63Lm/QdxFJJfLE0bx9ZkplKQf3Z3Y2TKK/LiGyesIiypAeXr1BapLv0/MkpCcJT0yZ+r y/GtqED9BTaQ/ZZtMxkWvVx/KIobtpru0SPyBFCiW6ESy+NmrKgubTZTQAcxyJ2m4A+IoMPiuzNR OzdYQ5Qcpc2B2YRfW3+ESaZ9avPY6jQSDoVX14CozwWgGabhOQGJMs46kz7SgvhgSre7t7WsN/jU gdxgWciaPj0yTWJmfUNnasNeOKiE7cxac+9qSp3vJw4p9iMzIbm/wBTg6YMJLLqwhqw2tZ6/1lVr 4nh3UzpCrwIbhOClX2l65na7b3/c7jq9OxOt6vYSXtYkqQB4ZoCtqc9YmRs4vTIhBaOUEjR0jPVt ZeTZ7KO13A0vMnsxdgnJQ3usuLNrJK5fEdefv8+Cosjsnq8iPkpGQZtM64smO/WBZ8kdm7mfOBr6 rIZ0LcbpX0NCyU5DPNrFsLzsB4e5GfzTGJlGCYjecxO1zV6O/i1s44oQsnHPjjGAI0+RNQuCuRn9 EVUkWybOzo5XSjoKhyZ0hQvhgOJ+h2SJD3hHGLRuU0rls8wIqzVdK+SsuhrHZ5RCd1O69rM/njdq hwi38/xpTAKJaay/9F36vKeReCgQbeScLrrU8tJ1BcHfJU6C5ylf0bsRuBwtGPg3fSVuFLH+xaa2 QfzODPmNUx6fZ7o8bpzXw6Uio96p8XwHKIfetjDNRJp64oPrv+kcHeaZ9vf3xiR6ProRAS8zcekI qOTqpjhGMNRj7SH9OIFjZYW9NHy1/Inp9NQQ92ZqhSO11PBolvXdl7PqGG+hQiwLoujjKXYOxdE/ +yAgRiCv/j+8FLAH4TRUwd6tdWxn4Jo6H2caaMWWUfuy9+YgkLOCRdNsGEQwWPHkXVH1f3pWcTKZ 8VRI+63ZCpB4X/VPAgiY8rA/ibwVZA8dvhdRELajzJxRRT+lC7aMYyv15Ie0hwlfxhrWotyEAjgm QBr/BATgeKijDPKhcY30/hWAsYrJGFZyde2IgVKKrWTImb4tKn3vxKGwdAWGzXarScL6keKvQblv sQiKhWe5qU04i853QGaXoB7FxWdPI6JnRemZdXQM5BBstPlMUYNUClZtFIK0w9CB35fdIh5V/7ym Be3GR37DCZp6HMBF1nCVGaWgR+psAjg11d6oqE8witOwvG+LpMI4kX2bPYBPqCiuSWdN897lO/lD S2F2+MWejQMCAvfL7AwgDSk3TERr3+xevnckj9EFe4Td3diVjJBAq5P6oqlgXQFCcNJjaRDajbbM 3C93v6frI15q1D9xb9wuUngF9uNOKe0mmjSnDeA5z0ssGRnrzpFDNHk7+G3eCY5gYlVrziL9vp4x YufzO1rIHvjqtWR99WF2BsOg9fwnri9mFBwstnNdELzfMUp//6G2e++alRIwyKL39yjc75FhZCKB us/YkdVpMfFmj2JncNdVYvL3PIodS5UCmDVHra5DPLc8Jq+virB1NBUYajSmn8wZl5DD4Gct9QlP Gh+wK5aZKlrMUwksXdg97KWY5pt/1inTl8ROaSfPhCSOZBbDqbDHwlBZkSC0agQ42oUsgQNHjAoS oSJp1u5Nqr8E2XMJK8Dxu/3J7SV44Oe1qubgnNy+HjcB4vqIVWjtQaKgZ3LWk1AActiIITL9D6hJ t6fTEJ7mfka6EMGtgxeU2WsZw9KS9WxbNRB6yzX/NJ/MCGw9xvG+bk7dPp18ELx8ZSdesjyuBA+/ tR2fW4ToJVLwPmUS7k2+8hMSlZYq6JmoyCs/LCxfm2LBrcFaA/Nn31p/Oi2lsL7lK8ejEv4t7a+x NW1nKLApZqgR/wtxu1W4rLW5Xja0ozyA2LMYVkSf5u40hyNojRg/UQPP4j+j0bQTkJIMDQbBZZwK e/sSUZSXLhhk5oETDmmoaw2l0SesTOlpAp9+gbGFfoGqUQqP0kySWWY57nkZERGBP5xXb3B97DOg dkxPmkuu/4p3eHUtOZ4Vm4BpLC5mRncXEniiAEhogsC8hXDf0S3UGdl1YZBZ3VR+7/sR+f2nj01a xXz6HUrjAPof5NVNmrWitHpQxMKpjZsWQjvB8jBPXlmMaZ2DVvFavhkCrJkJ7rB1zgvjAlLNPK3g 2zNk9FmhWAes7j6H/wmv5E62Bt6pSYQ1SLOokPiNW4iGOQeCLxFV6TrFru3n+j8h3FUym1xAFrKq PK5l4AtpxW/3wK1BHJDfFexsCjXJrIZG+dKVaneLCC6s1L9wWN2OvzYpt2GcIFNcUB8c+C4uU4xI oHbWSFltH63FDuQfiuUp6kV24e4IUUCHZT9q5EDu5ZBWi02pV5AeHLrnuGgzRltom9Fev6Dj15qc 3oEn3OgS6eI2mJYtoW0z6o6hY05/0O3/p2UpAEr/Pdh5IKSBhDaqWn3ajvv+l485UNrvYiqkaROQ /hnaMhrtgNeHYvQwjSk8HsAG0a8ENCjm/q3OkUD8aT4FZyo30OTP+V+yuRsq3X8VL8NyuNe17Ixv UhDYDjfyLQLEi+SODhCtMQOSTwGQT4MPRmOlcHjnpDAbk0BJ6DS+yuRo1JbagDzH32cC8/gU8pAp 3SIt2iS0EuDMh+6X4n5rDRfsddt1Opz+HI+KhM49c7/YhdmrXaVSovvOybKm0bxWDqxhYYz/zncd tfoX1Hi6nz63kVMF9ffgEV3clMvvSFATgi61AqIrihRHQGTBni5oSMFSEWqus+OeqhpYDo6GLGeM 5n+cgxU2BBYd8lFs+MEyWPjEnYOFCBYSm1rZ5d/MX+JlUfnYWQFkmFFwF484wni9CCPamWeHUggf tLbyJekV0L0kkT8m0ZmA0RjFlWUzZ2tmlfRXQUMv12bXjERKnvFJrpGpLCgp8Nyz5Of3pAVqMvfi TZ7CcC37vFPCEmdwI2KXKUqgkYXaTwpn1xUGI77/YhvaFfyAUQzSWkdxdg7JxyQM/hl6DVtPcgpL y+6iYUUsFtT8XrudwmhkE58cl+SWtMAE7Rx0Pv6FI4M+nRX8Kq9M12mxIDH6tyyFQVVcijYM5Lhr vaLoDZam5GoJfpaAMUaDOBFAe+YX3jUxQpCi6ExvkdoUV0vMxRnk1647QPBr2IoTvzqSQwfIlz0w gV5flQ82LEjYGVlbFs1EissE1cdRFJ32FGpxa6+f0Jy5yP1nU27IFS6ruarTT7BXALTKIlyl1yfQ ViAW0LqIf+khiujQN15F3c3HlmlBVBp8Nk/Eqv1VgtrBs7bQ0032AuDulppbkPmE1cq5hBX3W0tw qlYteWu/vRw45t72IMNA85Rmue4o6XELRpXvoEaae5ZUyl0OHm0V+8ErrNHpIwkd1A6wYC7QyXxt UW7OBMBuWltIqoy85ewVEAics09SgwX4AtQPk5lPH82keWu4Dvmja1AUH+PPhgjt3mDkopX9V8dr rXw7Ou7Spv7Q1e43AcGMK5hJ+KJE/6p+qjkfGCwCZleekoj87MTj/2mhhPQSm4S9bhOGlZZQauiL uaV6bzRUJmVTTILHASlDPa15N2KtJgkjyCJ02vqYULK9XEtGjQeOTB+A1c0GCxfbUfrXyko/Focr ISJXW+HQ7O7YktkpjlMQIEbB2e4RBVovWoROiq09gmU9IJuI+DIEzBpFtWUoGEc+ODKnvumhqBWE 0+mkvnyeNYTXJlS9tCwr+MbHB67Ox8o5dJRcjn6homLWdQoILyJzWMTpTq7eymW6ku434gs7Rna/ PRlQ9NReJlu2arF3R4cxw23hch6AFsK/V5lBeGYQWpbWFpasTmTcdksMqpVIjHt054+YTDS/UlrD mmO489Rwn2z9ufC7d36pYUApITRtAtWznPj2MJqK+6qzJIeNVFzlPpjAoULMUCZKxd0ST+JgTX9B ANXe2h5WcnOXiFxrA4f3IeT6kxbZHpMoYQkJ1zft+wiLdPnB5lHNwsXA0oU7x1+DhRVD3kKfxSDt KDlchV/Rj1FLWdWyOH4Fe5LSKBICZtWLGFgHPwfAKrBHvR8mA9jQx3Sp0lrErenDxZ0FA/tAa8Ua tmTWsufWkJ/kaW90zllJFAcPMMCTs8uVX6Ez1f+MwUDUrT+KndhqFciGRM8QjUc8lCAZhitz6UhY /po+WUHgsVVTuteq64GFTKY7i65e+IOZOAYkCfGtxmraRTw3IqaX0Ai15ezwhZRHFVxy+m+Kxblv 7iHaRXX6yKKKcZp//b1U26C0fCQ/NxoMNWriy27Rwv/bigKGtYQzLmSb9xODUutm2amoP3FMCXZ0 tuld/Zy7SHLCDDdaSY+UF2HoDMp4MUi4fmO1FYt1iUfF2IMFldX69vK/Su7hD88nFxYS7TeWF+Mq Xmbz/BjNqROGzOzoNfY095v+W/H6u4wu84YcjO4nYlI+CJZ0rvJjvZVCu2YgnBpGTN3jmWXIC2mi IzjWcHMegx7pNd7uuJmdRXE0roFQOfjXLCRmyyhZjOgTHJ4Xc5HmNUjRaeAUBq7EvpE54Yii/Q53 prV1QNnpOyQuHiKljZdZ7loh4tFXw9rgLllZBrHdiED6vr8uRtbUClaOlxmvJwX9Oa2efTx96mXm VlRSDMtlOtcJ8yoeDOGo8yBUpViVAwBa/31hyoMM1ieckpCeKGzG5hdaa/7US8J9MeLbzR5zs9sU KoOH+vK1BGA7i/SvzZMASIif8jDlwTaXZk0B0GX7B2lHZ1cNk4x3ZJ9PJfGKXjQS2AhuM9ANpJQM /H2wd79tAm6Ou0t5Fos5RUkTz5rtQn/yN3ycmshTEjB8rW8DaFSi+NefGFx4ZGipI0qK04T8aZ3Q oj7fgu5kTsjzhKUklBNF+/neYHvGWFxdMi18id5y9s00ck/kvn+Mm4F9fG5mc5ZNrqATAg+bnhPJ Unp/Vyvyb93kh9A3fK34pLCckG4u2kUlHWDHoB3TTFGT9R+RJftH4brh/t9Zc56fbhkbmxGK/jum rjsTsa+m+UAAGtb8ZivqlE4C2gw7umAio8AcUr/h7NYMnVoHQvbIS6K661WVu761MZ488d4QIe6n A4ZCwQiBipIVXJbZdpAwh0YUQoxALmjeaoRNfo3aqgamMo+/OTe99ntvwfzxKAtwV7i9RGYnswSu XF55Xm0DEBbA8rFBwvdfhdR640uyp5FDvIX6oUMILOB5DnehdvfLBqKWZfD5n9Qc+76fVIK/dGON UfASAe0w9li/WJjjhMbfy4Jbu+hGeIRFBob0z0+v2LN3LWqnBw9GU2y52tixudlJOpcPqCLrLHn1 8oKC7zk6pxujN+wHJ23XpMrV7fhiOAj6K00Xik54AvZ/2/3fxmjsU8bxC+dTbMN6M3XPfK4PGp2G JjFxWQ7vMWiqj2YBc4mPItT9CKWA8I1/3B5Hrb4ewK19qDOHO0HGMdVHmYM5kiBPDF7chiyMWNbC xWtKKCUcoYqtfI+EwXnNocpro9lfyI9C5cb+XS6J4/qgAn3EmQ6auNJAMzAHTCF0Ls1AMdovipkV Tpy3von7vKJ504Ol5yLI/5JmApxOMSH1cm8JoPW/pr89ypSqUUoppzooJ4WS//mFhB65LQm2sEvG G3tQsTFaKgUTxR9+qoDA+cJMcuA5HWQVVlcZ4cIFAU5lsZ+i4LRaIX3P6rgs7VpnTtYiHPBQItVl S18lWrwzOD2xvMIzIrNWg4RWTlbF8DcY6wVotvlqDl6+mQIyLB2KVMGL+08Qj6U1ho0nDm3uuzvl 5ZkpOAxVlZuGjjifDB+hjqOK9PUGgNDG25X1J9pp8xSE3XuJgsz4EDQTDgWV1/oIETb+BOgfAKrk Ie6XOJmM2jL/10m+L5AUASwby4ZlbSsSil31I3/0kG1zZ7dR53UrCNRCBnWtaCiT+ARYVPUyvNDF NJ2CDxXi6ovo8kuAYOuB29TkKUFSIPRtmqtfR+y6EU0znjPggFVFPkOutCY8GVhp7Cu/ZnZlQ4kK xAlPcSvDv0P6Wf682ub5/8YoKdLCo5Zr7lUXOMBLUdL76BsBozjfrZBaYrDzMBj6UflxJQH2MP1u +nNDfBzK3LUdyCfCo3lYk1t3DR3+ut7etoHdBSYqeYDmaoMyAF6IysRSejvvZpJROmlmRAKRz3aV 9xHs4FZJb4Z/g2a4L3cmLJ89pCsogY6cHuogoBbbtQRLrfMQBPfNXoXb2TzZhT2goxRpjzixAZyv i03utqBnxO4vcfbAaadRF0W2xdm5fN3zkBGvJ2eHS+dtgwNA7/89SyDa54E7Ca3HJerl/NoEf9Lu EPy3LPkfOeuk0Qr291Z2nhLfRlrMyCNuWBPa7EwGRXfHX2XfCOU89z9fggsKATuudXWQIXNpI/hR 8V/w/lrijy1jyPZ3hrksTyyBGFWFFB7/TsvC7M27bF4mSZ5a2J30FXrq+2d1JYz+rbg4ibqv08V5 MfYY2VuFR0UUsFW9kal8u7G8WB4pMg68zbntHJovmwSwvulQnKoXoGyGptNEeyHNqqfoIOByvLIN UlTg2ld5Q3PSU0q7yH+RgpOGFdV9qGoJQQ9iUrmeEl5txm7z6AfQvasgxR8UF6mt1rRSMfoivjhk gyVuKFAHlOHiY74C2fW1RdIp2Vl4j924Uwdf+1hhdJCamqVuM1Zw9E0eH7CXk86TXxnrXIJggUDd gmAtTrxH4cv7bjoOU15nbaFyLLE/2aocOoAEbI6B59Im99BeZMKgGk08Jdac0gRZ85emu0Kp4w+9 mrfx9aQvQypbKtQWk5T4iJeeAIWGeAhJLeW8DT9sG03xV0A7riN/3/gPA8c/Z1UzlN5bZnDhMVaA tL/jiHN0ARecUZL2y3c5fkZe5iK4w0kv3hr/bUIWgsrMbVby9A3YyEfKW3ZG8t7VYczOE3nmvuuG st7CW2N7+KsxK0vzutGfzjJqsGkGbS3FFVrX4NZeJsmvpdVf1SQZIM3GkMbJJczxCO/KGlaaK6hz zUNqEgQtVdcXCp7jkQW5ICbdw4qiNtlQDgW6apDAd5yXXUs8N0gxNz1oPFqw6BdLopqgXrK2YgGv NwtBM+sfj2M0QQXbUfF8mSR2QYlJ47ECzhzcJcgSk5rFtL+3Jc9wUWRb6QmPxBc03DY3vQ//XgQ2 Zp0OvsXeYdVw1G72KsxCnLoVSmXzZOlu536/eudwa3o9g+dAWcx7ynepF2047GwHExgQ7s6mwf1X J7SEaacyfE+DYvJnXsizDruwgXJzUV9D8FymRz7GlnhcuIG3gZjnhEIzQb36b3rwiGQFFvb1F7bH GvwPTDDcVincIVhhB5k4hsT/+gvPfTlKENmO0n9JhEzz2rQnTOZT91sdjO4/VY4EduQSRQzytP0Z lTwoy5OvJ/Vot14ETuLZh0y00umeI6KlzvyFA6FQ6giRPIjEZnkdGVSq61Lys7CmWR6f6yTPZuJV H2rfR/+IdHmDFndZxi5wRWuDq7KkjRHwRvzhz/rOHo3fzpIMH0gRTRowR35oDNhS6Itv7gy9vWwz QzrooH43PtyGfCvtQdrCsBlTBBtZxnfMMKXHQS5aIfkOEz4gTkEOzCjRt05GosCs9JQL6P7ABWMi 2vHLaxAFKMPPgf/MGL/wDn9S2NMlLPJbCQdYOR7CvyqeKkP0H3WHzA6Z8/7BsMAfa+sYMs7wN59L +3ER8wyb/8ArgUWTNVGgn/1i+bf4Jt3CseO4oEp/NDDZmZmHZ5n7hQUdFIg/Mo5djtAYl2UPxDal EXwfhpmqx7wfZMCohhsxx+Ye3RyBvWn+C3aEP9K59kuPd+DgxJd9izyqDhk2yqrXEMI6AYoi/9pR /Tvv+6dRA7F7fXL/8axLVA54tg3LGF7lmAuPgjD/9d/hrXfvkrezNuUyFWq5CVJTz0z8QYVi9w30 r5Bnv5fE3Tp4hjZSfDbWYhCuvlbJEm7yXeng8muj9N8m+bly/9VVx9HU7k3+d71Z31DFQ2e20qQl jMcam6Y8K+xQ8jxA0dYx3NvjqCGIKZv97DAJpqQl7AO5fRLyUhVLGpT5DKftj0uFn0bdUv91EBAy PkeCY6O1d8yH1FKQWuP1jzyhTp5LszjMAgh829YmPe3Maz2GsCNdQXUO47kuE85wcni96Kan1JFx GXu1IE8SX8VdnN0FgJuko/J0NWZDmzpJMaDekpdm0Ao2T7zL3Gp6UzRZsQZbAmK2/L5aRamYIKk4 FER5RVoB5Upya7OrnEUsKEJn7OBXxdZfXlkDyiriwoZ/vzprEbZBBAUwxz2vWfbTZqSCoHV7piTZ kN3Wu9ywtX5KW9W+b1pApLYZvPu0iCgTg0vqjGaNIOnUekGxN80/0OtYv7yOgrWEAuZScg1k47+T QmuRJqjmQJBKGCe2QsEyonxoVAZ3isq36Pk3xNC4dfTNR8cybWutHJruSU/D1/BitLpLvyz/6eIC wiVA0HjK7hhWDpKk8eTsEV5VrxEmMh+P7B8zoNggJUveexZLSkVfw0pcfSEmq+aQz+hNGAyGLHoP lrquwdOC88aXzkqEMxmEkBvD1Bu+p/yhyMbcY/x2B3O9BcfniOhxnjdYG2aWPEmYVvwLFtvYvcah gtRIg9Nf/coW0b04LzcBf1uz370OT/lCJZDbdPyuDOPCI5tc26zsy+ZrGdKrsjfMp7WIUdPWckK/ ZnhrW+E8qljrf1qU6GIfs6Zj+2as49TdRIOczXG9TIb6PEziYHu1o/aa0n6rbSNTZu4pEdXfOdE7 5j60/EBAzPNqg+a3nQwWwOngLs7EfkCTny5AJh8a6KKsAK0rD/v+dQLV1xEtWiuhgeEFRccx5ffX Zx4GgUGCS3jUZY6qC3jDh4Qw1QSxi4EZGkpRT5X9QYAJxtq62cCQJ79TssLjSG83w5tKZkrEsYPi phwXTsOgoBa1Za8hsfOdb5Dd25wYly5oQbzfEWWO/NHkSGI42mXHTFRaqOp6J+H8JnHR9jNwvOtc XIQBSBYpOkve/XTgZbLSMVYcgtlOkFAp30TePAiDtroroznaesy5UFGWno4FKaAWXJcVNKndo2DW qe+HkKfy/CGP17zxo+dzsNarNI/kdg/07uX/Ney7/lfwS5Yi8egaAKk4ciT0GhstuMIfU4A5kqC3 SW9Y/JoNVBSaV/rUrXeUoxmhyEc9VSzVEBNh0KRmI9R+n87hJyGYolfMa4penSmOjgwOrDZ+Ol+L ZqDe7qYvW9mA1WaaxcG4T+YdK8vJQnpfLXA+MOj2vI8i1wNevhVsaJkarxK15+r+gauTRbh4+Z14 J3mFppetZtWUe8SiXBo6+EghJZLKsn7/V8BHwWPM/aXaIIm2DVoDcqFTRUnMZCEutyObeTcyRWka YimsHxIkyQUH7cery5XTK8emDPOKNw0TIFqTh9A0WN7wPPtebVJfRf6FU3h4dq2sSRCgkViXTtVG SPc4oS3frbvskZTMPNCKyufClPZCSpwn32dJQ2/fo4EC5qv4jIXeyZ2SrB4EGbh0cxSL5fsWh3OE 1jv5Krp9q5lg41TMvuLbQh82kqgivq76eDT2buvC5tPxwoBGZ6FKWFAW6NrgnUAS2o+7vwUczdc5 e6vegSczW6Qg9i2X4GYhaKvM9kHxxw/oy7xg/peKq3DlwqGXKejWjn7LvCia7Ztu0TjvsgiJRc2M 7ajiAY91+LhgPYAExIRWN3RqdPT21N5gcvQ8RTe3RwJyIhrtrMfaSuS3HAdu7ijq/T3N1LEmZ+T9 z/RrT3frnhWvwEF191/J2cfFr0HqiA6+JCH2cJ+lyKIyXh7w5CeTn3h4wZBx6VXXVfMrTESAwjJb YMU+/goz5OxgDYlVMhTGvlvXpxEUUIxMJj76HV7awCb6icCpJ0m1kezxhFrgdD1e0C942Oeh1asG 3tbb7GfVsam1hWdaEXRFRIVf5fHY8ufYZ6fx9qVXiy2mZwUouJ9j6lNgJnJaq4AFwJkaWJN31rZS tCh6JBYRXLa9GFbhP4hlU/7YdEhuf75Y4y3DWFklqWUB/ocG0x+Q49rEe6/b4zLx3VHD95KjPfDV w4tMkngKaoA7APiqYYMlo++JoWOR9qNGeS2e92h9wrKw5u6WPmmY4h2hE6GrSxH3fkqGIlvYgQ+Q E1bJwfG1klkE9Jiku0MmnnwYhB9qz3LxTdJqLE87IRP21UMETJhkJ18im80PY0PPuJhiWwLV+CqT +4/zVezp48zNy4sDDbwU4PXR42oqMuGPAxPP6kIDPMr+EQ6WhrKLSkrFYD7lNOwlMypZwOp8mdOP sllXb6XXROZNWQdf8Rq9ihWh9edeqdqm61yiIarTKXG5sqfoSm7PWtkseB1IgVDjch8Zc37yLTpB yMj3xevSWK2+KAQVyebIWn4keYl1H2XWaIqRo3y0nyGHVk3POqlP8F1Uwi8CcdcKOXdgNUG5bFZq Z1wDZlj7Rd0aVkfVB3CcK+5Pv9HdcTkcLcmFgvQwWUhaFW5MikiCUKWPSru504RhpfnEfghUPHqj ISFbh2WhiTbWkZ4Kv4enOQ+wnf4tGDcSk3h03hkb93tOcS0ruU9G7i+xkTxhXYHPyzvwCpj+LgOn zcu55YyvHQnZadf8U02imdg3ny7JzyNwGc67ZLLaos61yg419MylWMPzhL0Ak9xpC9Znc1gMDt5f JtF1x3pb2SPxGDe+wzUrvvYZ6EJJcOpyNmpONpceeYm6PTT8jxsJW3RXyj1R1n1mnq5UIz0V/Y6x 1fLwTvXwElufGIQCHDyahn9WlUsI50DhBSV3BOH5evQP2AUHqovaQfC8t0ToYo2h9jbsCj0EED+5 Y02vWYO+U7PicpZKzSOZMCzehia++Pc/ohJ7VdFXXpU4usZatHvAOlc1T71GAafaN9BZQVbwtq+s A+T9LSY0igXx/KPiS0H9HhTzdBnPg7K2rAELP3Ukoocf4xVHkSFLLEer9xWIKYoQMHEqWKijxKkO h/Mo0q0D+2IM2O2fyLawoiAxbWdzzABEIVo5cM+/wetXyq3VKNsZ1Z1TPm5f3Hs4i1UZP1zcqe60 cHLKvxA2JvkV/SBjDBHvpFJCn/IqPwTdXyQUiD8t0GjCFpLMb1ScjRv2w4CXG8Jmglyniu+qjezv 9AsQsvWY3HnlBEuJ+xteQX8x0rKZNppp73129kAiN+pe1QpUXrfMhw2GyTIlRM9kcnPHTAQTNgHE 2ATTtc1qtYi9GuUYRTcLsGmj/C2Xp+pzTvsI1DWE5KiUAw1xfJ6Aqfn3XEHEv9f3DLPkfWiHPm0k HIeaL1Gj7sveV3UXRqKidznz/yBf7yCJ7PYelbxcQJgWioL/5ggZbNafP8lNaEi2TvUm7+zPu6Eb EwFhWI8nX46Vmqt2x+z3DqCoNuBWaHJACzSOf01nnxC4MkdcuDqSKarv8R9PBhe0ehDJZ2PoJo8+ vL3YBc5VuPGMwV2Sy/wMz8b0Dgz21Aut1Mflo/HIcIfJ4ey3bAFafvSWoSf5+rbrP+4n5TN9l9aT CWAVB7vBCskzKuBITXaC81jE9ndyUArKpa4IjvXMwZ1OYakYJiHo4MecVYQMpx5PkLsK8E/ce0lw iGDEbQ2/Sv1B5gdgRNiaGWGMPmIvabRQ3dnb4DFsFGIVuc1lGfn9n2N5+XyUnz/6Qhoe9eOSg2ly BTPmRrEvvQsqbtpunJKG8qhrtf32Blv4tykyFYMa0hAE/KW6NaSs0Qyqxqqe4cGwMF89K3u8Q8BK ZR2xT8s5OZgjg15FXeFRmZQMLPavCi4E56Dz0wf7Zzj4mywXfsWVCZXFZbEY7AG/8W75kNSb1lrx eYBgQ/iiNAKfwI2xCX+FKTiX/HI/LrERiiJ0jtIdMSz2pu4OGti3cgnnV/cz44HQ7ka0pjq7/XPU /PjPISi8QFXgLIMufVT1gZdpC+3x4rbnBXw6/Car45mKup/CAO1/oE/Fyy/dzrXN76cdAH4zF9B5 tC+DHNZmYESCATmCETJaxXLIhBepCCA9YOBjZ25ncjd2FED6fhwJmMULiP+Duoo4ycaJ8EwAAHBG BjKSsnugZonBtxCn8nawQlRp7tYKms7zdjSc3uhTBq2E5icAxOfqKLD3WQWkxZ80konIzclmlg0Q JsLlz8HmtPYl1A5+ZO8r2mh4ZQwXHaF0Ej8bmBULMyG0DeQu9PidLHXRyxYziv24OtOyco+0Ebtk h+tuM9Z59K20dk7zykmGKeDDorBTrOfEp831ehju36F4rKS6gqdnJ33D4lXZZMjvGlnDnoh/kC8Y RcP/7Wed7wrQwN9pyfspbq4DBM7gCP7iMgkzOLOfW1Ae1PaEE8bhJ00bqX+/QCGeQ0d34NyTLSto SnQYf50aK+OJySmW+nVq4LEVAFmmGSPnUbSWZ6nFDQ12qZVhPB4mTfeVkeQA21Pfeqe3ygGjxtt3 DLegZyv9uzTJfGJA5mjuC8yzwAIwFx7SEnhU7le44ceRGcKYIAvzZ9uvw2XfidXXt4MhA+WL+ISf SeipLCq1FSyBnBXnK0uUOfouWhf9Hj3qq22sGlMs44NbPtC6hkd2FITGpxpaQrablCm70cfG6tHT 2jCIG7cl/ZVEEVUfb78fvL7+5HGEFTl1DprAU4OB+9GeI50uGzEKDBv9OWVD3dasYQYIyyfZIJe4 u6WSoHoBuhNqHRUSfvFSkGf38KhOvo2ZgxBON3c3b/GNRjZKLRY5kU7fkj/wujQo/+aWPVVnuILH F32INQ1qbr0txekr/LgVa6Sb4D8jdbR/ddra+n59fGlM82vDZDC6UTKYU16CpJYL3AaZQ/Fl4Vpw F8HiKs1zAf0xmTSvjmmxaA2FwEFCbVzn8FAzCROqUHBi161Ts1PJ4nLg/eqiSQPzDy01AVF6X6wv 5+KS/+nZ8ymCm2qrY1S/skXH8CKfvtVMqJBI6hGKFeuf6vuOwhzOVNbmEjIyovTAJEYxg8CVHIWC lF+q2ACfgnu12yKKQjnH+mTL5Kj233AE4LqkLwxxMXHfRLqjLW9mT/WSvjXT3gqm1on5m7n4YNmT QjQWbn/hcTHxSCrA9eI9KWOmZPDB7ETC7bbamdeRNDiUVo99QPhFq98Q1e9rtHCedbCgJ5F28zbz 4GloBF52QvjLF/dQZQmYphnKjMPRf7N86xGNFo6XqH7r7bZyeJiv7wJUBqfLg8rZi+wvfUVOhdNF oxUlz9DC0GJzGhlqN0pSj3HRtD3OJWXW5sfAJCKT3vext/q8AZi0UueT5kA3XGwWN8wU0akceHLN DClXawFmkQqaNH4IxBIoOsS+Q3FwtYQA16jglI5JJHelaaxZ7H2s2KKguaSyfpE7gp1pIknRxcFT 96ZoWuf3ON9oOaeqcRI6/0BvqKJ/jnqbTa6AIERoY0dEvfY9QSGxnVGTHiPe4sumw/qVtXYeTtEc APdio+gzrhIkFFgGqEdgQNEIMsgbmlijaGLzyKUS5+uolj5qNG80XbrxiVTdUx3wX6UYfQlkHuXQ QQFlAvMoAq55txoUYQcnWdWyhZDEu5E7tnfFkEe2rnUL0+ymHW2Y+iNrHwVFX3MfcEvJFTEfFVvP M2U/irUgNat+dpf8T2DTtiXzM58noEaZ46ttUuYwbipsFaC57u+MrztBUIOGDvGF4ZEIeQiQ4Zxv UFNOkFHJ+FYODCc4aRDOUnIn3WBUES4GJftb9VaObmVuUXQ0jprx65BOSNtrRk/DcBDABeM1pJUV 8lT5jmrCFDsTnbZInHkBtpRVTv0EC4nkHxtYtryPydGd91DCjoRVvSKSIrotRptvjmzdO1GJWlO+ dPOf7MPqL26u2mL4+tD+oEZE8IxUxJrCuB8pEA/i6p+RIWVLEE7Ky6R0izuDHIB+GmcITCWzBZpE m9HwznqMQMtg3lxrCN1PhoyiDLAJ5dJ1ml9IcTUeXf2CJBJIbKqagTHNVeAp5HWle57kYd5sCDYx nw28CPiKnEfGLnHGV2El5EiPY4czDpwzO4ii5Q3XRkDmCp8dA+EdJQAi7MOX5nH5BtP2jUiFS8pG 59hU5nnR5sToEiYo3J2GDhQBxZOmxp76TnwkuEsh7yYRplpBEDeWIJWeE+8ASsZKGT4GSQ/1xLau 7e4PcgJ1bd4jsRvrChPtLUcvUaBvN3SDAtt4DI1ycenJ76bQ7I3jT87XgEj2aq1yc8658TG9lZr1 E6eUdbDXx82+4BoQYaOUqVrt1mzTajJAImCiRC/x9v28Q8VWRrHGBEJUMKrhnhjTQgu94P+48+oM 80SIVVNF/k3iMZiBFuayMdc1gFAZLR3VQQBkpCM7I7ZxnOe2P7O6ehwpD6CkLfj936tpIu70Kql3 p1X6/2WTFrITjweTxeXGFgZJvCiwgA2tpHn8YxhAl5ZTc887Mp7/I8DqA9aGWhzjFtzQcNUmt4NH FC9s1+ZDPjn7Aior67MoP8pcS5H1jQl2e4euUYK+2vQsAuHp3VNL8EFlQfDWzmLeTT5Cl9FrhkDU rthvFwCDE0B8T+YZ7++j9canv3j96h/BxP9Qnq/QM9hfl/iRhrzQuhRXEBTbfR8Br1owQdptoUYU 19InaU6ePgxdmckvOEzhGmv9bS52kmw2PpKw7o6mbE0Vgmmh8gRnbjhlHnTILyT/+FyYnhYFYNfs G+x29yF5jz4evttQkFQY0JAoAhTspd1zL88OTbehHeIdBNYjpkWkga8x4QV6fydGHh7rYBo/hvS7 67mhjHc93kGErB8bMN1+gtkMJ6YfNRCVYlYwcd7h1dGLEZN0K+wr+e/yOwLLp/rg6jV0uVV4/QUk N75zDSFuwk9ZpmXCu+TEqT3YHNa0HOte7+H0VRnKANqa1LOZT7OXuLcfIeFQDrx+q95tdBozAZyH 5TXvSRvLRs+k76N9eCDDYJP0yDvPi8INMmMh0ThPScgmYhkvSSukUl46mGBdjwLc6pjuaXiQ8qMK za6lT6CWOULAu9h/feUyR7orIL7Px6mYxQ64jci39Go9//6K3dYfayjT6y32yM7jV2jtAg4P0R4e Z7cg5CORTXFQ9Qzj9kZyk09JiUyT5v4kH45BXTxCPBZzGN9OOQp8ZAbKbgci4yy+JoueTSr6vkpd OeiI/fJ66aNFCfymSnvt2clHNYeMAxI+sEX772s9UVhIPmXLA0+0y46CHbHZkhiR7mAOfUi8IiKs fJOAUHdEGX5+dLlj81cec2oFlavE61tALsz/IKw8yTon54ka3p0Cp8ITq3k6eGNlM4Wx+N8k+BNm ZCK+KgKmlJMohMaMvt8mkY04pked4h4emBsZPDQK5geeD6wkM3890dAUbVN5wXaIImVzRFGKlxsI k3tRZmoLt8WrkZ/l6gyPhoOgkZ8aGq8gZ04v++yOPQ2lbdc2ufuYBo9VefPvFypUuFRAt7SBWIsf VByCa4utjHbrb9NW72TsABU+yT5mL0Fcl53qT8huPlDwY3F/50/IVEhV4PefiOEsotL807MJbf6t JtdfzC7gz8M52l+cYJlVdM5ic9rWOiCqEeH3TH1J+rg62G70X7OeNngV3lRhO3noiB/ozwqQhFCd PyM01i4Tf6qHaWxrAyhzvCeCSetJyJJZjtOjuygYwrsqUlrn6KQtbO0U2+qhrp4Rtj3TsP36adJ4 VZeepkQu0jvCk+w+mkkbjnQeRhEZ05QZExVcM6ZLvRjSG3uWrsVaTyHr/JjGXc/wYu6HySC9Suts 3h2cc1syibHYCHWvEIygZcbiU2HDcpkHJS/K0NCrd6YuE5bSfWXw8mQRlGJBt9ZZfIeFW8ER79PY UkY0Xz9JeSkMAGIM+Th7Mp9kPl9jEcCNlhgOuJIirbosNBZm7KAPbxxcdGxmjqFO3jwgCuABM3z8 +IsdFBoiwF8YoCofUiunVyYFvlH+Sn2RWA5W4X7HM4pxITsnN4W6ZtjG9UD/APPwiBXDzoH4m3KS 8xnRM6vHmjZi8wQ1nZjsc55DZYa0Y6z75uKnwUuHuo6f+VHozIFLR4CRfhV6twDieobO2UNo9Nqh S83o3pF8avu+SwR5cT+jNgEKUyFknzRng0wBD3RspK10cTCI26IE9prNjNdEeIMuGWnOoQs4DAlz /POKAIYbVuLwRtWQwQ/6T7gqcHze+QmwHTuq0sCrLtGjZhVBZg0EZNnXJEJ62Oh00HrwsvSfCUF7 5evAlB8+wfb80+MAvyLjaJzv3t00c/KyvzbgFjRwBm/tuXlDkl9UXngLIYyz+vOwlN0g/BEKMIVZ 8j44zMALQbsZiKKyzW593GRpMe5hbpWd4OG++FkXngyxkbpsPBzo2lla6qxzsQLv9Kfz5oYVEUVP aUjUrGgT `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gEUG3aQnn745SdEHDqvpHqQyJKZdczJ4cMcUlWg7EAEJqHZecCMx5xB4allZLRW33F1kthRL0MIs 8A7lBay3Qw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block URNQQhE8kru2UTfgDmAh3pq73Lcsbn32SR6pd0JJQG3AbTzBCUaNdq79Z1yIvyAU4Uju9JAwvqjL reDee0X0uRP+n2svYRrzAqlh5AORQgRPMNJhhiTJIjOp+9mFL2Mp1rcURMGeY1Gzzrk/Na4Yn45N yjX4G68wyS4JQ2/IwEA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XTuY+uAJQVUV0ZE//+rkrr5jNTJ7ETpTAnYJnT1WieoPg3xCuP/4/B+9tp2BsALPfIj7Lt8CeJ/o Odfpx9fys9n2fezSx07iIe/a+JQdky3IGFnB8fPxzTY5iuElJJYUY7nILJ/+RdBDhajGWa5Bqymb c7vQVM9PtcHU1lzPYYzdFVJvo8rH6KdyROTukpXA7NX+BsNVUCRJNKOQRKu2UJfxUFwzTTYf89GI +bx9lcPIVZOX9CKR10KINZzVByWGtOU6Vg376VdyxZuhbYe7JSKeGzijP20FIGqHorSP/yiVOXWZ qke+q9JC84tmYEwakJzbqrcxirbGq/mmwQsLBg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c18P0uDup57huEYx/iJ9PztJhjoK4Votyqm160zaPfnM88bcBoL0EAsmc62Dr4TWV3H4isvla1LG Xw8aj2XP+DOu394T64BbKAiMM9Usmtf88gBxIrxJO8osfhtfY/4vd+R8mqE6Dw6cv0Fom64c15b5 9HVcJi3uWZiHnbsgakc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M+R6dR1mcCR1jv2Ux9mE3LpwIFXNwQDdNbpl1QLyZxgBZo0hLjceSA6Vt/hUHuV8xJDuQzJTRlvG +WnwKuOt0rlFOL6OzcF/uw4s+K85Tv651D+ylJn6JLubPPWRdfml0hqg21X5WJp4b8zAiMykumqq aFvqhxhQ6CZsDsNTcFveIA50debnfX+mSvqy/9RUxlnN85BxFmfwZoYZsrM3D3ZEAx2vXBfjC+Z0 UaewgMvOAjQSi9HRAbGpvw4oyGe1tvXNEpdGItL4+IsjZN4EVXEgEElZKYR3fefwJAWTrB9cohx0 Fnc3U0nS24Bo0Gvxn7PvQWvrRgYdRdh/kZ7uew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16992) `protect data_block D/imh2wtdAFOIaI/NPJw+d/dbii2j1B8aYNv/7Y3eIIrmzeVtg3MxCWPiLpuSVG9a+caGfHefrf/ nSVD5EHU2IbfqQuVxWFuL7MsQXp5TXPZYNZX0fOeQPGCddBv94KQeAEoEJvVcfNsYzUr0uwU6n3D P7o3TMlWUX/oSLxjISJTNp3Au2C0fTK5Pt0i/LRsBS3m/9yfEqqHvIfawgM/32XU5sTEEFgVWVTd Oa1Oq0++zIYZuKpyODxX85qxYKDJVWEJxdIR7LezRo2cat0So2ew9x8cHxn8RGUEFsI9zGLCrqye iQqTeZU8JOU4XDNK1zGN5Kt8eaqnJr9psjKcnSfVPcDPBkdcMsYNYHa4/Gfi+/suA+2elO7fP6NI 6c45gwQbctT4rmluKjtNGTxFvVMbdC8CVheA14C25Ziew1DsFGCxf0bmjjDd0H6GNnnlHXt36XUO BMPQdadFkEjwTS2LeVMvjCV8KHRODWn5d+4n6l6y9HUgWwHWLA8nq3tuHO6i0LFWm+ONWnlUGNUx /SIhYUVrMa48KU6XFg+VvqWffIQes0UlvkLREvk48KxboElCU0i2+RNiG/gG+j47uuhyZgSNQdVn IBOSFdDU0cTRKE9aCPlwIsFo+zQUGglH0GbpxpM0q9B2naH38NSSUv8KJmv4BJ8TPylvc+hyEBAv F5Sv4U2tUgP9xlGBScJ7ptT0SZ80QRHJxl52RFUa6Lbvl96GKAnlonA4cMp9kO/p66pBLTKErrz/ EOOirXLsr3V0yIc2o7UUWoYkL2N4/8Pu6Z1CBHtuuGaNdRcnoWFXrEWV8mOvGR/FKGWVJNahqqky 6599KQyQR0xF3z1WsSJmQ+EKvaYa+mvl7/SWpvBpzYQ16njzkHxoAXjbwvxyDgT3XZWCfobkH6Pl 0c+jbWdci/pSfTmeDStyl9AbrOz5DZObzV5t7jymahHAzmQEKvlMSQ/Ok058D2HPoH88xUv2AMjA CQ1HVx1wfdrjzxtAvs9EXuGnBjiY5C/U4QzKcAigM44etIpl/3/MzhhBmnSgYOxPyvtN82O3Ha+s w2jLn6J+KLFN2iMhYVTK/R0fgbzaRk2VYCamyW/lvkqADQd+BJ7c8XSol+DI0xPNemfUo3fiYBl/ edyFU4S6tlA2fJTm8myq51D3vzduZfMx/xTnlxoSb2177TlDVLV9IUrOWwvfU9473n6ePULdw143 9LQSPeJCSkxcpfL7yL9uMBVmh1RXXh+6KHHbXQqdbvnKvKvlBhLAxaHIT88haVkggeT3FambAr9k ZsTQ880PJa4Lh4NfgI7WNoFb80XLQ7B8C5k8MLwezmUuD7WejTN2yhPaw4mL9Y2G/fqm1hTNtitK oPCNgeuTrLlcjlNi6K3nrJWqRVtkA/ZcjqZuqtygvQhVSiIRwYO05rA1lTTZsW9nxjsD1BLpi5Zx iNRJkPIxOwNW9tUvWU3MmiXMpE7dvs7Ptkm7kilM+5EQCI1M827XHkN1A0wonPE9pvAhUmHIbmV4 MStH47V83qO03KAUotpNS13hj3Z7V4tOTQ5rk9aHQiYi9ugMaWOK542UMDZwgx+guqhOH3+1STGx mWhyq5nT+he2SDrHNc7loJkeCEkpEgmQLh/Tn+jql8wK3/Lm69RFj4EohbK0AcNsrzjHohs/dKxh /EQ9ovD4nQC+zHzPwDIsyOhCe46K7SqPGMjFnfccstjk42ZmljmlLuu6AicIWFyDC8EicBBJXtkD 33Sn3CcrQrgSiy2Zdq1trSSFCRtpIHRwUa7ZEnJXrGskhWajVo/LG3uvBYvcZ+YKIGG8OoGPIRCC fIrYTnrzXnM5oxvIx/GpYMUyJ7a1wRvwdfR2PD9IavqDCRN6WimuK4CjIyb8VK3hPh1zGYcUEoe5 StZ/s3Fiqmu9u1TjSG4ezoj/YIeHACIl/3lWhbCcCnwJXco5j2HEFnYxnvqhHb+teYkaHQlpyFsU zdWcmU6kmXooqhbo1URPuqZxmWlThntzEZWKUX55FAWzwQuQBrD4dX2xhS6eczsti1WuwhPdf/yK tcG5nD6A7SYJBvIsall4mbsJXKV3Nj3ATc1Ufg/Hd8gH7hlNoN28s8qPROlr1EIVzs1Z5xO2H9pP Iv5PGV93aBrjTDLawyA1HLOqyHCr2n/B0t252icOuxl2+hJKoEkpk1PjAeOp7MC8Uqphk1SoE8nN yBIlV2hv6FcfDl/Yaau3FiDfbTScMgaYUZhAk2P0NCvZgMxh4l1vORQFMY08yC6mfHKEwpXFDUtv OYncX22L5EDuTz5At/0oxqZNj2Ly0gSFvNoFEAfzFDmeVIQj55tn1H7X37Pmg/IK1wLRTtviUPf8 yg20B1dS1NUIi0QiwWs3PfEPibECmULcXePhRmg3oVlAzbUCexJEmq2muUGSn4MoNO9P6DmJk2Ns X0egmhfrr3H7HQOgF0bYxjhyTyD5UeM5ncYCSy8wvb+n3Om/2L4F+BXbIxTpCXn5SOBsqX0+rO5Q 9hNDNRe5TwTUBAAzHl+pEZDWbExsCQFGMqn+OH8SMRRj7iN/Gu+0Y83KjTP4jFpJKjKpXQTaXyUp MrGR9Yk3oWuCULdAj5ZYIJTy+VrmMEmhUfCjnNPZdRFeedF1HNexNRUfP71mxtVXHFNydpNpkiQH gXkRgz+np1HO0fCcaIDosMxFGGLJXeMEnznVv/ODjl0k4XSITxVA1WcWzbsEr74YQ49GMWA5O07U 0CVYQret3R/631e8dFLKu0w83dv0urUGx4LJZ+sRBdhFN6LOfJv7lQffMfkINpwVo5hlwtM+nMv+ VOr5/wbp3daMNE3mEi/bwfUy9O54DjSo68BRMSKjMUM8ESGYub/10E4NtEDStjMY4zf431DByfXT HghUWQBeLVW9j0JwTpdVQFvNTaFYbH55AP7RZPcCg6fJ4TCk8aHsn5WAKKN34nYNlAqepdDMR99w 28k41qLBSdCu0DuMArFDbQrOsdIOEbG8XMiQBAQ4HsGqQ/S17siKYtekXpnK9ffo6o2N4yPGYQTj CR4X1wvd9qgdNP5vtAYMu7nUdM47nED+bxtcLqgZjcnysOG5Ml7szCmzuEtOxh1Ij/0JilC1MkgI orlvvmfX9D/9SgIdAyFOTV58oiJ9oP6zhh4GdElyTUOpJJl1TvI3vM5Eyx+5bV7Gx7cg9MQ7ToEz bBn+5ZySg0PShKSJQWh3fg9ABGLC2hLhDu53W2ZEnoRBOt/eIIYsgxmkBSXvkECq4hfkiJBhz7s6 3vPoa1qxywE4GTOwQBVdt4wnil8eMJNPq1AreEwp+JmOs7JUr0N1xRG+KKAilVinnt/XpfkYFunS mlRRwXszHZr8EZenBK34+uvQ16MWm1r0OThSWcwEp32SsMExmQ/owagnznvyad+kc4CsyYzItaWR rATKr2ONFTGzeXqxo2U1ere2Mb5hCqS8dbEzThVyYrMWy6ljAGSUjBW7FG33AD1AlxNvnofDyw1K +Z2LclNVFZTIToLjZceIYwo0c1DHRtSyFVX6zrTBpzpIDcZEUs9aThIZmKmqK/cYudpQoDqJNBEL 7Qu2+SP+o4UzpZZ/wjV9dezdik/d5QVdRLqafpXpW1HAkbDW122nS2OdGPvP6sYyFkmQPMsgKyz6 OcFEDGDDy9JXg5/e6Bkn4qIXZp9d0bB79KP/IFcIsZyiQ2MA8O6Ri62oszOd9vSwXKkZw/H7fdV1 exyv4Yqd8Jg0faWtZQgYeutyDR2fDw8C/utg4dh7Sei2HGvz6celMtx/CiACTzbcIz97j77ymLaM eiV0hoSnrfn6DK3GDIMnyKaKPyKVKvWUlkTBB0bnRz5gOGNBcRIrd9Mc9IQNAf135M2qhbLlPYMn e9nDBfriXoJuVaw+nvdHUWMlwdssgrimpWzEU32CkS8d9loJo3ZnofXK6/B091lQuMtnbBMeQ7FB TxB5jQXKQjP5GB/9IE85kHKd/5hlm2gw0WGf8LLj5TCgHJ/sS8fzkSCXsePpUuucWwoC06ZBx3EI dvpQbuFYMiL7U8gZA8UIbErFbKzRsPCKVK6xYSjv1Y+//ZsU7P8HxQK4ZHH/M2cR6FCvDCTqalRt uNmEbRozbr2H4r+UXjsOqv62skJYEzCoEhnZCmNWTxodzrrNE3T2I2S0tNiFuXUm6FaFfLACv+LV 0knCASgcc2YRG8+LMMfqP+QQaQ8BxBH997FKam50xFSNbTSvES9nOwmpf9V3wPeYSND3xYtp/YUJ T7dKjW7s8VZfmoEpQJFatxHBheHtFEGe7yFlD8FLmerhwRQHAAGO36Ur6NpMw53oAj0IvQsC/Xam x7SnUFzQWV+UHc7xxzWz7JJVhv77d+qRlsuRZOqZvnuOzTW2W4fwrm3wv6pi1L76srqvYXtxbeUy w2u9XUapFQaAUYocqkfSrMsOOt1l4rBa1DPbhOSxWWY1esqQo6/4d+5AOAgBqZ+6Z2sqWwI+GSwV BdvuqS514PSbftw3I/lR9FUSyANifD/Q7e+XXq1DxqMc8FSGx0rLjSAHhzMxNxYUZSk9e7Q580Kt O0J7H/kNYL7vtZd+Td3tnGVLn0mYu7I9moPsC0qtgUTp6eii2agznUHL4dqQ2IRCFy4oUxKMhYg5 IAflWMcZMwwYVaQj3ms7bGXmQi/k5M+M+KV/TB+FfBBfA6nAZ0q9OxkWa0LXirReqdLhDB+4nReQ eKZJ6KXcyxBAfnfsuOKnnObi7TbkgKVlhfrxI21zqB/iOpgebeaBSBDPpovHJ9yttp98oW/ZOvP7 NpQXjEledYcPTwDnxemMScfmjf3hMG4+7Mm31WSztRxLxpo7wq/Tkufduhb+JW8ceEz9j2UrKjqR 7f+V0UBJoSvMM7hJvwBT7IoIXpQwFrjFq4ytb6z37SOeEIltyyjf+ckWEGHkkjeizxgwSXqeg+1h nB2YTSTa1bgST+06tC5R8XeeZh8aBVcYHRKjz681x60msObJqkCpwwIe9fDT0KfpEd7G1lxLJ5A6 LTDyILZuQHcX7Q9r+fi06J2mtqTZlbXmZR9okEP3x8kjNfnBzW221ZsRRbHm4j0YCaQovV0vEjC+ CaQ8YReWksapUdYglKVDgL4+uaG38Aa8G4/QkET5gHqtRWc6mD9bG9qlMECms/MX1Dbv59vQdCan 20mOxs33Frpp3z3Roglr52ORPMsKVRj7YX9mFclzOME6aBVODra7Jhv2APZJ4/r0gEtZTnqB/W// r7o8WFcwlrV81k3sLDZwnNpnKmUeQiBNBjcfplV2cGWz6Emyr0b6iXMZgRPygCHuroOprR3gvmi1 oIRS8J8jkkham+f6NbgziFf3RGBddIIQSgLeqmzLJiy+ooMMh8YJ4+BH0brVp0h+kMCMhrcGJgQS IW7V57WjkxTG1OFRAZuWTnK1xXOarwuU0KQBj2RA8xgkGZfpia33AUqz2w0Cvwn1KlOakH8OrHR2 g/K6R63qIMj2sXtbBOpg0U/9mbIQGRRCSxpING4DpL1mKeHAFM0HULFdhFZj/mDFCsvjki2nSLgT oeqokpSMXJPxauBGxZBBIBVqU7ukA+rQyaFUkuWL5f+EzuLESSVYeFhzmQAbY+r2pjsr+H4VV4Zn eDnJrMr7xs7cTe2X2/B0aqQ8is1NsVtA5mGNnEmjF+E8WZ4/NSUM2MhrJN2Bv85JbC+xL7OKEQcw DAsRuDDd0xxOLrgtjN+RptZoDWuE0289AxHgg8EBjQSEkUJ+xAlN7i4YjO0SttmUmLkRCoxdoV+d bdtouiupStgIo7+hsE2v+aD6r8FhG7C8woao8oUle/szRRYCqxLwpujPDqd+HdTIvnNHWNN8Gy+n o/EHXJ63K1CHcjMuzfhGzca45nbj3wu3lA6kK34ROvRkYy0w1hfEWJ5fjuf+tqSDoxZuRQl8PsqY 6l4ltpHk1+omqJvGhBAPiqZIIeV3K2Hf9oMLo2xLydBCDhKZa+2NiMCiYQbWwuSAvkc2YdXYNKx3 0SCeRFTqgMdUNcidQjoUDKwmU0YTyoWeTOqDLdszmyj/Sph7PTOcnwbxfuj1gTi3gABRjG21wtyg LhTyfTmWCM/RVKDuu8Y7PWHoZ2HlLb6tZAMMBSEzlpmnxFpE2CWSO6xlOeV3KgvcF9h378Pzl37r ugp71yZcEonrAH1f4egbMh620v+QteyZHD/EfuoLz7MeuYAdgnCz12zNBxrxEJQEzfCAKL+F319+ Q9q2Qvh8khiZO43+jtTk5I9GzjK01Oe0oiW6xKFhFHREI76zxJwQnxNcxtiS16WgIsAhnvnuSble T32GotZ7Zn7FezR6rlJ7vo1cCTeGrMa45pfRQBQyNYIiqIyKOLkI94bJZGFOcn6iy8ji0r3YZz5M vUlsZZgcbh0HiItknc8G5rSP1ObaalknLmMUJqRlwQ77z9GATbo35viXK56tw+yBFkGB3+eW66A2 tWksSUM+63Lm/QdxFJJfLE0bx9ZkplKQf3Z3Y2TKK/LiGyesIiypAeXr1BapLv0/MkpCcJT0yZ+r y/GtqED9BTaQ/ZZtMxkWvVx/KIobtpru0SPyBFCiW6ESy+NmrKgubTZTQAcxyJ2m4A+IoMPiuzNR OzdYQ5Qcpc2B2YRfW3+ESaZ9avPY6jQSDoVX14CozwWgGabhOQGJMs46kz7SgvhgSre7t7WsN/jU gdxgWciaPj0yTWJmfUNnasNeOKiE7cxac+9qSp3vJw4p9iMzIbm/wBTg6YMJLLqwhqw2tZ6/1lVr 4nh3UzpCrwIbhOClX2l65na7b3/c7jq9OxOt6vYSXtYkqQB4ZoCtqc9YmRs4vTIhBaOUEjR0jPVt ZeTZ7KO13A0vMnsxdgnJQ3usuLNrJK5fEdefv8+Cosjsnq8iPkpGQZtM64smO/WBZ8kdm7mfOBr6 rIZ0LcbpX0NCyU5DPNrFsLzsB4e5GfzTGJlGCYjecxO1zV6O/i1s44oQsnHPjjGAI0+RNQuCuRn9 EVUkWybOzo5XSjoKhyZ0hQvhgOJ+h2SJD3hHGLRuU0rls8wIqzVdK+SsuhrHZ5RCd1O69rM/njdq hwi38/xpTAKJaay/9F36vKeReCgQbeScLrrU8tJ1BcHfJU6C5ylf0bsRuBwtGPg3fSVuFLH+xaa2 QfzODPmNUx6fZ7o8bpzXw6Uio96p8XwHKIfetjDNRJp64oPrv+kcHeaZ9vf3xiR6ProRAS8zcekI qOTqpjhGMNRj7SH9OIFjZYW9NHy1/Inp9NQQ92ZqhSO11PBolvXdl7PqGG+hQiwLoujjKXYOxdE/ +yAgRiCv/j+8FLAH4TRUwd6tdWxn4Jo6H2caaMWWUfuy9+YgkLOCRdNsGEQwWPHkXVH1f3pWcTKZ 8VRI+63ZCpB4X/VPAgiY8rA/ibwVZA8dvhdRELajzJxRRT+lC7aMYyv15Ie0hwlfxhrWotyEAjgm QBr/BATgeKijDPKhcY30/hWAsYrJGFZyde2IgVKKrWTImb4tKn3vxKGwdAWGzXarScL6keKvQblv sQiKhWe5qU04i853QGaXoB7FxWdPI6JnRemZdXQM5BBstPlMUYNUClZtFIK0w9CB35fdIh5V/7ym Be3GR37DCZp6HMBF1nCVGaWgR+psAjg11d6oqE8witOwvG+LpMI4kX2bPYBPqCiuSWdN897lO/lD S2F2+MWejQMCAvfL7AwgDSk3TERr3+xevnckj9EFe4Td3diVjJBAq5P6oqlgXQFCcNJjaRDajbbM 3C93v6frI15q1D9xb9wuUngF9uNOKe0mmjSnDeA5z0ssGRnrzpFDNHk7+G3eCY5gYlVrziL9vp4x YufzO1rIHvjqtWR99WF2BsOg9fwnri9mFBwstnNdELzfMUp//6G2e++alRIwyKL39yjc75FhZCKB us/YkdVpMfFmj2JncNdVYvL3PIodS5UCmDVHra5DPLc8Jq+virB1NBUYajSmn8wZl5DD4Gct9QlP Gh+wK5aZKlrMUwksXdg97KWY5pt/1inTl8ROaSfPhCSOZBbDqbDHwlBZkSC0agQ42oUsgQNHjAoS oSJp1u5Nqr8E2XMJK8Dxu/3J7SV44Oe1qubgnNy+HjcB4vqIVWjtQaKgZ3LWk1AActiIITL9D6hJ t6fTEJ7mfka6EMGtgxeU2WsZw9KS9WxbNRB6yzX/NJ/MCGw9xvG+bk7dPp18ELx8ZSdesjyuBA+/ tR2fW4ToJVLwPmUS7k2+8hMSlZYq6JmoyCs/LCxfm2LBrcFaA/Nn31p/Oi2lsL7lK8ejEv4t7a+x NW1nKLApZqgR/wtxu1W4rLW5Xja0ozyA2LMYVkSf5u40hyNojRg/UQPP4j+j0bQTkJIMDQbBZZwK e/sSUZSXLhhk5oETDmmoaw2l0SesTOlpAp9+gbGFfoGqUQqP0kySWWY57nkZERGBP5xXb3B97DOg dkxPmkuu/4p3eHUtOZ4Vm4BpLC5mRncXEniiAEhogsC8hXDf0S3UGdl1YZBZ3VR+7/sR+f2nj01a xXz6HUrjAPof5NVNmrWitHpQxMKpjZsWQjvB8jBPXlmMaZ2DVvFavhkCrJkJ7rB1zgvjAlLNPK3g 2zNk9FmhWAes7j6H/wmv5E62Bt6pSYQ1SLOokPiNW4iGOQeCLxFV6TrFru3n+j8h3FUym1xAFrKq PK5l4AtpxW/3wK1BHJDfFexsCjXJrIZG+dKVaneLCC6s1L9wWN2OvzYpt2GcIFNcUB8c+C4uU4xI oHbWSFltH63FDuQfiuUp6kV24e4IUUCHZT9q5EDu5ZBWi02pV5AeHLrnuGgzRltom9Fev6Dj15qc 3oEn3OgS6eI2mJYtoW0z6o6hY05/0O3/p2UpAEr/Pdh5IKSBhDaqWn3ajvv+l485UNrvYiqkaROQ /hnaMhrtgNeHYvQwjSk8HsAG0a8ENCjm/q3OkUD8aT4FZyo30OTP+V+yuRsq3X8VL8NyuNe17Ixv UhDYDjfyLQLEi+SODhCtMQOSTwGQT4MPRmOlcHjnpDAbk0BJ6DS+yuRo1JbagDzH32cC8/gU8pAp 3SIt2iS0EuDMh+6X4n5rDRfsddt1Opz+HI+KhM49c7/YhdmrXaVSovvOybKm0bxWDqxhYYz/zncd tfoX1Hi6nz63kVMF9ffgEV3clMvvSFATgi61AqIrihRHQGTBni5oSMFSEWqus+OeqhpYDo6GLGeM 5n+cgxU2BBYd8lFs+MEyWPjEnYOFCBYSm1rZ5d/MX+JlUfnYWQFkmFFwF484wni9CCPamWeHUggf tLbyJekV0L0kkT8m0ZmA0RjFlWUzZ2tmlfRXQUMv12bXjERKnvFJrpGpLCgp8Nyz5Of3pAVqMvfi TZ7CcC37vFPCEmdwI2KXKUqgkYXaTwpn1xUGI77/YhvaFfyAUQzSWkdxdg7JxyQM/hl6DVtPcgpL y+6iYUUsFtT8XrudwmhkE58cl+SWtMAE7Rx0Pv6FI4M+nRX8Kq9M12mxIDH6tyyFQVVcijYM5Lhr vaLoDZam5GoJfpaAMUaDOBFAe+YX3jUxQpCi6ExvkdoUV0vMxRnk1647QPBr2IoTvzqSQwfIlz0w gV5flQ82LEjYGVlbFs1EissE1cdRFJ32FGpxa6+f0Jy5yP1nU27IFS6ruarTT7BXALTKIlyl1yfQ ViAW0LqIf+khiujQN15F3c3HlmlBVBp8Nk/Eqv1VgtrBs7bQ0032AuDulppbkPmE1cq5hBX3W0tw qlYteWu/vRw45t72IMNA85Rmue4o6XELRpXvoEaae5ZUyl0OHm0V+8ErrNHpIwkd1A6wYC7QyXxt UW7OBMBuWltIqoy85ewVEAics09SgwX4AtQPk5lPH82keWu4Dvmja1AUH+PPhgjt3mDkopX9V8dr rXw7Ou7Spv7Q1e43AcGMK5hJ+KJE/6p+qjkfGCwCZleekoj87MTj/2mhhPQSm4S9bhOGlZZQauiL uaV6bzRUJmVTTILHASlDPa15N2KtJgkjyCJ02vqYULK9XEtGjQeOTB+A1c0GCxfbUfrXyko/Focr ISJXW+HQ7O7YktkpjlMQIEbB2e4RBVovWoROiq09gmU9IJuI+DIEzBpFtWUoGEc+ODKnvumhqBWE 0+mkvnyeNYTXJlS9tCwr+MbHB67Ox8o5dJRcjn6homLWdQoILyJzWMTpTq7eymW6ku434gs7Rna/ PRlQ9NReJlu2arF3R4cxw23hch6AFsK/V5lBeGYQWpbWFpasTmTcdksMqpVIjHt054+YTDS/UlrD mmO489Rwn2z9ufC7d36pYUApITRtAtWznPj2MJqK+6qzJIeNVFzlPpjAoULMUCZKxd0ST+JgTX9B ANXe2h5WcnOXiFxrA4f3IeT6kxbZHpMoYQkJ1zft+wiLdPnB5lHNwsXA0oU7x1+DhRVD3kKfxSDt KDlchV/Rj1FLWdWyOH4Fe5LSKBICZtWLGFgHPwfAKrBHvR8mA9jQx3Sp0lrErenDxZ0FA/tAa8Ua tmTWsufWkJ/kaW90zllJFAcPMMCTs8uVX6Ez1f+MwUDUrT+KndhqFciGRM8QjUc8lCAZhitz6UhY /po+WUHgsVVTuteq64GFTKY7i65e+IOZOAYkCfGtxmraRTw3IqaX0Ai15ezwhZRHFVxy+m+Kxblv 7iHaRXX6yKKKcZp//b1U26C0fCQ/NxoMNWriy27Rwv/bigKGtYQzLmSb9xODUutm2amoP3FMCXZ0 tuld/Zy7SHLCDDdaSY+UF2HoDMp4MUi4fmO1FYt1iUfF2IMFldX69vK/Su7hD88nFxYS7TeWF+Mq Xmbz/BjNqROGzOzoNfY095v+W/H6u4wu84YcjO4nYlI+CJZ0rvJjvZVCu2YgnBpGTN3jmWXIC2mi IzjWcHMegx7pNd7uuJmdRXE0roFQOfjXLCRmyyhZjOgTHJ4Xc5HmNUjRaeAUBq7EvpE54Yii/Q53 prV1QNnpOyQuHiKljZdZ7loh4tFXw9rgLllZBrHdiED6vr8uRtbUClaOlxmvJwX9Oa2efTx96mXm VlRSDMtlOtcJ8yoeDOGo8yBUpViVAwBa/31hyoMM1ieckpCeKGzG5hdaa/7US8J9MeLbzR5zs9sU KoOH+vK1BGA7i/SvzZMASIif8jDlwTaXZk0B0GX7B2lHZ1cNk4x3ZJ9PJfGKXjQS2AhuM9ANpJQM /H2wd79tAm6Ou0t5Fos5RUkTz5rtQn/yN3ycmshTEjB8rW8DaFSi+NefGFx4ZGipI0qK04T8aZ3Q oj7fgu5kTsjzhKUklBNF+/neYHvGWFxdMi18id5y9s00ck/kvn+Mm4F9fG5mc5ZNrqATAg+bnhPJ Unp/Vyvyb93kh9A3fK34pLCckG4u2kUlHWDHoB3TTFGT9R+RJftH4brh/t9Zc56fbhkbmxGK/jum rjsTsa+m+UAAGtb8ZivqlE4C2gw7umAio8AcUr/h7NYMnVoHQvbIS6K661WVu761MZ488d4QIe6n A4ZCwQiBipIVXJbZdpAwh0YUQoxALmjeaoRNfo3aqgamMo+/OTe99ntvwfzxKAtwV7i9RGYnswSu XF55Xm0DEBbA8rFBwvdfhdR640uyp5FDvIX6oUMILOB5DnehdvfLBqKWZfD5n9Qc+76fVIK/dGON UfASAe0w9li/WJjjhMbfy4Jbu+hGeIRFBob0z0+v2LN3LWqnBw9GU2y52tixudlJOpcPqCLrLHn1 8oKC7zk6pxujN+wHJ23XpMrV7fhiOAj6K00Xik54AvZ/2/3fxmjsU8bxC+dTbMN6M3XPfK4PGp2G JjFxWQ7vMWiqj2YBc4mPItT9CKWA8I1/3B5Hrb4ewK19qDOHO0HGMdVHmYM5kiBPDF7chiyMWNbC xWtKKCUcoYqtfI+EwXnNocpro9lfyI9C5cb+XS6J4/qgAn3EmQ6auNJAMzAHTCF0Ls1AMdovipkV Tpy3von7vKJ504Ol5yLI/5JmApxOMSH1cm8JoPW/pr89ypSqUUoppzooJ4WS//mFhB65LQm2sEvG G3tQsTFaKgUTxR9+qoDA+cJMcuA5HWQVVlcZ4cIFAU5lsZ+i4LRaIX3P6rgs7VpnTtYiHPBQItVl S18lWrwzOD2xvMIzIrNWg4RWTlbF8DcY6wVotvlqDl6+mQIyLB2KVMGL+08Qj6U1ho0nDm3uuzvl 5ZkpOAxVlZuGjjifDB+hjqOK9PUGgNDG25X1J9pp8xSE3XuJgsz4EDQTDgWV1/oIETb+BOgfAKrk Ie6XOJmM2jL/10m+L5AUASwby4ZlbSsSil31I3/0kG1zZ7dR53UrCNRCBnWtaCiT+ARYVPUyvNDF NJ2CDxXi6ovo8kuAYOuB29TkKUFSIPRtmqtfR+y6EU0znjPggFVFPkOutCY8GVhp7Cu/ZnZlQ4kK xAlPcSvDv0P6Wf682ub5/8YoKdLCo5Zr7lUXOMBLUdL76BsBozjfrZBaYrDzMBj6UflxJQH2MP1u +nNDfBzK3LUdyCfCo3lYk1t3DR3+ut7etoHdBSYqeYDmaoMyAF6IysRSejvvZpJROmlmRAKRz3aV 9xHs4FZJb4Z/g2a4L3cmLJ89pCsogY6cHuogoBbbtQRLrfMQBPfNXoXb2TzZhT2goxRpjzixAZyv i03utqBnxO4vcfbAaadRF0W2xdm5fN3zkBGvJ2eHS+dtgwNA7/89SyDa54E7Ca3HJerl/NoEf9Lu EPy3LPkfOeuk0Qr291Z2nhLfRlrMyCNuWBPa7EwGRXfHX2XfCOU89z9fggsKATuudXWQIXNpI/hR 8V/w/lrijy1jyPZ3hrksTyyBGFWFFB7/TsvC7M27bF4mSZ5a2J30FXrq+2d1JYz+rbg4ibqv08V5 MfYY2VuFR0UUsFW9kal8u7G8WB4pMg68zbntHJovmwSwvulQnKoXoGyGptNEeyHNqqfoIOByvLIN UlTg2ld5Q3PSU0q7yH+RgpOGFdV9qGoJQQ9iUrmeEl5txm7z6AfQvasgxR8UF6mt1rRSMfoivjhk gyVuKFAHlOHiY74C2fW1RdIp2Vl4j924Uwdf+1hhdJCamqVuM1Zw9E0eH7CXk86TXxnrXIJggUDd gmAtTrxH4cv7bjoOU15nbaFyLLE/2aocOoAEbI6B59Im99BeZMKgGk08Jdac0gRZ85emu0Kp4w+9 mrfx9aQvQypbKtQWk5T4iJeeAIWGeAhJLeW8DT9sG03xV0A7riN/3/gPA8c/Z1UzlN5bZnDhMVaA tL/jiHN0ARecUZL2y3c5fkZe5iK4w0kv3hr/bUIWgsrMbVby9A3YyEfKW3ZG8t7VYczOE3nmvuuG st7CW2N7+KsxK0vzutGfzjJqsGkGbS3FFVrX4NZeJsmvpdVf1SQZIM3GkMbJJczxCO/KGlaaK6hz zUNqEgQtVdcXCp7jkQW5ICbdw4qiNtlQDgW6apDAd5yXXUs8N0gxNz1oPFqw6BdLopqgXrK2YgGv NwtBM+sfj2M0QQXbUfF8mSR2QYlJ47ECzhzcJcgSk5rFtL+3Jc9wUWRb6QmPxBc03DY3vQ//XgQ2 Zp0OvsXeYdVw1G72KsxCnLoVSmXzZOlu536/eudwa3o9g+dAWcx7ynepF2047GwHExgQ7s6mwf1X J7SEaacyfE+DYvJnXsizDruwgXJzUV9D8FymRz7GlnhcuIG3gZjnhEIzQb36b3rwiGQFFvb1F7bH GvwPTDDcVincIVhhB5k4hsT/+gvPfTlKENmO0n9JhEzz2rQnTOZT91sdjO4/VY4EduQSRQzytP0Z lTwoy5OvJ/Vot14ETuLZh0y00umeI6KlzvyFA6FQ6giRPIjEZnkdGVSq61Lys7CmWR6f6yTPZuJV H2rfR/+IdHmDFndZxi5wRWuDq7KkjRHwRvzhz/rOHo3fzpIMH0gRTRowR35oDNhS6Itv7gy9vWwz QzrooH43PtyGfCvtQdrCsBlTBBtZxnfMMKXHQS5aIfkOEz4gTkEOzCjRt05GosCs9JQL6P7ABWMi 2vHLaxAFKMPPgf/MGL/wDn9S2NMlLPJbCQdYOR7CvyqeKkP0H3WHzA6Z8/7BsMAfa+sYMs7wN59L +3ER8wyb/8ArgUWTNVGgn/1i+bf4Jt3CseO4oEp/NDDZmZmHZ5n7hQUdFIg/Mo5djtAYl2UPxDal EXwfhpmqx7wfZMCohhsxx+Ye3RyBvWn+C3aEP9K59kuPd+DgxJd9izyqDhk2yqrXEMI6AYoi/9pR /Tvv+6dRA7F7fXL/8axLVA54tg3LGF7lmAuPgjD/9d/hrXfvkrezNuUyFWq5CVJTz0z8QYVi9w30 r5Bnv5fE3Tp4hjZSfDbWYhCuvlbJEm7yXeng8muj9N8m+bly/9VVx9HU7k3+d71Z31DFQ2e20qQl jMcam6Y8K+xQ8jxA0dYx3NvjqCGIKZv97DAJpqQl7AO5fRLyUhVLGpT5DKftj0uFn0bdUv91EBAy PkeCY6O1d8yH1FKQWuP1jzyhTp5LszjMAgh829YmPe3Maz2GsCNdQXUO47kuE85wcni96Kan1JFx GXu1IE8SX8VdnN0FgJuko/J0NWZDmzpJMaDekpdm0Ao2T7zL3Gp6UzRZsQZbAmK2/L5aRamYIKk4 FER5RVoB5Upya7OrnEUsKEJn7OBXxdZfXlkDyiriwoZ/vzprEbZBBAUwxz2vWfbTZqSCoHV7piTZ kN3Wu9ywtX5KW9W+b1pApLYZvPu0iCgTg0vqjGaNIOnUekGxN80/0OtYv7yOgrWEAuZScg1k47+T QmuRJqjmQJBKGCe2QsEyonxoVAZ3isq36Pk3xNC4dfTNR8cybWutHJruSU/D1/BitLpLvyz/6eIC wiVA0HjK7hhWDpKk8eTsEV5VrxEmMh+P7B8zoNggJUveexZLSkVfw0pcfSEmq+aQz+hNGAyGLHoP lrquwdOC88aXzkqEMxmEkBvD1Bu+p/yhyMbcY/x2B3O9BcfniOhxnjdYG2aWPEmYVvwLFtvYvcah gtRIg9Nf/coW0b04LzcBf1uz370OT/lCJZDbdPyuDOPCI5tc26zsy+ZrGdKrsjfMp7WIUdPWckK/ ZnhrW+E8qljrf1qU6GIfs6Zj+2as49TdRIOczXG9TIb6PEziYHu1o/aa0n6rbSNTZu4pEdXfOdE7 5j60/EBAzPNqg+a3nQwWwOngLs7EfkCTny5AJh8a6KKsAK0rD/v+dQLV1xEtWiuhgeEFRccx5ffX Zx4GgUGCS3jUZY6qC3jDh4Qw1QSxi4EZGkpRT5X9QYAJxtq62cCQJ79TssLjSG83w5tKZkrEsYPi phwXTsOgoBa1Za8hsfOdb5Dd25wYly5oQbzfEWWO/NHkSGI42mXHTFRaqOp6J+H8JnHR9jNwvOtc XIQBSBYpOkve/XTgZbLSMVYcgtlOkFAp30TePAiDtroroznaesy5UFGWno4FKaAWXJcVNKndo2DW qe+HkKfy/CGP17zxo+dzsNarNI/kdg/07uX/Ney7/lfwS5Yi8egaAKk4ciT0GhstuMIfU4A5kqC3 SW9Y/JoNVBSaV/rUrXeUoxmhyEc9VSzVEBNh0KRmI9R+n87hJyGYolfMa4penSmOjgwOrDZ+Ol+L ZqDe7qYvW9mA1WaaxcG4T+YdK8vJQnpfLXA+MOj2vI8i1wNevhVsaJkarxK15+r+gauTRbh4+Z14 J3mFppetZtWUe8SiXBo6+EghJZLKsn7/V8BHwWPM/aXaIIm2DVoDcqFTRUnMZCEutyObeTcyRWka YimsHxIkyQUH7cery5XTK8emDPOKNw0TIFqTh9A0WN7wPPtebVJfRf6FU3h4dq2sSRCgkViXTtVG SPc4oS3frbvskZTMPNCKyufClPZCSpwn32dJQ2/fo4EC5qv4jIXeyZ2SrB4EGbh0cxSL5fsWh3OE 1jv5Krp9q5lg41TMvuLbQh82kqgivq76eDT2buvC5tPxwoBGZ6FKWFAW6NrgnUAS2o+7vwUczdc5 e6vegSczW6Qg9i2X4GYhaKvM9kHxxw/oy7xg/peKq3DlwqGXKejWjn7LvCia7Ztu0TjvsgiJRc2M 7ajiAY91+LhgPYAExIRWN3RqdPT21N5gcvQ8RTe3RwJyIhrtrMfaSuS3HAdu7ijq/T3N1LEmZ+T9 z/RrT3frnhWvwEF191/J2cfFr0HqiA6+JCH2cJ+lyKIyXh7w5CeTn3h4wZBx6VXXVfMrTESAwjJb YMU+/goz5OxgDYlVMhTGvlvXpxEUUIxMJj76HV7awCb6icCpJ0m1kezxhFrgdD1e0C942Oeh1asG 3tbb7GfVsam1hWdaEXRFRIVf5fHY8ufYZ6fx9qVXiy2mZwUouJ9j6lNgJnJaq4AFwJkaWJN31rZS tCh6JBYRXLa9GFbhP4hlU/7YdEhuf75Y4y3DWFklqWUB/ocG0x+Q49rEe6/b4zLx3VHD95KjPfDV w4tMkngKaoA7APiqYYMlo++JoWOR9qNGeS2e92h9wrKw5u6WPmmY4h2hE6GrSxH3fkqGIlvYgQ+Q E1bJwfG1klkE9Jiku0MmnnwYhB9qz3LxTdJqLE87IRP21UMETJhkJ18im80PY0PPuJhiWwLV+CqT +4/zVezp48zNy4sDDbwU4PXR42oqMuGPAxPP6kIDPMr+EQ6WhrKLSkrFYD7lNOwlMypZwOp8mdOP sllXb6XXROZNWQdf8Rq9ihWh9edeqdqm61yiIarTKXG5sqfoSm7PWtkseB1IgVDjch8Zc37yLTpB yMj3xevSWK2+KAQVyebIWn4keYl1H2XWaIqRo3y0nyGHVk3POqlP8F1Uwi8CcdcKOXdgNUG5bFZq Z1wDZlj7Rd0aVkfVB3CcK+5Pv9HdcTkcLcmFgvQwWUhaFW5MikiCUKWPSru504RhpfnEfghUPHqj ISFbh2WhiTbWkZ4Kv4enOQ+wnf4tGDcSk3h03hkb93tOcS0ruU9G7i+xkTxhXYHPyzvwCpj+LgOn zcu55YyvHQnZadf8U02imdg3ny7JzyNwGc67ZLLaos61yg419MylWMPzhL0Ak9xpC9Znc1gMDt5f JtF1x3pb2SPxGDe+wzUrvvYZ6EJJcOpyNmpONpceeYm6PTT8jxsJW3RXyj1R1n1mnq5UIz0V/Y6x 1fLwTvXwElufGIQCHDyahn9WlUsI50DhBSV3BOH5evQP2AUHqovaQfC8t0ToYo2h9jbsCj0EED+5 Y02vWYO+U7PicpZKzSOZMCzehia++Pc/ohJ7VdFXXpU4usZatHvAOlc1T71GAafaN9BZQVbwtq+s A+T9LSY0igXx/KPiS0H9HhTzdBnPg7K2rAELP3Ukoocf4xVHkSFLLEer9xWIKYoQMHEqWKijxKkO h/Mo0q0D+2IM2O2fyLawoiAxbWdzzABEIVo5cM+/wetXyq3VKNsZ1Z1TPm5f3Hs4i1UZP1zcqe60 cHLKvxA2JvkV/SBjDBHvpFJCn/IqPwTdXyQUiD8t0GjCFpLMb1ScjRv2w4CXG8Jmglyniu+qjezv 9AsQsvWY3HnlBEuJ+xteQX8x0rKZNppp73129kAiN+pe1QpUXrfMhw2GyTIlRM9kcnPHTAQTNgHE 2ATTtc1qtYi9GuUYRTcLsGmj/C2Xp+pzTvsI1DWE5KiUAw1xfJ6Aqfn3XEHEv9f3DLPkfWiHPm0k HIeaL1Gj7sveV3UXRqKidznz/yBf7yCJ7PYelbxcQJgWioL/5ggZbNafP8lNaEi2TvUm7+zPu6Eb EwFhWI8nX46Vmqt2x+z3DqCoNuBWaHJACzSOf01nnxC4MkdcuDqSKarv8R9PBhe0ehDJZ2PoJo8+ vL3YBc5VuPGMwV2Sy/wMz8b0Dgz21Aut1Mflo/HIcIfJ4ey3bAFafvSWoSf5+rbrP+4n5TN9l9aT CWAVB7vBCskzKuBITXaC81jE9ndyUArKpa4IjvXMwZ1OYakYJiHo4MecVYQMpx5PkLsK8E/ce0lw iGDEbQ2/Sv1B5gdgRNiaGWGMPmIvabRQ3dnb4DFsFGIVuc1lGfn9n2N5+XyUnz/6Qhoe9eOSg2ly BTPmRrEvvQsqbtpunJKG8qhrtf32Blv4tykyFYMa0hAE/KW6NaSs0Qyqxqqe4cGwMF89K3u8Q8BK ZR2xT8s5OZgjg15FXeFRmZQMLPavCi4E56Dz0wf7Zzj4mywXfsWVCZXFZbEY7AG/8W75kNSb1lrx eYBgQ/iiNAKfwI2xCX+FKTiX/HI/LrERiiJ0jtIdMSz2pu4OGti3cgnnV/cz44HQ7ka0pjq7/XPU /PjPISi8QFXgLIMufVT1gZdpC+3x4rbnBXw6/Car45mKup/CAO1/oE/Fyy/dzrXN76cdAH4zF9B5 tC+DHNZmYESCATmCETJaxXLIhBepCCA9YOBjZ25ncjd2FED6fhwJmMULiP+Duoo4ycaJ8EwAAHBG BjKSsnugZonBtxCn8nawQlRp7tYKms7zdjSc3uhTBq2E5icAxOfqKLD3WQWkxZ80konIzclmlg0Q JsLlz8HmtPYl1A5+ZO8r2mh4ZQwXHaF0Ej8bmBULMyG0DeQu9PidLHXRyxYziv24OtOyco+0Ebtk h+tuM9Z59K20dk7zykmGKeDDorBTrOfEp831ehju36F4rKS6gqdnJ33D4lXZZMjvGlnDnoh/kC8Y RcP/7Wed7wrQwN9pyfspbq4DBM7gCP7iMgkzOLOfW1Ae1PaEE8bhJ00bqX+/QCGeQ0d34NyTLSto SnQYf50aK+OJySmW+nVq4LEVAFmmGSPnUbSWZ6nFDQ12qZVhPB4mTfeVkeQA21Pfeqe3ygGjxtt3 DLegZyv9uzTJfGJA5mjuC8yzwAIwFx7SEnhU7le44ceRGcKYIAvzZ9uvw2XfidXXt4MhA+WL+ISf SeipLCq1FSyBnBXnK0uUOfouWhf9Hj3qq22sGlMs44NbPtC6hkd2FITGpxpaQrablCm70cfG6tHT 2jCIG7cl/ZVEEVUfb78fvL7+5HGEFTl1DprAU4OB+9GeI50uGzEKDBv9OWVD3dasYQYIyyfZIJe4 u6WSoHoBuhNqHRUSfvFSkGf38KhOvo2ZgxBON3c3b/GNRjZKLRY5kU7fkj/wujQo/+aWPVVnuILH F32INQ1qbr0txekr/LgVa6Sb4D8jdbR/ddra+n59fGlM82vDZDC6UTKYU16CpJYL3AaZQ/Fl4Vpw F8HiKs1zAf0xmTSvjmmxaA2FwEFCbVzn8FAzCROqUHBi161Ts1PJ4nLg/eqiSQPzDy01AVF6X6wv 5+KS/+nZ8ymCm2qrY1S/skXH8CKfvtVMqJBI6hGKFeuf6vuOwhzOVNbmEjIyovTAJEYxg8CVHIWC lF+q2ACfgnu12yKKQjnH+mTL5Kj233AE4LqkLwxxMXHfRLqjLW9mT/WSvjXT3gqm1on5m7n4YNmT QjQWbn/hcTHxSCrA9eI9KWOmZPDB7ETC7bbamdeRNDiUVo99QPhFq98Q1e9rtHCedbCgJ5F28zbz 4GloBF52QvjLF/dQZQmYphnKjMPRf7N86xGNFo6XqH7r7bZyeJiv7wJUBqfLg8rZi+wvfUVOhdNF oxUlz9DC0GJzGhlqN0pSj3HRtD3OJWXW5sfAJCKT3vext/q8AZi0UueT5kA3XGwWN8wU0akceHLN DClXawFmkQqaNH4IxBIoOsS+Q3FwtYQA16jglI5JJHelaaxZ7H2s2KKguaSyfpE7gp1pIknRxcFT 96ZoWuf3ON9oOaeqcRI6/0BvqKJ/jnqbTa6AIERoY0dEvfY9QSGxnVGTHiPe4sumw/qVtXYeTtEc APdio+gzrhIkFFgGqEdgQNEIMsgbmlijaGLzyKUS5+uolj5qNG80XbrxiVTdUx3wX6UYfQlkHuXQ QQFlAvMoAq55txoUYQcnWdWyhZDEu5E7tnfFkEe2rnUL0+ymHW2Y+iNrHwVFX3MfcEvJFTEfFVvP M2U/irUgNat+dpf8T2DTtiXzM58noEaZ46ttUuYwbipsFaC57u+MrztBUIOGDvGF4ZEIeQiQ4Zxv UFNOkFHJ+FYODCc4aRDOUnIn3WBUES4GJftb9VaObmVuUXQ0jprx65BOSNtrRk/DcBDABeM1pJUV 8lT5jmrCFDsTnbZInHkBtpRVTv0EC4nkHxtYtryPydGd91DCjoRVvSKSIrotRptvjmzdO1GJWlO+ dPOf7MPqL26u2mL4+tD+oEZE8IxUxJrCuB8pEA/i6p+RIWVLEE7Ky6R0izuDHIB+GmcITCWzBZpE m9HwznqMQMtg3lxrCN1PhoyiDLAJ5dJ1ml9IcTUeXf2CJBJIbKqagTHNVeAp5HWle57kYd5sCDYx nw28CPiKnEfGLnHGV2El5EiPY4czDpwzO4ii5Q3XRkDmCp8dA+EdJQAi7MOX5nH5BtP2jUiFS8pG 59hU5nnR5sToEiYo3J2GDhQBxZOmxp76TnwkuEsh7yYRplpBEDeWIJWeE+8ASsZKGT4GSQ/1xLau 7e4PcgJ1bd4jsRvrChPtLUcvUaBvN3SDAtt4DI1ycenJ76bQ7I3jT87XgEj2aq1yc8658TG9lZr1 E6eUdbDXx82+4BoQYaOUqVrt1mzTajJAImCiRC/x9v28Q8VWRrHGBEJUMKrhnhjTQgu94P+48+oM 80SIVVNF/k3iMZiBFuayMdc1gFAZLR3VQQBkpCM7I7ZxnOe2P7O6ehwpD6CkLfj936tpIu70Kql3 p1X6/2WTFrITjweTxeXGFgZJvCiwgA2tpHn8YxhAl5ZTc887Mp7/I8DqA9aGWhzjFtzQcNUmt4NH FC9s1+ZDPjn7Aior67MoP8pcS5H1jQl2e4euUYK+2vQsAuHp3VNL8EFlQfDWzmLeTT5Cl9FrhkDU rthvFwCDE0B8T+YZ7++j9canv3j96h/BxP9Qnq/QM9hfl/iRhrzQuhRXEBTbfR8Br1owQdptoUYU 19InaU6ePgxdmckvOEzhGmv9bS52kmw2PpKw7o6mbE0Vgmmh8gRnbjhlHnTILyT/+FyYnhYFYNfs G+x29yF5jz4evttQkFQY0JAoAhTspd1zL88OTbehHeIdBNYjpkWkga8x4QV6fydGHh7rYBo/hvS7 67mhjHc93kGErB8bMN1+gtkMJ6YfNRCVYlYwcd7h1dGLEZN0K+wr+e/yOwLLp/rg6jV0uVV4/QUk N75zDSFuwk9ZpmXCu+TEqT3YHNa0HOte7+H0VRnKANqa1LOZT7OXuLcfIeFQDrx+q95tdBozAZyH 5TXvSRvLRs+k76N9eCDDYJP0yDvPi8INMmMh0ThPScgmYhkvSSukUl46mGBdjwLc6pjuaXiQ8qMK za6lT6CWOULAu9h/feUyR7orIL7Px6mYxQ64jci39Go9//6K3dYfayjT6y32yM7jV2jtAg4P0R4e Z7cg5CORTXFQ9Qzj9kZyk09JiUyT5v4kH45BXTxCPBZzGN9OOQp8ZAbKbgci4yy+JoueTSr6vkpd OeiI/fJ66aNFCfymSnvt2clHNYeMAxI+sEX772s9UVhIPmXLA0+0y46CHbHZkhiR7mAOfUi8IiKs fJOAUHdEGX5+dLlj81cec2oFlavE61tALsz/IKw8yTon54ka3p0Cp8ITq3k6eGNlM4Wx+N8k+BNm ZCK+KgKmlJMohMaMvt8mkY04pked4h4emBsZPDQK5geeD6wkM3890dAUbVN5wXaIImVzRFGKlxsI k3tRZmoLt8WrkZ/l6gyPhoOgkZ8aGq8gZ04v++yOPQ2lbdc2ufuYBo9VefPvFypUuFRAt7SBWIsf VByCa4utjHbrb9NW72TsABU+yT5mL0Fcl53qT8huPlDwY3F/50/IVEhV4PefiOEsotL807MJbf6t JtdfzC7gz8M52l+cYJlVdM5ic9rWOiCqEeH3TH1J+rg62G70X7OeNngV3lRhO3noiB/ozwqQhFCd PyM01i4Tf6qHaWxrAyhzvCeCSetJyJJZjtOjuygYwrsqUlrn6KQtbO0U2+qhrp4Rtj3TsP36adJ4 VZeepkQu0jvCk+w+mkkbjnQeRhEZ05QZExVcM6ZLvRjSG3uWrsVaTyHr/JjGXc/wYu6HySC9Suts 3h2cc1syibHYCHWvEIygZcbiU2HDcpkHJS/K0NCrd6YuE5bSfWXw8mQRlGJBt9ZZfIeFW8ER79PY UkY0Xz9JeSkMAGIM+Th7Mp9kPl9jEcCNlhgOuJIirbosNBZm7KAPbxxcdGxmjqFO3jwgCuABM3z8 +IsdFBoiwF8YoCofUiunVyYFvlH+Sn2RWA5W4X7HM4pxITsnN4W6ZtjG9UD/APPwiBXDzoH4m3KS 8xnRM6vHmjZi8wQ1nZjsc55DZYa0Y6z75uKnwUuHuo6f+VHozIFLR4CRfhV6twDieobO2UNo9Nqh S83o3pF8avu+SwR5cT+jNgEKUyFknzRng0wBD3RspK10cTCI26IE9prNjNdEeIMuGWnOoQs4DAlz /POKAIYbVuLwRtWQwQ/6T7gqcHze+QmwHTuq0sCrLtGjZhVBZg0EZNnXJEJ62Oh00HrwsvSfCUF7 5evAlB8+wfb80+MAvyLjaJzv3t00c/KyvzbgFjRwBm/tuXlDkl9UXngLIYyz+vOwlN0g/BEKMIVZ 8j44zMALQbsZiKKyzW593GRpMe5hbpWd4OG++FkXngyxkbpsPBzo2lla6qxzsQLv9Kfz5oYVEUVP aUjUrGgT `protect end_protected
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pkg.ALL; ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL data_chk_wr : STD_LOGIC := '0'; SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_i & empty_chk_i & '0' & '0'; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0 AND C_CH_TYPE /= 2) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state = '0' AND state_d1 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; pwr_tb_stop_run:IF(C_CH_TYPE = 2) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE pwr_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- fifo_flags_checks:IF(C_CH_TYPE /= 2) GENERATE PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; END GENERATE fifo_flags_checks; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 100 ns; PRC_RD_EN <= prc_re_i AFTER 100 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; ----------------------------------------------------- ----------------------------------------------------- -- Wiring logic data checks ----------------------------------------------------- wiring_d_chk:IF(C_CH_TYPE = 2) GENERATE PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN data_chk_wr <= '0'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN IF ((DATA_OUT = DATA_IN) AND (FULL = NOT rd_en_i) AND (EMPTY = NOT wr_en_i)) THEN data_chk_wr <= '0'; ELSE data_chk_wr <= '1'; END IF; END IF; END PROCESS; data_chk_i <= data_chk_wr; PRC_WR_EN <= prc_we_i AFTER 100 ns; PRC_RD_EN <= prc_re_i AFTER 100 ns; END GENERATE wiring_d_chk; RESET_EN <= reset_en_i; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN state_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN state_d1 <= state; END IF; END PROCESS; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_i = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_i = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND EMPTY = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(EMPTY = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; ----------------------------------------------------- -- Wiring logic enable generation ----------------------------------------------------- axi_pw_enable:IF(C_CH_TYPE = 2) GENERATE RESET_EN <= '1'; PROCESS(WR_CLK) BEGIN IF (WR_CLK'event AND WR_CLK='1') THEN wr_en_i <= NOT wr_en_i; rd_en_i <= NOT rd_en_i; END IF; END PROCESS; END GENERATE axi_pw_enable; END ARCHITECTURE;
entity irqc_tb is end entity; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Test case architecture architecture func of irqc_tb is type t_sbi_if is record cs : std_logic; -- to dut addr : unsigned; -- to dut rena : std_logic; -- to dut wena : std_logic; -- to dut wdata : std_logic_vector; -- to dut ready : std_logic; -- from dut rdata : std_logic_vector; -- from dut end record; function init_sbi_if_signals( addr_width : natural; data_width : natural ) return t_sbi_if is variable result : t_sbi_if( addr(addr_width - 1 downto 0), wdata(data_width - 1 downto 0), rdata(data_width - 1 downto 0)); begin result.cs := '0'; result.rena := '0'; result.wena := '0'; result.addr := (others => '0'); result.wdata := (others => '0'); result.ready := 'Z'; result.rdata := (others => 'Z'); return result; end function; signal sbi_if : t_sbi_if(addr(2 downto 0), wdata(7 downto 0), rdata(7 downto 0)) := init_sbi_if_signals(3, 8); procedure write (signal s : inout t_sbi_if) is begin s.cs <= '1'; end write; begin process begin write(sbi_if); wait for 1 ns; assert sbi_if.rdata = (7 downto 0 => 'Z'); assert sbi_if.addr = (2 downto 0 => '0'); assert sbi_if.wdata = (7 downto 0 => '0'); wait; end process; sbi_if.rdata <= (others => '0'); end func;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity base_complementer_tb is end entity; architecture base_complementer_tb_arq of base_complementer_tb is signal number_in : std_logic_vector(15 downto 0) := (others => '0'); signal number_out : std_logic_vector(15 downto 0) := (others => '0'); component base_complementer is generic( TOTAL_BITS : natural := 16 ); port( number_in: in std_logic_vector(TOTAL_BITS - 1 downto 0) := (others => '0'); number_out: out std_logic_vector(TOTAL_BITS - 1 downto 0) := (others => '0') ); end component; for base_complementer_0 : base_complementer use entity work.base_complementer; begin base_complementer_0 : base_complementer generic map(TOTAL_BITS => 16) port map( number_in => number_in, number_out => number_out ); process type pattern_type is record ni : integer; no : integer; end record; -- The patterns to apply. type pattern_array is array (natural range <>) of pattern_type; constant patterns : pattern_array := ( (1,-1), (0,0), (10,-10) ); begin for i in patterns'range loop -- Set the inputs. number_in <= std_logic_vector(to_signed(patterns(i).ni,16)); wait for 1 ns; assert patterns(i).no = to_integer(signed(number_out)) report "BAD COMPLEMENT, GOT: " & integer'image(to_integer(signed(number_out))); -- Check the outputs. end loop; assert false report "end of test" severity note; wait; end process; end;
-- tb_Binarization.vhd -- Generated using ACDS version 13.1 162 at 2015.02.12.09:18:23 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tb_Binarization is end entity tb_Binarization; architecture rtl of tb_Binarization is component Binarization_GN is port ( Clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset_n Avalon_ST_Sink_data : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire Avalon_ST_Sink_endofpacket : in std_logic := 'X'; -- wire Avalon_MM_Slave_address : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire Avalon_MM_Slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire Avalon_ST_Source_valid : out std_logic; -- wire Avalon_ST_Sink_valid : in std_logic := 'X'; -- wire Avalon_ST_Source_endofpacket : out std_logic; -- wire Avalon_ST_Source_startofpacket : out std_logic; -- wire Avalon_ST_Source_ready : in std_logic := 'X'; -- wire Avalon_MM_Slave_write : in std_logic := 'X'; -- wire Avalon_ST_Sink_ready : out std_logic; -- wire Avalon_ST_Sink_startofpacket : in std_logic := 'X'; -- wire Avalon_ST_Source_data : out std_logic_vector(23 downto 0) -- wire ); end component Binarization_GN; component alt_dspbuilder_testbench_clock_GNCGUFKHRR is generic ( SIMULATION_START_CYCLE : natural := 4; RESET_LATENCY : natural := 0; RESET_REGISTER_CASCADE_DEPTH : natural := 0 ); port ( aclr_out : out std_logic; -- reset clock_out : out std_logic; -- clk reg_aclr_out : out std_logic; -- reset tb_aclr : out std_logic -- reset ); end component alt_dspbuilder_testbench_clock_GNCGUFKHRR; component alt_dspbuilder_testbench_salt_GNOXVOQUET is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_testbench_salt_GNOXVOQUET; component alt_dspbuilder_testbench_salt_GNDBMPYDND is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic -- wire ); end component alt_dspbuilder_testbench_salt_GNDBMPYDND; component alt_dspbuilder_testbench_salt_GN6DKNTQ5M is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic_vector(1 downto 0) -- wire ); end component alt_dspbuilder_testbench_salt_GN6DKNTQ5M; component alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic_vector(31 downto 0) -- wire ); end component alt_dspbuilder_testbench_salt_GN7Z4SHGOK; component alt_dspbuilder_testbench_capture_GNQX2JTRTZ is generic ( XFILE : string := "default"; DSPBTYPE : string := "" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic := 'X' -- wire ); end component alt_dspbuilder_testbench_capture_GNQX2JTRTZ; component alt_dspbuilder_testbench_capture_GNHCRI5YMO is generic ( XFILE : string := "default"; DSPBTYPE : string := "" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_testbench_capture_GNHCRI5YMO; signal salt_avalon_st_sink_data_output_wire : std_logic_vector(23 downto 0); -- salt_Avalon_ST_Sink_data:output -> dut:Avalon_ST_Sink_data signal clock_clock_tb_reset : std_logic; -- Clock:tb_aclr -> [salt_Avalon_MM_Slave_address:aclr, salt_Avalon_MM_Slave_write:aclr, salt_Avalon_MM_Slave_writedata:aclr, salt_Avalon_ST_Sink_data:aclr, salt_Avalon_ST_Sink_endofpacket:aclr, salt_Avalon_ST_Sink_startofpacket:aclr, salt_Avalon_ST_Sink_valid:aclr, salt_Avalon_ST_Source_ready:aclr] signal clock_clock_tb_clk : std_logic; -- Clock:clock_out -> [capture_Avalon_ST_Sink_ready:clock, capture_Avalon_ST_Source_data:clock, capture_Avalon_ST_Source_endofpacket:clock, capture_Avalon_ST_Source_startofpacket:clock, capture_Avalon_ST_Source_valid:clock, dut:Clock, salt_Avalon_MM_Slave_address:clock, salt_Avalon_MM_Slave_write:clock, salt_Avalon_MM_Slave_writedata:clock, salt_Avalon_ST_Sink_data:clock, salt_Avalon_ST_Sink_endofpacket:clock, salt_Avalon_ST_Sink_startofpacket:clock, salt_Avalon_ST_Sink_valid:clock, salt_Avalon_ST_Source_ready:clock] signal salt_avalon_st_sink_endofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_endofpacket:output -> dut:Avalon_ST_Sink_endofpacket signal salt_avalon_mm_slave_address_output_wire : std_logic_vector(1 downto 0); -- salt_Avalon_MM_Slave_address:output -> dut:Avalon_MM_Slave_address signal salt_avalon_mm_slave_writedata_output_wire : std_logic_vector(31 downto 0); -- salt_Avalon_MM_Slave_writedata:output -> dut:Avalon_MM_Slave_writedata signal salt_avalon_st_sink_valid_output_wire : std_logic; -- salt_Avalon_ST_Sink_valid:output -> dut:Avalon_ST_Sink_valid signal salt_avalon_st_source_ready_output_wire : std_logic; -- salt_Avalon_ST_Source_ready:output -> dut:Avalon_ST_Source_ready signal salt_avalon_mm_slave_write_output_wire : std_logic; -- salt_Avalon_MM_Slave_write:output -> dut:Avalon_MM_Slave_write signal salt_avalon_st_sink_startofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_startofpacket:output -> dut:Avalon_ST_Sink_startofpacket signal dut_avalon_st_source_valid_wire : std_logic; -- dut:Avalon_ST_Source_valid -> capture_Avalon_ST_Source_valid:input signal clock_clock_reg_reset_reset : std_logic; -- Clock:reg_aclr_out -> [capture_Avalon_ST_Sink_ready:aclr, capture_Avalon_ST_Source_data:aclr, capture_Avalon_ST_Source_endofpacket:aclr, capture_Avalon_ST_Source_startofpacket:aclr, capture_Avalon_ST_Source_valid:aclr] signal dut_avalon_st_source_endofpacket_wire : std_logic; -- dut:Avalon_ST_Source_endofpacket -> capture_Avalon_ST_Source_endofpacket:input signal dut_avalon_st_source_startofpacket_wire : std_logic; -- dut:Avalon_ST_Source_startofpacket -> capture_Avalon_ST_Source_startofpacket:input signal dut_avalon_st_sink_ready_wire : std_logic; -- dut:Avalon_ST_Sink_ready -> capture_Avalon_ST_Sink_ready:input signal dut_avalon_st_source_data_wire : std_logic_vector(23 downto 0); -- dut:Avalon_ST_Source_data -> capture_Avalon_ST_Source_data:input signal clock_clock_output_reset : std_logic; -- Clock:aclr_out -> clock_clock_output_reset:in signal clock_clock_output_reset_ports_inv : std_logic; -- clock_clock_output_reset:inv -> dut:aclr begin dut : component Binarization_GN port map ( Clock => clock_clock_tb_clk, -- Clock.clk aclr => clock_clock_output_reset_ports_inv, -- .reset_n Avalon_ST_Sink_data => salt_avalon_st_sink_data_output_wire, -- Avalon_ST_Sink_data.wire Avalon_ST_Sink_endofpacket => salt_avalon_st_sink_endofpacket_output_wire, -- Avalon_ST_Sink_endofpacket.wire Avalon_MM_Slave_address => salt_avalon_mm_slave_address_output_wire, -- Avalon_MM_Slave_address.wire Avalon_MM_Slave_writedata => salt_avalon_mm_slave_writedata_output_wire, -- Avalon_MM_Slave_writedata.wire Avalon_ST_Source_valid => dut_avalon_st_source_valid_wire, -- Avalon_ST_Source_valid.wire Avalon_ST_Sink_valid => salt_avalon_st_sink_valid_output_wire, -- Avalon_ST_Sink_valid.wire Avalon_ST_Source_endofpacket => dut_avalon_st_source_endofpacket_wire, -- Avalon_ST_Source_endofpacket.wire Avalon_ST_Source_startofpacket => dut_avalon_st_source_startofpacket_wire, -- Avalon_ST_Source_startofpacket.wire Avalon_ST_Source_ready => salt_avalon_st_source_ready_output_wire, -- Avalon_ST_Source_ready.wire Avalon_MM_Slave_write => salt_avalon_mm_slave_write_output_wire, -- Avalon_MM_Slave_write.wire Avalon_ST_Sink_ready => dut_avalon_st_sink_ready_wire, -- Avalon_ST_Sink_ready.wire Avalon_ST_Sink_startofpacket => salt_avalon_st_sink_startofpacket_output_wire, -- Avalon_ST_Sink_startofpacket.wire Avalon_ST_Source_data => dut_avalon_st_source_data_wire -- Avalon_ST_Source_data.wire ); clock : component alt_dspbuilder_testbench_clock_GNCGUFKHRR generic map ( SIMULATION_START_CYCLE => 5, RESET_LATENCY => 0, RESET_REGISTER_CASCADE_DEPTH => 0 ) port map ( clock_out => clock_clock_tb_clk, -- clock_tb.clk tb_aclr => clock_clock_tb_reset, -- .reset aclr_out => clock_clock_output_reset, -- clock_output.reset reg_aclr_out => clock_clock_reg_reset_reset -- clock_reg_reset.reset ); salt_avalon_st_sink_data : component alt_dspbuilder_testbench_salt_GNOXVOQUET generic map ( XFILE => "Binarization_Avalon-ST+Sink_data.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_data_output_wire -- output.wire ); salt_avalon_st_sink_endofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Sink_endofpacket.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_endofpacket_output_wire -- output.wire ); salt_avalon_mm_slave_address : component alt_dspbuilder_testbench_salt_GN6DKNTQ5M generic map ( XFILE => "Binarization_Avalon-MM+Slave_address.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_mm_slave_address_output_wire -- output.wire ); salt_avalon_mm_slave_writedata : component alt_dspbuilder_testbench_salt_GN7Z4SHGOK generic map ( XFILE => "Binarization_Avalon-MM+Slave_writedata.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_mm_slave_writedata_output_wire -- output.wire ); salt_avalon_st_sink_valid : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Sink_valid.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_valid_output_wire -- output.wire ); salt_avalon_st_source_ready : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Source_ready.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_source_ready_output_wire -- output.wire ); salt_avalon_mm_slave_write : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-MM+Slave_write.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_mm_slave_write_output_wire -- output.wire ); salt_avalon_st_sink_startofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Sink_startofpacket.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_startofpacket_output_wire -- output.wire ); capture_avalon_st_source_valid : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Source_valid.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_valid_wire -- input.wire ); capture_avalon_st_source_endofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Source_endofpacket.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_endofpacket_wire -- input.wire ); capture_avalon_st_source_startofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Source_startofpacket.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_startofpacket_wire -- input.wire ); capture_avalon_st_sink_ready : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Sink_ready.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_sink_ready_wire -- input.wire ); capture_avalon_st_source_data : component alt_dspbuilder_testbench_capture_GNHCRI5YMO generic map ( XFILE => "Binarization_Avalon-ST+Source_data.capture.msim", DSPBTYPE => "UINT [24, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_data_wire -- input.wire ); clock_clock_output_reset_ports_inv <= not clock_clock_output_reset; end architecture rtl; -- of tb_Binarization
-- tb_Binarization.vhd -- Generated using ACDS version 13.1 162 at 2015.02.12.09:18:23 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tb_Binarization is end entity tb_Binarization; architecture rtl of tb_Binarization is component Binarization_GN is port ( Clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset_n Avalon_ST_Sink_data : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire Avalon_ST_Sink_endofpacket : in std_logic := 'X'; -- wire Avalon_MM_Slave_address : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire Avalon_MM_Slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire Avalon_ST_Source_valid : out std_logic; -- wire Avalon_ST_Sink_valid : in std_logic := 'X'; -- wire Avalon_ST_Source_endofpacket : out std_logic; -- wire Avalon_ST_Source_startofpacket : out std_logic; -- wire Avalon_ST_Source_ready : in std_logic := 'X'; -- wire Avalon_MM_Slave_write : in std_logic := 'X'; -- wire Avalon_ST_Sink_ready : out std_logic; -- wire Avalon_ST_Sink_startofpacket : in std_logic := 'X'; -- wire Avalon_ST_Source_data : out std_logic_vector(23 downto 0) -- wire ); end component Binarization_GN; component alt_dspbuilder_testbench_clock_GNCGUFKHRR is generic ( SIMULATION_START_CYCLE : natural := 4; RESET_LATENCY : natural := 0; RESET_REGISTER_CASCADE_DEPTH : natural := 0 ); port ( aclr_out : out std_logic; -- reset clock_out : out std_logic; -- clk reg_aclr_out : out std_logic; -- reset tb_aclr : out std_logic -- reset ); end component alt_dspbuilder_testbench_clock_GNCGUFKHRR; component alt_dspbuilder_testbench_salt_GNOXVOQUET is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_testbench_salt_GNOXVOQUET; component alt_dspbuilder_testbench_salt_GNDBMPYDND is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic -- wire ); end component alt_dspbuilder_testbench_salt_GNDBMPYDND; component alt_dspbuilder_testbench_salt_GN6DKNTQ5M is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic_vector(1 downto 0) -- wire ); end component alt_dspbuilder_testbench_salt_GN6DKNTQ5M; component alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic_vector(31 downto 0) -- wire ); end component alt_dspbuilder_testbench_salt_GN7Z4SHGOK; component alt_dspbuilder_testbench_capture_GNQX2JTRTZ is generic ( XFILE : string := "default"; DSPBTYPE : string := "" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic := 'X' -- wire ); end component alt_dspbuilder_testbench_capture_GNQX2JTRTZ; component alt_dspbuilder_testbench_capture_GNHCRI5YMO is generic ( XFILE : string := "default"; DSPBTYPE : string := "" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_testbench_capture_GNHCRI5YMO; signal salt_avalon_st_sink_data_output_wire : std_logic_vector(23 downto 0); -- salt_Avalon_ST_Sink_data:output -> dut:Avalon_ST_Sink_data signal clock_clock_tb_reset : std_logic; -- Clock:tb_aclr -> [salt_Avalon_MM_Slave_address:aclr, salt_Avalon_MM_Slave_write:aclr, salt_Avalon_MM_Slave_writedata:aclr, salt_Avalon_ST_Sink_data:aclr, salt_Avalon_ST_Sink_endofpacket:aclr, salt_Avalon_ST_Sink_startofpacket:aclr, salt_Avalon_ST_Sink_valid:aclr, salt_Avalon_ST_Source_ready:aclr] signal clock_clock_tb_clk : std_logic; -- Clock:clock_out -> [capture_Avalon_ST_Sink_ready:clock, capture_Avalon_ST_Source_data:clock, capture_Avalon_ST_Source_endofpacket:clock, capture_Avalon_ST_Source_startofpacket:clock, capture_Avalon_ST_Source_valid:clock, dut:Clock, salt_Avalon_MM_Slave_address:clock, salt_Avalon_MM_Slave_write:clock, salt_Avalon_MM_Slave_writedata:clock, salt_Avalon_ST_Sink_data:clock, salt_Avalon_ST_Sink_endofpacket:clock, salt_Avalon_ST_Sink_startofpacket:clock, salt_Avalon_ST_Sink_valid:clock, salt_Avalon_ST_Source_ready:clock] signal salt_avalon_st_sink_endofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_endofpacket:output -> dut:Avalon_ST_Sink_endofpacket signal salt_avalon_mm_slave_address_output_wire : std_logic_vector(1 downto 0); -- salt_Avalon_MM_Slave_address:output -> dut:Avalon_MM_Slave_address signal salt_avalon_mm_slave_writedata_output_wire : std_logic_vector(31 downto 0); -- salt_Avalon_MM_Slave_writedata:output -> dut:Avalon_MM_Slave_writedata signal salt_avalon_st_sink_valid_output_wire : std_logic; -- salt_Avalon_ST_Sink_valid:output -> dut:Avalon_ST_Sink_valid signal salt_avalon_st_source_ready_output_wire : std_logic; -- salt_Avalon_ST_Source_ready:output -> dut:Avalon_ST_Source_ready signal salt_avalon_mm_slave_write_output_wire : std_logic; -- salt_Avalon_MM_Slave_write:output -> dut:Avalon_MM_Slave_write signal salt_avalon_st_sink_startofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_startofpacket:output -> dut:Avalon_ST_Sink_startofpacket signal dut_avalon_st_source_valid_wire : std_logic; -- dut:Avalon_ST_Source_valid -> capture_Avalon_ST_Source_valid:input signal clock_clock_reg_reset_reset : std_logic; -- Clock:reg_aclr_out -> [capture_Avalon_ST_Sink_ready:aclr, capture_Avalon_ST_Source_data:aclr, capture_Avalon_ST_Source_endofpacket:aclr, capture_Avalon_ST_Source_startofpacket:aclr, capture_Avalon_ST_Source_valid:aclr] signal dut_avalon_st_source_endofpacket_wire : std_logic; -- dut:Avalon_ST_Source_endofpacket -> capture_Avalon_ST_Source_endofpacket:input signal dut_avalon_st_source_startofpacket_wire : std_logic; -- dut:Avalon_ST_Source_startofpacket -> capture_Avalon_ST_Source_startofpacket:input signal dut_avalon_st_sink_ready_wire : std_logic; -- dut:Avalon_ST_Sink_ready -> capture_Avalon_ST_Sink_ready:input signal dut_avalon_st_source_data_wire : std_logic_vector(23 downto 0); -- dut:Avalon_ST_Source_data -> capture_Avalon_ST_Source_data:input signal clock_clock_output_reset : std_logic; -- Clock:aclr_out -> clock_clock_output_reset:in signal clock_clock_output_reset_ports_inv : std_logic; -- clock_clock_output_reset:inv -> dut:aclr begin dut : component Binarization_GN port map ( Clock => clock_clock_tb_clk, -- Clock.clk aclr => clock_clock_output_reset_ports_inv, -- .reset_n Avalon_ST_Sink_data => salt_avalon_st_sink_data_output_wire, -- Avalon_ST_Sink_data.wire Avalon_ST_Sink_endofpacket => salt_avalon_st_sink_endofpacket_output_wire, -- Avalon_ST_Sink_endofpacket.wire Avalon_MM_Slave_address => salt_avalon_mm_slave_address_output_wire, -- Avalon_MM_Slave_address.wire Avalon_MM_Slave_writedata => salt_avalon_mm_slave_writedata_output_wire, -- Avalon_MM_Slave_writedata.wire Avalon_ST_Source_valid => dut_avalon_st_source_valid_wire, -- Avalon_ST_Source_valid.wire Avalon_ST_Sink_valid => salt_avalon_st_sink_valid_output_wire, -- Avalon_ST_Sink_valid.wire Avalon_ST_Source_endofpacket => dut_avalon_st_source_endofpacket_wire, -- Avalon_ST_Source_endofpacket.wire Avalon_ST_Source_startofpacket => dut_avalon_st_source_startofpacket_wire, -- Avalon_ST_Source_startofpacket.wire Avalon_ST_Source_ready => salt_avalon_st_source_ready_output_wire, -- Avalon_ST_Source_ready.wire Avalon_MM_Slave_write => salt_avalon_mm_slave_write_output_wire, -- Avalon_MM_Slave_write.wire Avalon_ST_Sink_ready => dut_avalon_st_sink_ready_wire, -- Avalon_ST_Sink_ready.wire Avalon_ST_Sink_startofpacket => salt_avalon_st_sink_startofpacket_output_wire, -- Avalon_ST_Sink_startofpacket.wire Avalon_ST_Source_data => dut_avalon_st_source_data_wire -- Avalon_ST_Source_data.wire ); clock : component alt_dspbuilder_testbench_clock_GNCGUFKHRR generic map ( SIMULATION_START_CYCLE => 5, RESET_LATENCY => 0, RESET_REGISTER_CASCADE_DEPTH => 0 ) port map ( clock_out => clock_clock_tb_clk, -- clock_tb.clk tb_aclr => clock_clock_tb_reset, -- .reset aclr_out => clock_clock_output_reset, -- clock_output.reset reg_aclr_out => clock_clock_reg_reset_reset -- clock_reg_reset.reset ); salt_avalon_st_sink_data : component alt_dspbuilder_testbench_salt_GNOXVOQUET generic map ( XFILE => "Binarization_Avalon-ST+Sink_data.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_data_output_wire -- output.wire ); salt_avalon_st_sink_endofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Sink_endofpacket.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_endofpacket_output_wire -- output.wire ); salt_avalon_mm_slave_address : component alt_dspbuilder_testbench_salt_GN6DKNTQ5M generic map ( XFILE => "Binarization_Avalon-MM+Slave_address.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_mm_slave_address_output_wire -- output.wire ); salt_avalon_mm_slave_writedata : component alt_dspbuilder_testbench_salt_GN7Z4SHGOK generic map ( XFILE => "Binarization_Avalon-MM+Slave_writedata.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_mm_slave_writedata_output_wire -- output.wire ); salt_avalon_st_sink_valid : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Sink_valid.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_valid_output_wire -- output.wire ); salt_avalon_st_source_ready : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Source_ready.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_source_ready_output_wire -- output.wire ); salt_avalon_mm_slave_write : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-MM+Slave_write.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_mm_slave_write_output_wire -- output.wire ); salt_avalon_st_sink_startofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Binarization_Avalon-ST+Sink_startofpacket.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_startofpacket_output_wire -- output.wire ); capture_avalon_st_source_valid : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Source_valid.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_valid_wire -- input.wire ); capture_avalon_st_source_endofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Source_endofpacket.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_endofpacket_wire -- input.wire ); capture_avalon_st_source_startofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Source_startofpacket.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_startofpacket_wire -- input.wire ); capture_avalon_st_sink_ready : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Binarization_Avalon-ST+Sink_ready.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_sink_ready_wire -- input.wire ); capture_avalon_st_source_data : component alt_dspbuilder_testbench_capture_GNHCRI5YMO generic map ( XFILE => "Binarization_Avalon-ST+Source_data.capture.msim", DSPBTYPE => "UINT [24, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_data_wire -- input.wire ); clock_clock_output_reset_ports_inv <= not clock_clock_output_reset; end architecture rtl; -- of tb_Binarization
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2444.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x02p01n01i02444ent IS type idx is range 0 to 15; type aray is array (idx) of positive; END c07s03b02x02p01n01i02444ent; ARCHITECTURE c07s03b02x02p01n01i02444arch OF c07s03b02x02p01n01i02444ent IS signal sig : aray; BEGIN TESTING: PROCESS BEGIN sig <= (others => 0); wait for 5 ns; assert FALSE report "***FAILED TEST: c07s03b02x02p01n01i02444 - An aggregate of a one-dimensional array type the expression of each element association must be of the element type." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x02p01n01i02444arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2444.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x02p01n01i02444ent IS type idx is range 0 to 15; type aray is array (idx) of positive; END c07s03b02x02p01n01i02444ent; ARCHITECTURE c07s03b02x02p01n01i02444arch OF c07s03b02x02p01n01i02444ent IS signal sig : aray; BEGIN TESTING: PROCESS BEGIN sig <= (others => 0); wait for 5 ns; assert FALSE report "***FAILED TEST: c07s03b02x02p01n01i02444 - An aggregate of a one-dimensional array type the expression of each element association must be of the element type." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x02p01n01i02444arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2444.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x02p01n01i02444ent IS type idx is range 0 to 15; type aray is array (idx) of positive; END c07s03b02x02p01n01i02444ent; ARCHITECTURE c07s03b02x02p01n01i02444arch OF c07s03b02x02p01n01i02444ent IS signal sig : aray; BEGIN TESTING: PROCESS BEGIN sig <= (others => 0); wait for 5 ns; assert FALSE report "***FAILED TEST: c07s03b02x02p01n01i02444 - An aggregate of a one-dimensional array type the expression of each element association must be of the element type." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x02p01n01i02444arch;
architecture RTL of ENTITY_NAME is begin process begin -- Test all possible combinations WAIT_LABEL : wait on sig1, sig2, sig3 until some condition met for some time_expression; WAIT_LABEL : wait on sig1, sig2, sig3 until some condition met; WAIT_LABEL : wait on sig1, sig2, sig3 for some time_expression; WAIT_LABEL : wait on sig1, sig2, sig3; WAIT_LABEL : wait until some condition met for some time_expression; WAIT_LABEL : wait until some condition met; WAIT_LABEL : wait for some time_expression; WAIT_LABEL : wait; -- Test without a label wait on sig1, sig2, sig3 until some condition met for some time_expression; wait on sig1, sig2, sig3 until some condition met; wait on sig1, sig2, sig3 for some time_expression; wait on sig1, sig2, sig3; wait until some condition met for some time_expression; wait until some condition met; wait for some time_expression; wait; end process; end architecture RTL;