content
stringlengths 1
1.04M
⌀ |
---|
architecture RTL of FIFO is
file defaultImage : load_file_type open read_mode is load_file_name;
FILE defaultImage : load_file_type open read_mode is load_file_name;
File defaultImage : load_file_type open read_mode is load_file_name;
begin
end;
|
architecture rtl of fifo is
begin
process begin
LOOP end loop;
LOOP END LOOP;
end process;
end;
|
-----------------------------------------------------------------------
-- Project : Invent a Chip
-- Authors : Christian Leibold
-- Year : 2013
-- Description : This is an really awesome example. The module waits
-- until an audio sample on the left or right channel
-- has been sampled. The current sample will be taken
-- and copied into the corresponding out register of
-- the audio interface.
-----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.iac_pkg.all;
entity invent_a_chip is
port (
-- Global Signals
clock : in std_ulogic;
reset : in std_ulogic;
-- Interface Signals
-- 7-Seg
sevenseg_cs : out std_ulogic;
sevenseg_wr : out std_ulogic;
sevenseg_addr : out std_ulogic_vector(CW_ADDR_SEVENSEG-1 downto 0);
sevenseg_din : in std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0);
sevenseg_dout : out std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0);
-- ADC/DAC
adc_dac_cs : out std_ulogic;
adc_dac_wr : out std_ulogic;
adc_dac_addr : out std_ulogic_vector(CW_ADDR_ADC_DAC-1 downto 0);
adc_dac_din : in std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0);
adc_dac_dout : out std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0);
-- AUDIO
audio_cs : out std_ulogic;
audio_wr : out std_ulogic;
audio_addr : out std_ulogic_vector(CW_ADDR_AUDIO-1 downto 0);
audio_din : in std_ulogic_vector(CW_DATA_AUDIO-1 downto 0);
audio_dout : out std_ulogic_vector(CW_DATA_AUDIO-1 downto 0);
audio_irq_left : in std_ulogic;
audio_irq_right : in std_ulogic;
audio_ack_left : out std_ulogic;
audio_ack_right : out std_ulogic;
-- Infra-red Receiver
ir_cs : out std_ulogic;
ir_wr : out std_ulogic;
ir_addr : out std_ulogic_vector(CW_ADDR_IR-1 downto 0);
ir_din : in std_ulogic_vector(CW_DATA_IR-1 downto 0);
ir_dout : out std_ulogic_vector(CW_DATA_IR-1 downto 0);
ir_irq_rx : in std_ulogic;
ir_ack_rx : out std_ulogic;
-- LCD
lcd_cs : out std_ulogic;
lcd_wr : out std_ulogic;
lcd_addr : out std_ulogic_vector(CW_ADDR_LCD-1 downto 0);
lcd_din : in std_ulogic_vector(CW_DATA_LCD-1 downto 0);
lcd_dout : out std_ulogic_vector(CW_DATA_LCD-1 downto 0);
lcd_irq_rdy : in std_ulogic;
lcd_ack_rdy : out std_ulogic;
-- SRAM
sram_cs : out std_ulogic;
sram_wr : out std_ulogic;
sram_addr : out std_ulogic_vector(CW_ADDR_SRAM-1 downto 0);
sram_din : in std_ulogic_vector(CW_DATA_SRAM-1 downto 0);
sram_dout : out std_ulogic_vector(CW_DATA_SRAM-1 downto 0);
-- UART
uart_cs : out std_ulogic;
uart_wr : out std_ulogic;
uart_addr : out std_ulogic_vector(CW_ADDR_UART-1 downto 0);
uart_din : in std_ulogic_vector(CW_DATA_UART-1 downto 0);
uart_dout : out std_ulogic_vector(CW_DATA_UART-1 downto 0);
uart_irq_rx : in std_ulogic;
uart_irq_tx : in std_ulogic;
uart_ack_rx : out std_ulogic;
uart_ack_tx : out std_ulogic;
-- GPIO
gp_ctrl : out std_ulogic_vector(15 downto 0);
gp_in : in std_ulogic_vector(15 downto 0);
gp_out : out std_ulogic_vector(15 downto 0);
-- LED/Switches/Keys
led_green : out std_ulogic_vector(8 downto 0);
led_red : out std_ulogic_vector(17 downto 0);
switch : in std_ulogic_vector(17 downto 0);
key : in std_ulogic_vector(2 downto 0)
);
end invent_a_chip;
architecture rtl of invent_a_chip is
-- state register
type state_t is (S_INIT, S_WAIT_SAMPLE, S_WRITE_SAMPLE_LEFT, S_WRITE_SAMPLE_RIGHT);
signal state, state_nxt : state_t;
signal sample, sample_nxt : std_ulogic_vector(CW_AUDIO_SAMPLE-1 downto 0);
begin
-- sequential process
process(clock, reset)
begin
-- asynchronous reset
if reset = '1' then
sample <= (others => '0');
state <= S_INIT;
elsif rising_edge(clock) then
sample <= sample_nxt;
state <= state_nxt;
end if;
end process;
-- combinational process contains logic only
process(state, key, audio_din, audio_irq_left, audio_irq_right, sample)
begin
-- default assignments
-- set default values for the internal bus -> zero on all signals means, nothing will happen
audio_cs <= '0';
audio_wr <= '0';
audio_addr <= (others => '0');
audio_dout <= (others => '0');
audio_ack_left <= '0';
audio_ack_right <= '0';
led_green <= (others => '0');
-- hold previous values of all registers
sample_nxt <= sample;
state_nxt <= state;
case state is
-- Initial start state
when S_INIT =>
led_green(0) <= '1';
-- Wait for a press on KEY0 to start the function
if key(0) = '1' then
-- next state
state_nxt <= S_WAIT_SAMPLE;
end if;
when S_WAIT_SAMPLE =>
led_green(1) <= '1';
if audio_irq_right = '1' then
audio_cs <= '1';
audio_ack_right <= '1';
audio_addr <= CV_ADDR_AUDIO_RIGHT_IN;
sample_nxt <= audio_din;
state_nxt <= S_WRITE_SAMPLE_RIGHT;
end if;
if audio_irq_left = '1' then
audio_cs <= '1';
audio_ack_left <= '1';
audio_addr <= CV_ADDR_AUDIO_LEFT_IN;
sample_nxt <= audio_din;
state_nxt <= S_WRITE_SAMPLE_LEFT;
end if;
when S_WRITE_SAMPLE_LEFT =>
led_green(4) <= '1';
audio_cs <= '1';
audio_wr <= '1';
audio_addr <= CV_ADDR_AUDIO_LEFT_OUT;
audio_dout <= sample;
state_nxt <= S_WAIT_SAMPLE;
when S_WRITE_SAMPLE_RIGHT =>
led_green(5) <= '1';
audio_cs <= '1';
audio_wr <= '1';
audio_addr <= CV_ADDR_AUDIO_RIGHT_OUT;
audio_dout <= sample;
state_nxt <= S_WAIT_SAMPLE;
end case;
end process;
-- Default assignment for the general-purpose-outs (not used in the example)
gp_ctrl <= (others => '0');
gp_out <= (others => '0');
led_red <= (others => '0');
sevenseg_cs <= '0';
sevenseg_wr <= '0';
sevenseg_addr <= (others => '0');
sevenseg_dout <= (others => '0');
adc_dac_cs <= '0';
adc_dac_wr <= '0';
adc_dac_addr <= (others => '0');
adc_dac_dout <= (others => '0');
ir_cs <= '0';
ir_wr <= '0';
ir_addr <= (others => '0');
ir_dout <= (others => '0');
ir_ack_rx <= '0';
lcd_cs <= '0';
lcd_wr <= '0';
lcd_addr <= (others => '0');
lcd_dout <= (others => '0');
lcd_ack_rdy <= '0';
sram_cs <= '0';
sram_wr <= '0';
sram_addr <= (others => '0');
sram_dout <= (others => '0');
uart_cs <= '0';
uart_wr <= '0';
uart_addr <= (others => '0');
uart_dout <= (others => '0');
uart_ack_rx <= '0';
uart_ack_tx <= '0';
end rtl; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.ax_wb_pli_pkg.all;
entity ax_wb_pli is
end entity ax_wb_pli;
architecture behav of ax_wb_pli is
constant cQUEUE_ID : integer := 0;
shared variable queue_handle : integer;
begin
init_bus_handle : process
begin
queue_handle := init_queue(cQUEUE_ID);
if (queue_handle = 0) then
assert false report "Failed to register Message Queue";
end if;
wait for 1 us;
delete_queue(cQUEUE_ID);
end process;
end behav;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.ax_wb_pli_pkg.all;
entity ax_wb_pli is
end entity ax_wb_pli;
architecture behav of ax_wb_pli is
constant cQUEUE_ID : integer := 0;
shared variable queue_handle : integer;
begin
init_bus_handle : process
begin
queue_handle := init_queue(cQUEUE_ID);
if (queue_handle = 0) then
assert false report "Failed to register Message Queue";
end if;
wait for 1 us;
delete_queue(cQUEUE_ID);
end process;
end behav;
|
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- --
-- Copyright (c) 2009-2013 Tobias Gubener --
-- Subdesign fAMpIGA by TobiFlex --
-- --
-- This source file is free software: you can redistribute it and/or modify --
-- it under the terms of the GNU General Public License as published --
-- by the Free Software Foundation, either version 3 of the License, or --
-- (at your option) any later version. --
-- --
-- This source file is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
-- --
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package TG68K_Pack is
type micro_states is (idle, nop, ld_nn, st_nn, ld_dAn1, ld_AnXn1, ld_AnXn2, st_dAn1, ld_AnXnbd1, ld_AnXnbd2, ld_AnXnbd3,
ld_229_1, ld_229_2, ld_229_3, ld_229_4, st_229_1, st_229_2, st_229_3, st_229_4,
st_AnXn1, st_AnXn2, bra1, bsr1, bsr2, nopnop, dbcc1, movem1, movem2, movem3,
andi, op_AxAy, cmpm, link1, link2, unlink1, unlink2, int1, int2, int3, int4, rte1, rte2, rte3, trap0, trap1, trap2, trap3,
movec1, movep1, movep2, movep3, movep4, movep5, rota1, bf1,
mul1, mul2, mul_end1, mul_end2, div1, div2, div3, div4, div_end1, div_end2);
constant opcMOVE : integer := 0; --
constant opcMOVEQ : integer := 1; --
constant opcMOVESR : integer := 2; --
constant opcADD : integer := 3; --
constant opcADDQ : integer := 4; --
constant opcOR : integer := 5; --
constant opcAND : integer := 6; --
constant opcEOR : integer := 7; --
constant opcCMP : integer := 8; --
constant opcROT : integer := 9; --
constant opcCPMAW : integer := 10;
constant opcEXT : integer := 11; --
constant opcABCD : integer := 12; --
constant opcSBCD : integer := 13; --
constant opcBITS : integer := 14; --
constant opcSWAP : integer := 15; --
constant opcScc : integer := 16; --
constant andiSR : integer := 17; --
constant eoriSR : integer := 18; --
constant oriSR : integer := 19; --
constant opcMULU : integer := 20; --
constant opcDIVU : integer := 21; --
constant dispouter : integer := 22; --
constant rot_nop : integer := 23; --
constant ld_rot_cnt : integer := 24; --
constant writePC_add : integer := 25; --
constant ea_data_OP1 : integer := 26; --
constant ea_data_OP2 : integer := 27; --
constant use_XZFlag : integer := 28; --
constant get_bfoffset : integer := 29; --
constant save_memaddr : integer := 30; --
constant opcCHK : integer := 31; --
constant movec_rd : integer := 32; --
constant movec_wr : integer := 33; --
constant Regwrena : integer := 34; --
constant update_FC : integer := 35; --
constant linksp : integer := 36; --
constant movepl : integer := 37; --
constant update_ld : integer := 38; --
constant OP1addr : integer := 39; --
constant write_reg : integer := 40; --
constant changeMode : integer := 41; --
constant ea_build : integer := 42; --
constant trap_chk : integer := 43; --
constant store_ea_data : integer := 44; --
constant addrlong : integer := 45; --
constant postadd : integer := 46; --
constant presub : integer := 47; --
constant subidx : integer := 48; --
constant no_Flags : integer := 49; --
constant use_SP : integer := 50; --
constant to_CCR : integer := 51; --
constant to_SR : integer := 52; --
constant OP2out_one : integer := 53; --
constant OP1out_zero : integer := 54; --
constant mem_addsub : integer := 55; --
constant addsub : integer := 56; --
constant directPC : integer := 57; --
constant direct_delta : integer := 58; --
constant directSR : integer := 59; --
constant directCCR : integer := 60; --
constant exg : integer := 61; --
constant get_ea_now : integer := 62; --
constant ea_to_pc : integer := 63; --
constant hold_dwr : integer := 64; --
constant to_USP : integer := 65; --
constant from_USP : integer := 66; --
constant write_lowlong : integer := 67; --
constant write_reminder : integer := 68; --
constant movem_action : integer := 69; --
constant briefext : integer := 70; --
constant get_2ndOPC : integer := 71; --
constant mem_byte : integer := 72; --
constant longaktion : integer := 73; --
constant opcRESET : integer := 74; --
constant opcBF : integer := 75; --
constant opcBFwb : integer := 76; --
constant s2nd_hbits : integer := 77; --
-- constant : integer := 75; --
-- constant : integer := 76; --
-- constant : integer := 7; --
-- constant : integer := 7; --
-- constant : integer := 7; --
constant lastOpcBit : integer := 77;
component TG68K_ALU
generic(
MUL_Mode : integer := 0; --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no MUL,
DIV_Mode : integer := 0 --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no DIV,
);
port(
clk : in std_logic;
Reset : in std_logic;
clkena_lw : in std_logic:='1';
execOPC : in bit;
exe_condition : in std_logic;
exec_tas : in std_logic;
long_start : in bit;
movem_presub : in bit;
set_stop : in bit;
Z_error : in bit;
rot_bits : in std_logic_vector(1 downto 0);
exec : in bit_vector(lastOpcBit downto 0);
OP1out : in std_logic_vector(31 downto 0);
OP2out : in std_logic_vector(31 downto 0);
reg_QA : in std_logic_vector(31 downto 0);
reg_QB : in std_logic_vector(31 downto 0);
opcode : in std_logic_vector(15 downto 0);
datatype : in std_logic_vector(1 downto 0);
exe_opcode : in std_logic_vector(15 downto 0);
exe_datatype : in std_logic_vector(1 downto 0);
sndOPC : in std_logic_vector(15 downto 0);
last_data_read : in std_logic_vector(15 downto 0);
data_read : in std_logic_vector(15 downto 0);
FlagsSR : in std_logic_vector(7 downto 0);
micro_state : in micro_states;
bf_ext_in : in std_logic_vector(7 downto 0);
bf_ext_out : out std_logic_vector(7 downto 0);
bf_shift : in std_logic_vector(5 downto 0);
bf_width : in std_logic_vector(5 downto 0);
bf_loffset : in std_logic_vector(4 downto 0);
set_V_Flag : buffer bit;
Flags : buffer std_logic_vector(7 downto 0);
c_out : buffer std_logic_vector(2 downto 0);
addsub_q : buffer std_logic_vector(31 downto 0);
ALUout : out std_logic_vector(31 downto 0)
);
end component;
end;
|
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- --
-- Copyright (c) 2009-2013 Tobias Gubener --
-- Subdesign fAMpIGA by TobiFlex --
-- --
-- This source file is free software: you can redistribute it and/or modify --
-- it under the terms of the GNU General Public License as published --
-- by the Free Software Foundation, either version 3 of the License, or --
-- (at your option) any later version. --
-- --
-- This source file is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
-- --
------------------------------------------------------------------------------
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package TG68K_Pack is
type micro_states is (idle, nop, ld_nn, st_nn, ld_dAn1, ld_AnXn1, ld_AnXn2, st_dAn1, ld_AnXnbd1, ld_AnXnbd2, ld_AnXnbd3,
ld_229_1, ld_229_2, ld_229_3, ld_229_4, st_229_1, st_229_2, st_229_3, st_229_4,
st_AnXn1, st_AnXn2, bra1, bsr1, bsr2, nopnop, dbcc1, movem1, movem2, movem3,
andi, op_AxAy, cmpm, link1, link2, unlink1, unlink2, int1, int2, int3, int4, rte1, rte2, rte3, trap0, trap1, trap2, trap3,
movec1, movep1, movep2, movep3, movep4, movep5, rota1, bf1,
mul1, mul2, mul_end1, mul_end2, div1, div2, div3, div4, div_end1, div_end2);
constant opcMOVE : integer := 0; --
constant opcMOVEQ : integer := 1; --
constant opcMOVESR : integer := 2; --
constant opcADD : integer := 3; --
constant opcADDQ : integer := 4; --
constant opcOR : integer := 5; --
constant opcAND : integer := 6; --
constant opcEOR : integer := 7; --
constant opcCMP : integer := 8; --
constant opcROT : integer := 9; --
constant opcCPMAW : integer := 10;
constant opcEXT : integer := 11; --
constant opcABCD : integer := 12; --
constant opcSBCD : integer := 13; --
constant opcBITS : integer := 14; --
constant opcSWAP : integer := 15; --
constant opcScc : integer := 16; --
constant andiSR : integer := 17; --
constant eoriSR : integer := 18; --
constant oriSR : integer := 19; --
constant opcMULU : integer := 20; --
constant opcDIVU : integer := 21; --
constant dispouter : integer := 22; --
constant rot_nop : integer := 23; --
constant ld_rot_cnt : integer := 24; --
constant writePC_add : integer := 25; --
constant ea_data_OP1 : integer := 26; --
constant ea_data_OP2 : integer := 27; --
constant use_XZFlag : integer := 28; --
constant get_bfoffset : integer := 29; --
constant save_memaddr : integer := 30; --
constant opcCHK : integer := 31; --
constant movec_rd : integer := 32; --
constant movec_wr : integer := 33; --
constant Regwrena : integer := 34; --
constant update_FC : integer := 35; --
constant linksp : integer := 36; --
constant movepl : integer := 37; --
constant update_ld : integer := 38; --
constant OP1addr : integer := 39; --
constant write_reg : integer := 40; --
constant changeMode : integer := 41; --
constant ea_build : integer := 42; --
constant trap_chk : integer := 43; --
constant store_ea_data : integer := 44; --
constant addrlong : integer := 45; --
constant postadd : integer := 46; --
constant presub : integer := 47; --
constant subidx : integer := 48; --
constant no_Flags : integer := 49; --
constant use_SP : integer := 50; --
constant to_CCR : integer := 51; --
constant to_SR : integer := 52; --
constant OP2out_one : integer := 53; --
constant OP1out_zero : integer := 54; --
constant mem_addsub : integer := 55; --
constant addsub : integer := 56; --
constant directPC : integer := 57; --
constant direct_delta : integer := 58; --
constant directSR : integer := 59; --
constant directCCR : integer := 60; --
constant exg : integer := 61; --
constant get_ea_now : integer := 62; --
constant ea_to_pc : integer := 63; --
constant hold_dwr : integer := 64; --
constant to_USP : integer := 65; --
constant from_USP : integer := 66; --
constant write_lowlong : integer := 67; --
constant write_reminder : integer := 68; --
constant movem_action : integer := 69; --
constant briefext : integer := 70; --
constant get_2ndOPC : integer := 71; --
constant mem_byte : integer := 72; --
constant longaktion : integer := 73; --
constant opcRESET : integer := 74; --
constant opcBF : integer := 75; --
constant opcBFwb : integer := 76; --
constant s2nd_hbits : integer := 77; --
-- constant : integer := 75; --
-- constant : integer := 76; --
-- constant : integer := 7; --
-- constant : integer := 7; --
-- constant : integer := 7; --
constant lastOpcBit : integer := 77;
component TG68K_ALU
generic(
MUL_Mode : integer := 0; --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no MUL,
DIV_Mode : integer := 0 --0=>16Bit, 1=>32Bit, 2=>switchable with CPU(1), 3=>no DIV,
);
port(
clk : in std_logic;
Reset : in std_logic;
clkena_lw : in std_logic:='1';
execOPC : in bit;
exe_condition : in std_logic;
exec_tas : in std_logic;
long_start : in bit;
movem_presub : in bit;
set_stop : in bit;
Z_error : in bit;
rot_bits : in std_logic_vector(1 downto 0);
exec : in bit_vector(lastOpcBit downto 0);
OP1out : in std_logic_vector(31 downto 0);
OP2out : in std_logic_vector(31 downto 0);
reg_QA : in std_logic_vector(31 downto 0);
reg_QB : in std_logic_vector(31 downto 0);
opcode : in std_logic_vector(15 downto 0);
datatype : in std_logic_vector(1 downto 0);
exe_opcode : in std_logic_vector(15 downto 0);
exe_datatype : in std_logic_vector(1 downto 0);
sndOPC : in std_logic_vector(15 downto 0);
last_data_read : in std_logic_vector(15 downto 0);
data_read : in std_logic_vector(15 downto 0);
FlagsSR : in std_logic_vector(7 downto 0);
micro_state : in micro_states;
bf_ext_in : in std_logic_vector(7 downto 0);
bf_ext_out : out std_logic_vector(7 downto 0);
bf_shift : in std_logic_vector(5 downto 0);
bf_width : in std_logic_vector(5 downto 0);
bf_loffset : in std_logic_vector(4 downto 0);
set_V_Flag : buffer bit;
Flags : buffer std_logic_vector(7 downto 0);
c_out : buffer std_logic_vector(2 downto 0);
addsub_q : buffer std_logic_vector(31 downto 0);
ALUout : out std_logic_vector(31 downto 0)
);
end component;
end;
|
------------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2013 Aeroflex Gaisler
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.spi.all;
use gaisler.net.all;
use gaisler.jtag.all;
--pragma translate_off
use gaisler.sim.all;
--pragma translate_on
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
clk : in std_ulogic;
-- onBoard Cellular RAM, Numonyx StrataFlash and Numonyx Quad Flash
MemOE : out std_ulogic;
MemWR : out std_ulogic;
RamAdv : out std_ulogic;
RamCS : out std_ulogic;
RamClk : out std_ulogic;
RamCRE : out std_ulogic;
RamLB : out std_ulogic;
RamUB : out std_ulogic;
RamWait : out std_ulogic;
FlashRp : out std_ulogic;
FlashCS : out std_ulogic;
QuadSpiFlashCS : out std_ulogic;
QuadSpiFlashSck : out std_ulogic;
QuadSpiFlashDB : inout std_logic_vector(0 downto 0);
address : out std_logic_vector(25 downto 0);
data : inout std_logic_vector(15 downto 0);
-- 7 segment display
--seg : out std_logic_vector(7 downto 0);
--an : out std_logic_vector(3 downto 0);
-- LEDs
led : out std_logic_vector(7 downto 0);
-- Switches
sw : in std_logic_vector(7 downto 0);
-- Buttons
btn : in std_logic_vector(4 downto 0); -- reset on btn0
-- VGA Connector
--vgaRed : out std_logic_vector(2 downto 0);
--vgaGreen : out std_logic_vector(2 downto 0);
--vgaBlue : out std_logic_vector(2 downto 1);
--Hsync : out std_ulogic;
--Vsync : out std_ulogic;
-- 12 pin connectors
--ja : inout std_logic_vector(7 downto 0);
--jb : inout std_logic_vector(7 downto 0);
--jc : inout std_logic_vector(7 downto 0);
--jd : inout std_logic_vector(7 downto 0);
-- SMSC ethernet PHY
PhyRstn : out std_ulogic;
PhyCrs : in std_ulogic;
PhyCol : in std_ulogic;
PhyClk25Mhz : out std_ulogic;
PhyTxd : out std_logic_vector(3 downto 0);
PhyTxEn : out std_ulogic;
PhyTxClk : in std_ulogic;
PhyTxEr : out std_ulogic;
PhyRxd : in std_logic_vector(3 downto 0);
PhyRxDv : in std_ulogic;
PhyRxEr : in std_ulogic;
PhyRxClk : in std_ulogic;
PhyMdc : out std_ulogic;
PhyMdio : inout std_logic;
-- Pic USB-HID interface
--PS2KeyboardData : inout std_logic;
--PS2KeyboardClk : inout std_logic;
--PS2MouseData : inout std_logic;
--PS2MouseClk : inout std_logic;
--PicGpio : out std_logic_vector(1 downto 0);
-- USB-RS232 interface
RsRx : in std_logic;
RsTx : out std_logic
);
end;
architecture rtl of leon3mp is
signal vcc : std_logic;
signal gnd : std_logic;
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal cgi : clkgen_in_type;
signal cgo : clkgen_out_type;
signal u1i, dui : uart_in_type;
signal u1o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal ethi : eth_in_type;
signal etho : eth_out_type;
signal gpti : gptimer_in_type;
signal spii : spi_in_type;
signal spio : spi_out_type;
signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0);
signal spmi : spimctrl_in_type;
signal spmo : spimctrl_out_type;
signal clkm, rstn, clkml : std_ulogic;
signal tck, tms, tdi, tdo : std_ulogic;
signal rstraw : std_logic;
signal lock : std_logic;
-- RS232 APB Uart
signal rxd1 : std_logic;
signal txd1 : std_logic;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of lock : signal is true;
attribute syn_keep of clkml : signal is true;
attribute syn_keep of clkm : signal is true;
attribute syn_preserve of clkml : signal is true;
attribute syn_preserve of clkm : signal is true;
attribute keep of lock : signal is true;
attribute keep of clkml : signal is true;
attribute keep of clkm : signal is true;
constant BOARD_FREQ : integer := 100000; -- CLK input frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= '1';
gnd <= '0';
led(7 downto 4) <= (others =>'0'); -- unused leds off
cgi.pllctrl <= "00";
cgi.pllrst <= rstraw;
rst0 : rstgen generic map (acthigh => 1)
port map (btn(0), clkm, lock, rstn, rstraw);
lock <= cgo.clklock;
-- clock generator
clkgen0 : clkgen
generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
port map (clk, gnd, clkm, open, open, open, open, cgi, cgo, open, open, open);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH,
nahbs => 8)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
-- LEON3 processor
leon3gen : if CFG_LEON3 = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
u0 : leon3s
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR,
CFG_NCPU-1)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
led(3) <= not dbgo(0).error;
led(2) <= not dsuo.active;
-- LEON3 Debug Support Unit
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1';
end generate;
end generate;
nodsu : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
-- Debug UART
dcomgen : if CFG_AHB_UART = 1 generate
dcom0 : ahbuart
generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
dsurx_pad : inpad generic map (tech => padtech) port map (RsRx, dui.rxd);
dsutx_pad : outpad generic map (tech => padtech) port map (RsTx, duo.txd);
led(0) <= not dui.rxd;
led(1) <= not duo.txd;
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd);
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller
sr1 : mctrl generic map (hindex => 5, pindex => 0, paddr => 0, iomask => 0,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,srbanks=>1)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open);
end generate;
memi.brdyn <= '1';
memi.bexcn <= '1';
memi.writen <= '1';
memi.wrn <= "1111";
memi.bwidth <= "01";
mg0 : if (CFG_MCTRL_LEON2 = 0) generate
apbo(0) <= apb_none;
ahbso(5) <= ahbs_none;
memo.bdrive(0) <= '1';
end generate;
mgpads : if (CFG_MCTRL_LEON2 /= 0) generate
addr_pad : outpadv generic map (tech => padtech, width => 26)
port map (address, memo.address(26 downto 1));
oen_pad : outpad generic map (tech => padtech)
port map (MemOE, memo.oen);
cs_pad : outpad generic map (tech => padtech)
port map (RamCS, memo.ramsn(0));
lb_pad : outpad generic map (tech => padtech)
port map (RamLB, memo.mben(0));
ub_pad : outpad generic map (tech => padtech)
port map (RamUB, memo.mben(1));
wri_pad : outpad generic map (tech => padtech)
port map (MemWR, memo.writen);
fce_pad : outpad generic map (tech => padtech)
port map (FlashCS, memo.romsn(0));
frp_pad : outpad generic map (tech => padtech)
port map (FlashRp, memo.writen);
end generate;
bdr : iopadv generic map (tech => padtech, width => 8)
port map (data(7 downto 0), memo.data(23 downto 16),
memo.bdrive(1), memi.data(23 downto 16));
bdr2 : iopadv generic map (tech => padtech, width => 8)
port map (data(15 downto 8), memo.data(31 downto 24),
memo.bdrive(0), memi.data(31 downto 24));
RamCRE <= '0';
RamClk <= '0';
RamAdv <= '0';
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
-- APB Bridge
apb0 : apbctrl
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
-- Interrupt controller
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
-- Time Unit
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW,
ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop;
gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
-- Console UART.
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.rxd <= rxd1;
u1i.ctsn <= '0';
u1i.extclk <= '0';
txd1 <= u1o.txd;
-- The USB UART is curently mapped to ahbuart.
-- serrx_pad : inpad generic map (tech => padtech) port map (RsRx, rxd1);
-- sertx_pad : outpad generic map (tech => padtech) port map (RsTx, txd1);
-- led(0) <= not rxd1;
-- led(1) <= not txd1;
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
nospi: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 0 generate
apbo(7) <= apb_none;
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm
generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 7,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G)
port map(rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho);
PhyRstn<=rstn;
end generate;
ethpads : if (CFG_GRETH = 1) generate -- eth pads
emdio_pad : iopad generic map (tech => padtech)
port map (PhyMdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
etxc_pad : clkpad generic map (tech => padtech, arch => 2)
port map (PhyTxClk, ethi.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, arch => 2)
port map (PhyRxClk, ethi.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 4)
port map (PhyRxd, ethi.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (PhyRxDv, ethi.rx_dv);
erxer_pad : inpad generic map (tech => padtech)
port map (PhyRxEr, ethi.rx_er);
erxco_pad : inpad generic map (tech => padtech)
port map (PhyCol, ethi.rx_col);
erxcr_pad : inpad generic map (tech => padtech)
port map (PhyCrs, ethi.rx_crs);
etxd_pad : outpadv generic map (tech => padtech, width => 4)
port map (PhyTxd, etho.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map (PhyTxEn, etho.tx_en);
etxer_pad : outpad generic map (tech => padtech)
port map (PhyTxEr, etho.tx_er);
emdc_pad : outpad generic map (tech => padtech)
port map (PhyMdc, etho.mdc);
end generate;
-----------------------------------------------------------------------
--- AHB ROM ----------------------------------------------------------
-----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(6));
end generate;
nobpromgen : if CFG_AHBROMEN = 0 generate
ahbso(6) <= ahbs_none;
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ahbramgen : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram
generic map (hindex => 3, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH,
kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map (rstn, clkm, ahbsi, ahbso(3));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
-----------------------------------------------------------------------
-- Test report module, only used for simulation ----------------------
-----------------------------------------------------------------------
--pragma translate_off
test0 : ahbrep generic map (hindex => 4, haddr => 16#200#)
port map (rstn, clkm, ahbsi, ahbso(4));
--pragma translate_on
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate
ahbmo(i) <= ahbm_none;
end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Demonstration design for Digilent NEXYS 3 board",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end rtl;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc377.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p03n03i00377ent IS
END c03s02b01x01p03n03i00377ent;
ARCHITECTURE c03s02b01x01p03n03i00377arch OF c03s02b01x01p03n03i00377ent IS
type it2 is array (bit range '0' to '1') of bit;
BEGIN
TESTING: PROCESS
variable k : it2;
BEGIN
k('0') := '1';
k('1') := '0';
assert NOT ( k('0') = '1' and
k('1') = '0')
report "***PASSED TEST: c03s02b01x01p03n03i00377"
severity NOTE;
assert ( k('0') = '1' and
k('1') = '0')
report "***FAILED TEST: c03s02b01x01p03n03i00377 - The index constraint must provide a discrete range for each index of the array type."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p03n03i00377arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc377.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p03n03i00377ent IS
END c03s02b01x01p03n03i00377ent;
ARCHITECTURE c03s02b01x01p03n03i00377arch OF c03s02b01x01p03n03i00377ent IS
type it2 is array (bit range '0' to '1') of bit;
BEGIN
TESTING: PROCESS
variable k : it2;
BEGIN
k('0') := '1';
k('1') := '0';
assert NOT ( k('0') = '1' and
k('1') = '0')
report "***PASSED TEST: c03s02b01x01p03n03i00377"
severity NOTE;
assert ( k('0') = '1' and
k('1') = '0')
report "***FAILED TEST: c03s02b01x01p03n03i00377 - The index constraint must provide a discrete range for each index of the array type."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p03n03i00377arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc377.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p03n03i00377ent IS
END c03s02b01x01p03n03i00377ent;
ARCHITECTURE c03s02b01x01p03n03i00377arch OF c03s02b01x01p03n03i00377ent IS
type it2 is array (bit range '0' to '1') of bit;
BEGIN
TESTING: PROCESS
variable k : it2;
BEGIN
k('0') := '1';
k('1') := '0';
assert NOT ( k('0') = '1' and
k('1') = '0')
report "***PASSED TEST: c03s02b01x01p03n03i00377"
severity NOTE;
assert ( k('0') = '1' and
k('1') = '0')
report "***FAILED TEST: c03s02b01x01p03n03i00377 - The index constraint must provide a discrete range for each index of the array type."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p03n03i00377arch;
|
entity tb_snum03 is
end tb_snum03;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_snum03 is
signal r : boolean;
begin
cmp03_1: entity work.snum03
port map (r);
process
begin
wait for 1 ns;
assert r severity failure;
wait;
end process;
end behav;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity serializer is
port(
clk : in std_logic;
input : in std_logic_vector(0 to 512);
output : out std_logic_vector(0 to 63)
);
end serializer;
architecture Behavioral of serializer is
alias reset : std_logic is input(0);
alias vector : std_logic_vector(0 to 511) is input(1 to 512);
begin
process(clk)
variable state : std_logic_vector (0 to 2) := "000";
variable text : std_logic_vector (0 to 511) := (others => '0');
begin
if clk'event AND clk = '1' then
if reset = '1' then
text := vector;
output <= text(0 to 63);
state := "001";
else
if (state = "000") then
output <= text(0 to 63);
state := "001";
elsif (state = "001") then
output <= text(64 to 127);
state := "010";
elsif (state = "010") then
output <= text(128 to 191);
state := "011";
elsif (state = "011") then
output <= text(192 to 255);
state := "100";
elsif (state = "100") then
output <= text(256 to 319);
state := "101";
elsif (state = "101") then
output <= text(320 to 383);
state := "110";
elsif (state = "110") then
output <= text(384 to 447);
state := "111";
else
output <= text(448 to 511);
end if;
end if;
end if;
end process;
end Behavioral;
|
entity test is
subtype t is foo range bar'baz;
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity control_{{ current_var.name }} is
port (
clk: in std_logic;
reset: in std_logic;
lclear: out std_logic;
lchange: out std_logic;
lcontra: out std_logic;
gclear: in std_logic;
gchange: in std_logic;
gcontra: in std_logic;
{% for var in variables %}
{{ var.name }}: inout std_logic_vector(0 to 1);
{% endfor %}
eil: in std_logic;
eol: out std_logic;
eir: in std_logic;
eor: out std_logic;
ldebug_num_decisions: out integer;
ldebug_num_conflicts: out integer;
ldebug_num_backtracks: out integer
);
end control_{{ current_var.name }};
architecture behavioral of control_{{ current_var.name }} is
component imp_{{ current_var.name }}
port (
clk: in std_logic;
reset: in std_logic;
clear: in std_logic;
change: out std_logic;
contra: out std_logic;
{% for var in variables %}
{{ var.name }}: inout std_logic_vector(0 to 1);
{% endfor %}
value: in std_logic_vector(0 to 1)
);
end component;
for imp_{{ current_var.name }}_0: imp_{{ current_var.name }} use entity work.imp_{{ current_var.name }};
signal current_state: std_logic_vector(0 to 2);
signal cur_var: std_logic_vector(0 to 1);
signal debug_num_decisions: integer;
signal debug_num_conflicts: integer;
signal debug_num_backtracks: integer;
begin
imp_{{ current_var.name }}_0: imp_{{ current_var.name }} port map (
clk => clk,
reset => reset,
clear => gclear,
change => lchange,
contra => lcontra,
{% for var in variables %}
{{ var.name }} => {{ var.name }},
{% endfor %}
value => current_state(0 to 1)
);
cur_var <= {{ current_var.name }};
ldebug_num_decisions <= debug_num_decisions;
ldebug_num_conflicts <= debug_num_conflicts;
ldebug_num_backtracks <= debug_num_backtracks;
process (clk, reset)
begin
if (reset='1') then
current_state <= "000"; -- init
eol <= '0';
eor <= '0';
debug_num_decisions <= 0;
debug_num_conflicts <= 0;
debug_num_backtracks <= 0;
elsif (rising_edge(clk)) then
case current_state is
when "000" => -- init
if (eil='0' and eir='0') then
eol <= '0';
eor <= '0';
lclear <= '0';
elsif (eir='1') then
eol <= '1';
eor <= '0';
lclear <= '0';
elsif (eil='1' and (cur_var(0) or cur_var(1))='1') then
eol <= '0';
eor <= '1';
lclear <= '0';
elsif (eil='1' and (cur_var(0) or cur_var(1))='0') then
eol <= '0';
eor <= '0';
lclear <= '0';
current_state <= "101"; -- active1
debug_num_decisions <= debug_num_decisions + 1;
end if;
when "101" => -- active1
if (gchange='1' and gcontra='0') then
eol <= '0';
eor <= '0';
lclear <= '0';
elsif (gcontra='1') then
eol <= '0';
eor <= '0';
lclear <= '1';
current_state <= "011"; -- active0
debug_num_conflicts <= debug_num_conflicts + 1;
debug_num_decisions <= debug_num_decisions + 1;
elsif (gchange='0' and gcontra='0') then
eol <= '0';
eor <= '1';
lclear <= '0';
current_state <= "100"; -- passive1
end if;
when "100" => -- passive1
if (eir='0') then
eol <= '0';
eor <= '0';
lclear <= '0';
elsif (eir='1') then
eol <= '0';
eor <= '0';
lclear <= '1';
current_state <= "011"; -- active0
debug_num_decisions <= debug_num_decisions + 1;
end if;
when "011" => -- active0
if (gchange='1') then
eol <= '0';
eor <= '0';
lclear <= '0';
elsif (gcontra='1') then
eol <= '1';
eor <= '0';
lclear <= '0';
current_state <= "000"; -- init
debug_num_conflicts <= debug_num_conflicts + 1;
debug_num_backtracks <= debug_num_backtracks + 1;
elsif (gchange='0' and gcontra='0') then
eol <= '0';
eor <= '1';
lclear <= '0';
current_state <= "010"; -- passive0
end if;
when "010" => -- passive0
if (eir='0') then
eol <= '0';
eor <= '0';
lclear <= '0';
elsif (eir='1') then
eol <= '1';
eor <= '0';
lclear <= '0';
current_state <= "000"; -- init
debug_num_backtracks <= debug_num_backtracks + 1;
end if;
when others =>
current_state <= "000"; -- init
end case;
end if;
end process;
end behavioral; |
library verilog;
use verilog.vl_types.all;
entity frcr_timer is
port(
iCLOCK : in vl_logic;
inRESET : in vl_logic;
iWR_ENA : in vl_logic;
iRW_COUNTER : in vl_logic_vector(63 downto 0);
oCOUNTER : out vl_logic_vector(63 downto 0)
);
end frcr_timer;
|
----------------------------------------------------------------------
-- myCccMux (myCccMux4.vhd)
----------------------------------------------------------------------
-- (c) 2016 by Anton Mause
--
-- Instantiate G4 Clock Conditioning Circuit to use NonGlitching Mux
--
----------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library smartfusion2;
use smartfusion2.all;
----------------------------------------------------------------------
entity myCccMux is
port( i_clk0, i_clk1, i_mux : in std_logic;
o_clk : out std_logic );
end myCccMux;
----------------------------------------------------------------------
architecture DEF_ARCH of myCccMux is
component VCC
port( Y : out std_logic );
end component;
component GND
port( Y : out std_logic );
end component;
component CCC
generic (INIT:std_logic_vector(209 downto 0) := "00" & x"0000000000000000000000000000000000000000000000000000";
VCOFREQUENCY:real := 0.0);
port( Y0 : out std_logic;
Y1 : out std_logic;
Y2 : out std_logic;
Y3 : out std_logic;
PRDATA : out std_logic_vector(7 downto 0);
LOCK : out std_logic;
BUSY : out std_logic;
CLK0 : in std_logic := 'U';
CLK1 : in std_logic := 'U';
CLK2 : in std_logic := 'U';
CLK3 : in std_logic := 'U';
NGMUX0_SEL : in std_logic := 'U';
NGMUX1_SEL : in std_logic := 'U';
NGMUX2_SEL : in std_logic := 'U';
NGMUX3_SEL : in std_logic := 'U';
NGMUX0_HOLD_N : in std_logic := 'U';
NGMUX1_HOLD_N : in std_logic := 'U';
NGMUX2_HOLD_N : in std_logic := 'U';
NGMUX3_HOLD_N : in std_logic := 'U';
NGMUX0_ARST_N : in std_logic := 'U';
NGMUX1_ARST_N : in std_logic := 'U';
NGMUX2_ARST_N : in std_logic := 'U';
NGMUX3_ARST_N : in std_logic := 'U';
PLL_BYPASS_N : in std_logic := 'U';
PLL_ARST_N : in std_logic := 'U';
PLL_POWERDOWN_N : in std_logic := 'U';
GPD0_ARST_N : in std_logic := 'U';
GPD1_ARST_N : in std_logic := 'U';
GPD2_ARST_N : in std_logic := 'U';
GPD3_ARST_N : in std_logic := 'U';
PRESET_N : in std_logic := 'U';
PCLK : in std_logic := 'U';
PSEL : in std_logic := 'U';
PENABLE : in std_logic := 'U';
PWRITE : in std_logic := 'U';
PADDR : in std_logic_vector(7 downto 2) := (others => 'U');
PWDATA : in std_logic_vector(7 downto 0) := (others => 'U');
CLK0_PAD : in std_logic := 'U';
CLK1_PAD : in std_logic := 'U';
CLK2_PAD : in std_logic := 'U';
CLK3_PAD : in std_logic := 'U';
GL0 : out std_logic;
GL1 : out std_logic;
GL2 : out std_logic;
GL3 : out std_logic;
RCOSC_25_50MHZ : in std_logic := 'U';
RCOSC_1MHZ : in std_logic := 'U';
XTLOSC : in std_logic := 'U' );
end component;
component CLKINT
port( A : in std_logic := 'U';
Y : out std_logic );
end component;
signal gnd_net, vcc_net, GL0_net : std_logic;
signal nc7, nc6, nc2, nc5, nc4, nc3, nc1, nc0 : std_logic;
begin
vcc_inst : VCC
port map(Y => vcc_net);
gnd_inst : GND
port map(Y => gnd_net);
GL0_INST : CLKINT
port map(A => GL0_net, Y => o_clk);
CCC_INST : CCC
generic map(INIT => "00" & x"004007F87FFE044164000318C6318AD318C622004040407FFFFF",
VCOFREQUENCY => 800.000)
port map(Y0 => OPEN, Y1 => OPEN, Y2 => OPEN, Y3 => OPEN,
PRDATA(7) => nc7, PRDATA(6) => nc6, PRDATA(5) => nc5,
PRDATA(4) => nc4, PRDATA(3) => nc3, PRDATA(2) => nc2,
PRDATA(1) => nc1, PRDATA(0) => nc0, LOCK => OPEN, BUSY
=> OPEN, CLK0 => i_clk0, CLK1 => i_clk1, CLK2 => vcc_net,
CLK3 => vcc_net, NGMUX0_SEL => i_mux, NGMUX1_SEL =>
gnd_net, NGMUX2_SEL => gnd_net, NGMUX3_SEL => gnd_net,
NGMUX0_HOLD_N => vcc_net, NGMUX1_HOLD_N => vcc_net,
NGMUX2_HOLD_N => vcc_net, NGMUX3_HOLD_N => vcc_net,
NGMUX0_ARST_N => vcc_net, NGMUX1_ARST_N => vcc_net,
NGMUX2_ARST_N => vcc_net, NGMUX3_ARST_N => vcc_net,
PLL_BYPASS_N => vcc_net, PLL_ARST_N => gnd_net,
PLL_POWERDOWN_N => gnd_net, GPD0_ARST_N => vcc_net,
GPD1_ARST_N => vcc_net, GPD2_ARST_N => vcc_net,
GPD3_ARST_N => vcc_net, PRESET_N => gnd_net, PCLK =>
vcc_net, PSEL => vcc_net, PENABLE => vcc_net, PWRITE =>
vcc_net, PADDR(7) => vcc_net, PADDR(6) => vcc_net,
PADDR(5) => vcc_net, PADDR(4) => vcc_net, PADDR(3) =>
vcc_net, PADDR(2) => vcc_net, PWDATA(7) => vcc_net,
PWDATA(6) => vcc_net, PWDATA(5) => vcc_net, PWDATA(4) =>
vcc_net, PWDATA(3) => vcc_net, PWDATA(2) => vcc_net,
PWDATA(1) => vcc_net, PWDATA(0) => vcc_net, CLK0_PAD =>
gnd_net, CLK1_PAD => gnd_net, CLK2_PAD => gnd_net,
CLK3_PAD => gnd_net, GL0 => GL0_net, GL1 => OPEN, GL2 =>
OPEN, GL3 => OPEN, RCOSC_25_50MHZ => gnd_net, RCOSC_1MHZ
=> gnd_net, XTLOSC => gnd_net);
end DEF_ARCH;
------------------------------------------------------------------------------
-- HowTo : This piece of source code was creted using Libero SoC 11.7 :
-- Create new vhdl project, block flow, SmartFusion2 M2S010S-TQ144, no template, no files.
-- Create new SmartDesign, open catalog, drag CCC Clock Conditining Circuit to canvas.
-- Configure CL0 input A to source FPGA clk0, input B sourced from FPGA clk1, all at 1 MHz.
-- Promote signal to toplevel, generate component, open hdl and extract relevant components.
------------------------------------------------------------------------------
|
library ieee;
use ieee.std_logic_1164.all;
package components is
component adder
port (
a : in std_logic_vector(31 downto 0);
b : in std_logic_vector(31 downto 0);
y : out std_logic_vector(31 downto 0)
);
end component;
component flopr
port (
d: in std_logic_vector(31 downto 0);
rst, clk: in std_logic;
q: out std_logic_vector(31 downto 0)
);
end component;
component mux2
generic (MAX : integer := 32);
port (
d0, d1: in std_logic_vector((MAX-1) downto 0);
s: in std_logic;
y: out std_logic_vector((MAX-1) downto 0)
);
end component;
component imem
port (
a: in std_logic_vector (5 downto 0);
rd: out std_logic_vector (31 downto 0)
);
end component;
component sign
port (
a: in std_logic_vector(15 downto 0);
y: out std_logic_vector(31 downto 0)
);
end component;
component sl2
port (
a: in std_logic_vector (31 downto 0);
y: out std_logic_vector (31 downto 0)
);
end component;
component dmem
port (
a, wd: in std_logic_vector (31 downto 0);
clk,we: in std_logic;
rd: out std_logic_vector (31 downto 0);
dump: in std_logic
);
end component;
component alu
port (
a, b: in std_logic_vector(31 downto 0);
alucontrol: in std_logic_vector(2 downto 0);
result: out std_logic_vector(31 downto 0);
zero: out std_logic
);
end component;
component regfile
port (
--OJO cambie de 6 a 5 BITS imput!!
ra1, ra2, wa3: in std_logic_vector(4 downto 0);
wd3: in std_logic_vector(31 downto 0);
we3, clk: in std_logic;
rd1, rd2: out std_logic_vector(31 downto 0)
);
end component;
end package;
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Tue May 13 22:49:18 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode synth_stub /home/keith/Documents/VHDL-lib/top/lab_6/ip/dds/dds_stub.vhdl
-- Design : dds
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dds is
Port (
aclk : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 23 downto 0 );
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end dds;
architecture stub of dds is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,s_axis_phase_tvalid,s_axis_phase_tdata[23:0],m_axis_data_tvalid,m_axis_data_tdata[31:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "dds_compiler_v6_0,Vivado 2014.1";
begin
end;
|
Library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
-- Simple 8-bit shift register. Same as the one in Lab 5 except no STOP bit necessary.
ENTITY shift_greg is
port(clk, rst, shift_en, data_in: IN STD_LOGIC;
data_out: out STD_LOGIC_VECTOR(7 downto 0);
data_ready: out STD_LOGIC
);
end ENTITY;
architecture shift of shift_greg is
signal pre_val, nxt_val: STD_LOGIC_VECTOR(7 downto 0);
signal cnt, nxt_cnt: STD_LOGIC_VECTOR(2 downto 0);
signal nxt_data_ready, cur_data_ready: STD_LOGIC;
begin
se1: process(clk, rst)
begin
if rst = '0' then
pre_val <= x"00";
cur_data_ready <= '0';
cnt <= "000";
elsif (clk'event and clk = '1') then
pre_val <= nxt_val;
cnt <= nxt_cnt;
cur_data_ready <= nxt_data_ready;
end if;
end process;
nxt_data_ready <= '1' when (cnt = "000" AND rst = '1') else '0';
nxt_val <= data_in & pre_val(7 downto 1) when shift_en = '1'
else pre_val;
nxt_cnt <= cnt + 1 when shift_en = '1' else cnt;
data_out <= pre_val;
data_ready <= cur_data_ready;
end architecture;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2015 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file DPRAM_10.vhd when simulating
-- the core, DPRAM_10. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY DPRAM_10 IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(19 DOWNTO 0)
);
END DPRAM_10;
ARCHITECTURE DPRAM_10_a OF DPRAM_10 IS
-- synthesis translate_off
COMPONENT wrapped_DPRAM_10
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(19 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_DPRAM_10 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 11,
c_addrb_width => 11,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "DPRAM_10.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 1,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 2048,
c_read_depth_b => 2048,
c_read_width_a => 20,
c_read_width_b => 20,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 2048,
c_write_depth_b => 2048,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 20,
c_write_width_b => 20,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_DPRAM_10
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
addrb => addrb,
doutb => doutb
);
-- synthesis translate_on
END DPRAM_10_a;
|
library IEEE;
use IEEE.Std_Logic_1164.all;
entity myNand2 is
port(a : in std_logic; b : in std_logic; s : out std_logic);
end myNand2;
architecture Behavorial of myNand2 is
begin
s <= a nand b;
end Behavorial;
|
/*
This file is part of fpgaNES.
fpgaNES is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
fpgaNES is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with fpgaNES. If not, see <http://www.gnu.org/licenses/>.
*/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity parallel_serial_shifter is
generic
(
width: integer := 8;
size: integer := 8
);
port
(
i_clk: in std_logic;
i_clk_enable : in std_logic := '1';
i_load: in std_logic;
i_enable: in std_logic;
i_data: in std_logic_vector(size - 1 downto 0);
o_q: out std_logic_vector(size - 1 downto 0)
);
end parallel_serial_shifter;
architecture behavioral of parallel_serial_shifter is
signal s_buffer: std_logic_vector(width - 1 downto 0);
begin
process (i_clk, i_clk_enable)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_enable = '1' and i_load = '1' then
s_buffer <= s_buffer(width - 2 downto size) & i_data & '0';
elsif i_enable = '1' then
s_buffer <= s_buffer(width - 2 downto 0) & '0';
elsif i_load = '1' then
s_buffer(size - 1 downto 0) <= i_data;
end if;
end if;
end if;
end process;
o_q <= reverse_vector(s_buffer(width - 1 downto width - size));
end architecture;
/*****************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity sprite_renderer is
port
(
i_sprite_x : in std_logic_vector(7 downto 0);
i_line_x : in std_logic_vector(7 downto 0);
i_tile_low : in std_logic_vector(7 downto 0);
i_tile_high : in std_logic_vector(7 downto 0);
i_enable : in std_logic;
i_first_col : in std_logic;
o_pixel : out std_logic_vector(1 downto 0)
);
end sprite_renderer;
architecture behavioral of sprite_renderer is
signal s_offset : integer range 0 to 7;
signal s_sprite_right : std_logic_vector(8 downto 0);
signal s_draw_n : boolean;
begin
s_offset <= to_integer(unsigned(i_sprite_x(2 downto 0) - i_line_x(2 downto 0) - "001"));
s_sprite_right <= ('0' & i_sprite_x) + "000001000";
s_draw_n <= (i_first_col = '0') and (i_line_x(7 downto 3) = "00000");
o_pixel <= "00" when (i_enable = '0') or s_draw_n or (i_line_x < i_sprite_x) or (i_line_x >= s_sprite_right) else i_tile_high(s_offset) & i_tile_low(s_offset);
end architecture;
/*****************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.common.all;
entity ppu is
port
(
i_clk : in std_logic;
i_clk_enable : in std_logic := '1';
i_reset_n : in std_logic := '1';
i_video_mode : in video_mode_t := ntsc;
i_addr : in std_logic_vector(2 downto 0) := "000";
i_data : in std_logic_vector(7 downto 0) := x"00";
i_write_enable : in std_logic := '0';
i_cs_n : in std_logic := '0';
i_chr_data : in std_logic_vector(7 downto 0) := x"00";
o_q : out std_logic_vector(7 downto 0);
o_int_n : out std_logic;
o_vga_addr : out std_logic_vector(15 downto 0);
o_vga_data : out std_logic_vector(8 downto 0);
o_vga_write_enable : out std_logic;
o_chr_addr : out std_logic_vector(13 downto 0);
o_chr_read_enable : out std_logic;
o_chr_write_enable : out std_logic;
o_chr_q : out std_logic_vector(7 downto 0)
);
end ppu;
architecture behavioral of ppu is
component parallel_serial_shifter is
generic
(
width: integer := 8;
size: integer := 8
);
port
(
i_clk : in std_logic;
i_clk_enable : in std_logic := '1';
i_load : in std_logic;
i_enable : in std_logic;
i_data : in std_logic_vector(7 downto 0);
o_q : out std_logic_vector(7 downto 0)
);
end component;
component spritemem is
port
(
address : in std_logic_vector(7 downto 0);
clken : in std_logic := '1';
clock : in std_logic := '1';
data : in std_logic_vector(7 downto 0);
wren : in std_logic := '0';
q : out std_logic_vector(7 downto 0)
);
end component;
component soamem is
port
(
address : in std_logic_vector(4 downto 0);
clken : in std_logic := '1';
clock : in std_logic := '1';
data : in std_logic_vector(7 downto 0);
wren : in std_logic;
q : out std_logic_vector(7 downto 0)
);
end component;
component sprite_renderer is
port
(
i_sprite_x : in std_logic_vector(7 downto 0);
i_line_x : in std_logic_vector(7 downto 0);
i_tile_low : in std_logic_vector(7 downto 0);
i_tile_high : in std_logic_vector(7 downto 0);
i_enable : in std_logic;
i_first_col : in std_logic;
o_pixel : out std_logic_vector(1 downto 0)
);
end component;
function to_pal_idx(addr: std_logic_vector(4 downto 0)) return integer is
begin
if (addr(4) = '1') and (addr(1 downto 0) = "00") then
return to_integer(unsigned('0' & addr(3 downto 0)));
else
return to_integer(unsigned(addr));
end if;
end;
type sprite_t is record
x : std_logic_vector(7 downto 0);
tile_low : std_logic_vector(7 downto 0);
tile_high : std_logic_vector(7 downto 0);
priority : std_logic;
palette : std_logic_vector(1 downto 0);
pixel : std_logic_vector(1 downto 0);
end record;
type io_state_t is (idle, ppuctrl, ppumask, ppustatus, oamaddr, oamdata_read, oamdata_write, ppuscroll_x, ppuscroll_y, ppuaddr_hi, ppuaddr_lo, ppudata_read, ppudata_write);
type sprite_memory_t is (oam, soa);
type sprite_state_t is (idle, clear1, clear2, ev_y1, ev_y2, ev_tile1, ev_tile2, ev_attr1, ev_attr2, ev_x1, ev_x2, of_y1, of_y2, of_title1, of_title2, of_attr1, of_attr2, of_x1, of_x2, wait1a, wait1b, wait_eol, gf1, gf2, gf3, gf4, ftl1, ftl2, fth1, fth2);
type background_state_t is (idle, nt1, nt2, at1, at2, tl1, tl2, th1, th2);
type sprite_list_t is array (0 to 7) of sprite_t;
type byte_array_t is array (0 to 31) of std_logic_vector(5 downto 0);
constant NULL_SPRITE : sprite_t := (x => x"00", tile_low => x"00", tile_high => x"00", priority => '0', palette => "00", pixel => "00");
constant SKIP_DOT_CYCLE : integer := 339;
constant ENABLE_NMI_WRITE_CYCLE : integer := 0;
constant PPUSTATUS_READ_CYCLE : integer := 1; -- 0
constant VBLANK_SET_CYCLE : integer := 0; -- 1
constant VBLANK_CLEAR_CYCLE : integer := 0; -- 1
constant SPR0HIT_CLEAR_CYCLE : integer := 0; -- 0
constant SPROVFW_CLEAR_CYCLE : integer := 0; -- 0
constant MAX_DECAY : integer := 3192000;
type decay_array_t is array (0 to 7) of integer range 0 to MAX_DECAY;
signal s_io_state : io_state_t := idle;
signal s_io_data : std_logic_vector(7 downto 0) := x"00";
signal s_io_mem : std_logic_vector(7 downto 0) := x"00";
signal s_io_cycle : integer range 0 to 7 := 0;
signal s_io_latch : boolean := true;
signal s_sprites : sprite_list_t := (others => NULL_SPRITE);
signal s_spr_mem : sprite_memory_t := oam;
signal s_spr_mem_d : sprite_memory_t := oam;
signal s_oam_clk_enable : std_logic;
signal s_oam_addr : std_logic_vector(7 downto 0) := x"00";
signal s_oam_write_enable : std_logic;
signal s_oam_eff_q : std_logic_vector(7 downto 0);
signal s_oam_q : std_logic_vector(7 downto 0);
signal s_soa_clk_enable : std_logic;
signal s_soa_addr : std_logic_vector(4 downto 0) := (others => '0');
signal s_soa_q : std_logic_vector(7 downto 0) := (others => '0');
signal s_soa_write_enable : std_logic := '0';
signal s_spr_addr : std_logic_vector(15 downto 0) := (others => '0');
signal s_bkg_addr : std_logic_vector(15 downto 0) := (others => '0');
signal s_spr_read_enable : std_logic := '0';
signal s_bkg_read_enable : std_logic := '0';
signal s_video_addr : std_logic_vector(15 downto 0) := (others => '0');
signal s_video_read_enable : std_logic;
signal s_video_write_enable : std_logic;
signal s_xpos : std_logic_vector(7 downto 0);
signal s_ypos : std_logic_vector(7 downto 0);
signal s_cycle : integer range 0 to 340 := 0;
signal s_line : integer range 0 to 311 := 311;
signal s_max_line : integer range 0 to 311 := 261;
signal s_new_max_line : integer range 0 to 311;
signal s_tile_index : std_logic_vector(7 downto 0);
signal s_background_half : std_logic := '0';
signal s_sprite_half : std_logic := '0';
signal s_shifter_enable : std_logic := '0';
signal s_shifter_load : std_logic := '0';
signal s_render : boolean;
signal s_tl_data : std_logic_vector(7 downto 0) := (others => '0');
signal s_tl_q : std_logic_vector(7 downto 0);
signal s_th_data : std_logic_vector(7 downto 0) := (others => '0');
signal s_th_q : std_logic_vector(7 downto 0);
signal s_bl_q : std_logic_vector(7 downto 0);
signal s_bh_q : std_logic_vector(7 downto 0);
signal s_background_palette_index: std_logic_vector(1 downto 0);
signal s_new_background_palette_index : std_logic_vector(1 downto 0);
signal s_color : std_logic_vector(5 downto 0);
signal s_palette_color : std_logic_vector(5 downto 0);
signal s_bkg_state : background_state_t := idle;
signal s_spr_state : sprite_state_t := idle;
signal s_out_addr : std_logic_vector(15 downto 0) := x"0000";
signal s_out_data : std_logic_vector(8 downto 0);
signal s_out_color : std_logic_vector(5 downto 0);
signal s_out_write_enable : std_logic := '0';
signal s_visible_line : boolean;
signal s_visible_or_prescan_line : boolean;
signal s_prescan_line : boolean;
signal s_background_pixel : std_logic_vector(1 downto 0);
signal s_winning_sprite : sprite_t;
signal s_spr_idx : integer range 0 to 7 := 0;
signal s_spr_fill : integer range -1 to 7 := -1;
signal s_sprite_y : std_logic_vector(8 downto 0) := (others => '0');
signal s_sprite_tile : std_logic_vector(7 downto 0) := (others => '0');
signal s_sprite_disable : std_logic;
signal s_sprite_0_hit : std_logic := '0';
signal s_sprite_0_visible : boolean := false;
signal s_new_sprite_0_visible : boolean := false;
signal s_sprite_overflow : std_logic := '0';
signal s_sprite_flip_horizontal : std_logic := '0';
signal s_tile_data : std_logic_vector(7 downto 0);
signal s_enable_background : std_logic := '0';
signal s_enable_sprites : std_logic := '0';
signal s_render_first_bkg_col : std_logic := '0';
signal s_render_first_spr_col : std_logic := '0';
signal s_big_sprites : std_logic := '0';
signal s_sprite_online : boolean;
signal s_sprite_line_lower_test : boolean;
signal s_sprite_line_upper_test : boolean;
signal s_sprite_line_test_height : std_logic_vector(7 downto 0);
signal s_inner_tile_pos : std_logic_vector(3 downto 0);
signal s_next_tile_addr : std_logic_vector(12 downto 0);
signal s_tile_addr : std_logic_vector(15 downto 0);
signal s_attr_addr : std_logic_vector(15 downto 0);
signal s_tile_lo_addr : std_logic_vector(15 downto 0);
signal s_tile_hi_addr : std_logic_vector(15 downto 0);
signal s_q : std_logic_vector(7 downto 0) := x"00";
signal s_perform_oam_write_access : boolean;
signal s_perform_oam_access : boolean;
signal s_vblank : std_logic := '0';
signal s_enable_nmi : std_logic := '0';
signal s_vram_inc : std_logic := '0';
signal s_vram_addr_t : std_logic_vector(14 downto 0) := 15x"0000";
signal s_vram_addr_v : std_logic_vector(14 downto 0) := 15x"0000";
signal s_fine_scroll_x : integer range 0 to 7 := 0;
signal s_palette_access : boolean;
signal s_palette_index : std_logic_vector(4 downto 0);
signal s_palette_quadrant : std_logic_vector(1 downto 0);
signal s_palette_mem : byte_array_t := ( 6x"09", 6x"01", 6x"00", 6x"01", 6x"00", 6x"02", 6x"02", 6x"0D", 6x"08", 6x"10", 6x"08", 6x"24", 6x"00", 6x"00", 6x"04", 6x"2C", 6x"09", 6x"01", 6x"34", 6x"03", 6x"00", 6x"04", 6x"00", 6x"14", 6x"08", 6x"3A", 6x"00", 6x"02", 6x"00", 6x"20", 6x"2C", 6x"08" );
signal s_hblank_cycle : boolean;
signal s_first_col_n : boolean;
--signal s_vram_bkg_inc : boolean;
signal s_frame_latch : boolean := true;
signal s_enable_rendering : boolean;
signal s_enable_shortcut : boolean := false;
signal s_new_enable_shortcut : boolean;
signal s_greyscale : std_logic := '0';
signal s_color_emphasize : std_logic_vector(2 downto 0) := "000";
signal s_skip_dot : boolean;
signal s_last_cycle : boolean;
signal s_q_decay : decay_array_t := (others => 0);
signal s_decay_mask : std_logic_vector(7 downto 0) := (others => '0');
signal s_oam_data : std_logic_vector(7 downto 0);
begin
oamem: spritemem port map
(
clock => i_clk,
clken => s_oam_clk_enable,
address => s_oam_addr,
data => s_oam_data,
wren => s_oam_write_enable,
q => s_oam_q
);
soam: soamem port map
(
clock => i_clk,
clken => s_soa_clk_enable,
address => s_soa_addr,
data => s_oam_eff_q,
wren => s_soa_write_enable,
q => s_soa_q
);
tl_shifter: parallel_serial_shifter generic map (16, 8) port map
(
i_clk => i_clk,
i_clk_enable => i_clk_enable,
i_load => s_shifter_load,
i_enable => s_shifter_enable,
i_data => s_tl_data,
o_q => s_tl_q
);
th_shifter: parallel_serial_shifter generic map (16, 8) port map
(
i_clk => i_clk,
i_clk_enable => i_clk_enable,
i_load => s_shifter_load,
i_enable => s_shifter_enable,
i_data => i_chr_data,
o_q => s_th_q
);
bl_shifter: parallel_serial_shifter generic map (16, 8) port map
(
i_clk => i_clk,
i_clk_enable => i_clk_enable,
i_load => s_shifter_load,
i_enable => s_shifter_enable,
i_data => s_new_background_palette_index(0) & s_new_background_palette_index(0) & s_new_background_palette_index(0) & s_new_background_palette_index(0) & s_new_background_palette_index(0) & s_new_background_palette_index(0) & s_new_background_palette_index(0) & s_new_background_palette_index(0),
o_q => s_bl_q
);
bh_shifter: parallel_serial_shifter generic map (16, 8) port map
(
i_clk => i_clk,
i_clk_enable => i_clk_enable,
i_load => s_shifter_load,
i_enable => s_shifter_enable,
i_data => s_new_background_palette_index(1) & s_new_background_palette_index(1) & s_new_background_palette_index(1) & s_new_background_palette_index(1) & s_new_background_palette_index(1) & s_new_background_palette_index(1) & s_new_background_palette_index(1) & s_new_background_palette_index(1),
o_q => s_bh_q
);
spr_gen: for i in 0 to 7 generate
spr: sprite_renderer port map
(
i_sprite_x => s_sprites(i).x,
i_line_x => s_xpos,
i_tile_low => s_sprites(i).tile_low,
i_tile_high => s_sprites(i).tile_high,
i_enable => s_enable_sprites,
i_first_col => s_render_first_spr_col,
o_pixel => s_sprites(i).pixel
);
end generate;
-- IO
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
s_decay_mask <= (others => '0');
if i_reset_n = '0' then
s_q <= x"00";
s_io_data <= x"00";
s_io_state <= idle;
s_io_latch <= true;
s_q_decay <= (others => 0);
else
for i in 0 to 7 loop
if s_decay_mask(i) = '1' then
s_q_decay(i) <= MAX_DECAY;
elsif s_q_decay(i) /= 0 then
s_q_decay(i) <= s_q_decay(i) - 1;
else
s_q(i) <= '0';
end if;
end loop;
case s_io_state is
when idle =>
if i_cs_n = '0' then
s_io_data <= i_data;
s_io_cycle <= 0;
if i_write_enable = '1' then
s_q <= i_data;
s_decay_mask <= (others => '1');
end if;
case i_addr is
when "000" => -- PPUCTRL
if i_write_enable = '1' then
s_io_state <= ppuctrl;
end if;
when "001" => -- PPUMASK
if i_write_enable = '1' then
s_io_state <= ppumask;
end if;
when "010" => -- PPUSTATUS
if i_write_enable = '0' then
s_io_state <= ppustatus;
s_io_latch <= true;
end if;
when "011" => -- OAMADDR
if i_write_enable = '1' then
s_io_state <= oamaddr;
s_io_data <= i_data;
end if;
when "100" => -- OAMDATA
if i_write_enable = '1' then
s_io_state <= oamdata_write;
else
s_io_state <= oamdata_read;
end if;
when "101" => -- PPUSCROLL
if i_write_enable = '1' then
if s_io_latch then
s_io_state <= ppuscroll_x;
else
s_io_state <= ppuscroll_y;
end if;
s_io_latch <= not s_io_latch;
end if;
when "110" => -- PPUADDR
if i_write_enable = '1' then
if s_io_latch then
s_io_state <= ppuaddr_hi;
else
s_io_state <= ppuaddr_lo;
end if;
s_io_latch <= not s_io_latch;
end if;
when "111" => -- PPUDATA
if i_write_enable = '1' then
s_io_state <= ppudata_write;
else
s_io_state <= ppudata_read;
end if;
when others =>
end case;
end if;
when oamdata_read =>
if s_io_cycle = 1 then
s_io_state <= idle;
s_decay_mask <= (others => '1');
if s_spr_mem_d = oam then
s_q <= s_oam_eff_q;
else
s_q <= s_soa_q;
end if;
else
s_io_cycle <= s_io_cycle + 1;
end if;
when ppustatus =>
if s_io_cycle = 2 then
s_io_state <= idle;
else
if s_io_cycle = PPUSTATUS_READ_CYCLE then
s_q(7 downto 5) <= s_vblank & s_sprite_0_hit & s_sprite_overflow;
s_decay_mask(7 downto 5) <= (others => '1');
end if;
s_io_cycle <= s_io_cycle + 1;
end if;
when oamaddr | oamdata_write | ppuctrl | ppuscroll_x | ppuscroll_y | ppumask | ppuaddr_hi =>
if s_io_cycle = 1 then
s_io_state <= idle;
else
s_io_cycle <= s_io_cycle + 1;
end if;
when ppudata_read =>
if s_io_cycle = 5 then
s_io_state <= idle;
s_io_mem <= i_chr_data;
else
if s_io_cycle = 1 then
if s_palette_access then
s_q(5 downto 0) <= s_palette_mem(to_pal_idx(s_vram_addr_v(4 downto 0)));
s_decay_mask(5 downto 0) <= (others => '1');
else
s_q <= s_io_mem;
s_decay_mask <= (others => '1');
end if;
end if;
s_io_cycle <= s_io_cycle + 1;
end if;
when ppuaddr_lo =>
if s_io_cycle = 2 then
s_io_state <= idle;
else
s_io_cycle <= s_io_cycle + 1;
end if;
when ppudata_write =>
if s_io_cycle = 7 then
s_io_state <= idle;
else
s_io_cycle <= s_io_cycle + 1;
end if;
end case;
end if;
end if;
end if;
end process;
-- Zeilen & Zyklen
process (i_video_mode)
begin
case i_video_mode is
when ntsc =>
s_new_max_line <= 261;
s_new_enable_shortcut <= true;
when pal =>
s_new_max_line <= 311;
s_new_enable_shortcut <= false;
end case;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_line <= s_new_max_line;
s_max_line <= s_new_max_line;
s_enable_shortcut <= s_new_enable_shortcut;
s_cycle <= 0;
s_frame_latch <= true;
else
if s_last_cycle then
s_cycle <= 0;
if s_prescan_line then
s_line <= 0;
s_max_line <= s_new_max_line;
s_frame_latch <= not s_frame_latch;
s_enable_shortcut <= s_new_enable_shortcut;
if s_skip_dot then
s_cycle <= 1;
end if;
else
s_line <= s_line + 1;
end if;
else
s_cycle <= s_cycle + 1;
end if;
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_skip_dot <= false;
elsif s_prescan_line and s_last_cycle then
s_skip_dot <= false;
elsif s_prescan_line and (s_cycle = SKIP_DOT_CYCLE) and s_frame_latch and s_enable_rendering and s_enable_shortcut then
s_skip_dot <= true;
end if;
end if;
end if;
end process;
-- Enable Rendering
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_greyscale <= '0';
elsif s_io_state = ppumask then
s_greyscale <= s_io_data(0);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_render_first_bkg_col <= '0';
elsif s_io_state = ppumask then
s_render_first_bkg_col <= s_io_data(1);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_render_first_spr_col <= '0';
elsif s_io_state = ppumask then
s_render_first_spr_col <= s_io_data(2);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_enable_background <= '0';
elsif s_io_state = ppumask then
s_enable_background <= s_io_data(3);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_enable_sprites <= '0';
elsif s_io_state = ppumask then
s_enable_sprites <= s_io_data(4);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_color_emphasize <= "000";
elsif s_io_state = ppumask then
case i_video_mode is
when ntsc =>
s_color_emphasize <= s_io_data(7 downto 5);
when pal =>
s_color_emphasize <= s_io_data(7) & s_io_data(5) & s_io_data(6);
end case;
end if;
end if;
end if;
end process;
-- Setup
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_vram_inc <= '0';
elsif s_io_state = ppuctrl then
s_vram_inc <= s_io_data(2);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_sprite_half <= '0';
elsif s_io_state = ppuctrl then
s_sprite_half <= s_io_data(3);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_background_half <= '0';
elsif s_io_state = ppuctrl then
s_background_half <= s_io_data(4);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_big_sprites <= '0';
elsif s_io_state = ppuctrl then
s_big_sprites <= s_io_data(5);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_enable_nmi <= '0';
elsif (s_io_state = ppuctrl) and (s_io_cycle = ENABLE_NMI_WRITE_CYCLE) then
s_enable_nmi <= s_io_data(7);
end if;
end if;
end if;
end process;
-- VRAM Adressierung
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_vram_addr_t <= 15x"0000";
elsif s_io_state = ppuaddr_hi then
s_vram_addr_t(14) <= '0';
s_vram_addr_t(13 downto 8) <= s_io_data(5 downto 0);
elsif (s_io_state = ppuaddr_lo) and (s_io_cycle = 0) then
s_vram_addr_t(7 downto 0) <= s_io_data;
elsif s_io_state = ppuscroll_x then
s_vram_addr_t(4 downto 0) <= s_io_data(7 downto 3);
elsif s_io_state = ppuscroll_y then
s_vram_addr_t(14 downto 12) <= s_io_data(2 downto 0);
s_vram_addr_t(9 downto 5) <= s_io_data(7 downto 3);
elsif s_io_state = ppuctrl then
s_vram_addr_t(11 downto 10) <= s_io_data(1 downto 0);
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_fine_scroll_x <= 0;
elsif s_io_state = ppuscroll_x then
s_fine_scroll_x <= to_integer(unsigned(s_io_data(2 downto 0)));
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_vram_addr_v <= 15x"0000";
elsif (s_io_state = ppuaddr_lo) and (s_io_cycle = 2) then
s_vram_addr_v <= s_vram_addr_t;
elsif ((s_io_state = ppudata_write) and (s_io_cycle = 7)) or ((s_io_state = ppudata_read) and (s_io_cycle = 5)) then
if s_vram_inc = '0' then
s_vram_addr_v <= s_vram_addr_v + 15x"0001";
else
s_vram_addr_v <= s_vram_addr_v + 15x"0020";
end if;
s_vram_addr_v(14) <= '0';
elsif s_enable_rendering and s_visible_or_prescan_line then
if std_logic_vector(to_unsigned(s_cycle, 3)) = "111" and not s_hblank_cycle then
-- Horizontal LoopyV increment
if s_vram_addr_v(4 downto 0) = "11111" then
s_vram_addr_v(4 downto 0) <= "00000";
s_vram_addr_v(10) <= not s_vram_addr_v(10);
else
s_vram_addr_v(4 downto 0) <= s_vram_addr_v(4 downto 0) + "00001";
end if;
end if;
if s_cycle = 255 then
-- Vertical LoopyV increment
if s_vram_addr_v(14 downto 12) = "111" then
s_vram_addr_v(14 downto 12) <= "000";
if s_vram_addr_v(9 downto 5) = "11101" then -- 29
s_vram_addr_v(11) <= not s_vram_addr_v(11);
s_vram_addr_v(9 downto 5) <= "00000";
elsif s_vram_addr_v(9 downto 5) = "11111" then -- 31
s_vram_addr_v(9 downto 5) <= "00000";
else
s_vram_addr_v(9 downto 5) <= s_vram_addr_v(9 downto 5) + "00001";
end if;
else
s_vram_addr_v(14 downto 12) <= s_vram_addr_v(14 downto 12) + "001";
end if;
elsif s_cycle = 256 then
-- Horizontal LoopyV <= Horizontal LoopyT
s_vram_addr_v(10) <= s_vram_addr_t(10);
s_vram_addr_v(4 downto 0) <= s_vram_addr_t(4 downto 0);
elsif (s_cycle >= 279) and (s_cycle <= 303) and s_prescan_line then
-- Vertical LoopyV <= Vertical LoopyT
s_vram_addr_v(9 downto 5) <= s_vram_addr_t(9 downto 5);
s_vram_addr_v(14 downto 11) <= s_vram_addr_t(14 downto 11);
end if;
end if;
end if;
end if;
end process;
-- Palette
process (i_clk)
variable index : integer range 0 to 31;
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if (s_io_state = ppudata_write) and s_palette_access and (s_io_cycle = 1) then
index := to_pal_idx(s_vram_addr_v(4 downto 0));
s_palette_mem(index) <= s_io_data(5 downto 0);
end if;
end if;
end if;
end process;
-- Hintergrund rendern
process (i_clk)
variable ypos : std_logic_vector(7 downto 0);
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
s_shifter_load <= '0';
s_bkg_read_enable <= '0';
if i_reset_n = '0' then
s_bkg_state <= idle;
else
case s_bkg_state is
when idle =>
s_bkg_state <= nt1;
s_bkg_addr <= s_tile_addr;
when nt1 => -- Name Table
s_bkg_state <= nt2;
s_bkg_read_enable <= '1';
when nt2 =>
s_bkg_addr <= s_attr_addr;
s_bkg_state <= at1;
when at1 => -- Attribute Table
s_tile_index <= i_chr_data;
s_bkg_state <= at2;
s_bkg_read_enable <= '1';
when at2 =>
if not s_last_cycle then
s_bkg_state <= tl1;
s_bkg_addr <= s_tile_lo_addr;
elsif s_skip_dot then
s_bkg_state <= nt1;
s_bkg_addr <= s_tile_addr;
else
s_bkg_state <= idle;
end if;
when tl1 => -- Tile low
s_bkg_state <= tl2;
s_bkg_read_enable <= '1';
case s_palette_quadrant is
when "00" =>
s_new_background_palette_index <= i_chr_data(1 downto 0);
when "01" =>
s_new_background_palette_index <= i_chr_data(3 downto 2);
when "10" =>
s_new_background_palette_index <= i_chr_data(5 downto 4);
when "11" =>
s_new_background_palette_index <= i_chr_data(7 downto 6);
when others =>
s_new_background_palette_index <= "00";
end case;
when tl2 =>
s_bkg_state <= th1;
s_bkg_addr <= s_tile_hi_addr;
when th1 => -- Tile high
s_bkg_state <= th2;
s_bkg_read_enable <= '1';
s_tl_data <= i_chr_data;
when th2 =>
s_shifter_load <= '1';
s_bkg_state <= nt1;
s_bkg_addr <= s_tile_addr;
when others =>
s_bkg_state <= idle;
end case;
end if;
end if;
end if;
end process;
s_shifter_enable <= '0' when (s_cycle > 336) or (s_cycle = 0) else '1';
-- Sprites rendern
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
s_spr_read_enable <= '0';
s_spr_fill <= -1;
s_soa_write_enable <= '0';
if i_reset_n = '0' then
s_spr_state <= idle;
s_spr_mem <= soa;
s_soa_addr <= "00000";
s_oam_addr <= x"00";
for i in 0 to 7 loop
s_sprites(i).tile_low <= x"00";
s_sprites(i).tile_high <= x"00";
end loop;
else
if s_spr_fill /= -1 then
s_sprites(s_spr_fill).tile_high <= s_tile_data;
end if;
if s_perform_oam_access then
s_oam_addr <= s_oam_addr + x"01";
end if;
if (s_io_state = oamaddr) and (s_io_cycle = 1) then
s_oam_addr <= s_io_data;
end if;
-- Sprite Overflow zu Beginn eines neuen Frames zurücksetzen
if s_prescan_line and (s_cycle = SPROVFW_CLEAR_CYCLE) then
s_sprite_overflow <= '0';
end if;
-- OAMADDR wird bei allen sichtbaren und der Prerender-Zeile von Zyklus 257 bis 320 bei jedem Tick auf 0 gesetzt
if s_visible_or_prescan_line and s_hblank_cycle and s_enable_rendering then
s_oam_addr <= x"00";
end if;
case s_spr_state is
when idle =>
if s_visible_line and s_enable_rendering then
s_spr_state <= clear1;
s_soa_addr <= "00000";
s_spr_mem <= oam;
else
s_spr_mem <= oam;
s_spr_state <= wait_eol;
end if;
when clear1 =>
s_spr_mem <= soa;
s_soa_write_enable <= '1';
s_spr_state <= clear2;
when clear2 =>
s_soa_addr <= s_soa_addr + "00001";
s_spr_mem <= oam;
if s_soa_addr = "11111" then
s_spr_state <= ev_y1;
s_new_sprite_0_visible <= false;
else
s_spr_state <= clear1;
end if;
when ev_y1 =>
s_spr_mem <= soa;
s_soa_write_enable <= '1';
s_spr_state <= ev_y2;
when ev_y2 =>
s_spr_mem <= oam;
if s_sprite_online then
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für Tile Index
s_soa_addr <= s_soa_addr + "00001";
s_spr_state <= ev_tile1;
if s_oam_addr = x"00" then
s_new_sprite_0_visible <= true;
end if;
else
s_oam_addr <= s_oam_addr + x"04"; -- Adresse für nächste Y-Position
if s_oam_addr = x"fc" then
s_spr_state <= wait1a;
else
s_spr_state <= ev_y1;
end if;
end if;
when ev_tile1 =>
s_spr_mem <= soa;
s_soa_write_enable <= '1';
s_spr_state <= ev_tile2;
when ev_tile2 =>
s_spr_mem <= oam;
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für Attribute
s_soa_addr <= s_soa_addr + "00001";
s_spr_state <= ev_attr1;
when ev_attr1 =>
s_spr_mem <= soa;
s_soa_write_enable <= '1';
s_spr_state <= ev_attr2;
when ev_attr2 =>
s_spr_mem <= oam;
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für X-Position
s_soa_addr <= s_soa_addr + "00001";
s_spr_state <= ev_x1;
when ev_x1 =>
s_spr_mem <= soa;
s_soa_write_enable <= '1';
s_spr_state <= ev_x2;
when ev_x2 =>
s_spr_mem <= oam;
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für Y-Position
s_soa_addr <= s_soa_addr + "00001";
if s_soa_addr = "11111" then
s_spr_state <= of_y1;
elsif s_oam_addr = x"ff" then
s_spr_state <= wait1a;
else
s_spr_state <= ev_y1;
end if;
when of_y1 =>
s_spr_state <= of_y2;
when of_y2 =>
s_spr_mem <= oam;
if s_sprite_online then
s_sprite_overflow <= '1';
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für Tile Index
s_spr_state <= of_title1;
else
s_spr_state <= of_y1;
-- Adresse für Y-Position, Overflow-Bug: korrekt währe x"04"
if s_oam_addr(1 downto 0) = "11" then
s_oam_addr <= s_oam_addr + x"01";
if s_oam_addr = x"ff" then
s_spr_state <= wait1a;
end if;
else
s_oam_addr <= s_oam_addr + x"05";
if s_oam_addr >= x"fb" then
s_spr_state <= wait1a;
end if;
end if;
end if;
when of_title1 =>
s_spr_state <= of_title2;
when of_title2 =>
s_spr_mem <= oam;
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für Attr Index
s_spr_state <= of_attr1;
when of_attr1 =>
s_spr_state <= of_attr2;
when of_attr2 =>
s_spr_mem <= oam;
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für X-Position
s_spr_state <= of_x1;
when of_x1 =>
s_spr_state <= of_x2;
when of_x2 =>
s_spr_mem <= oam;
s_oam_addr <= s_oam_addr + x"01"; -- Adresse für Y-Position
s_spr_state <= of_y1;
when wait1a =>
s_spr_mem <= soa;
s_spr_state <= wait1b;
when wait1b =>
s_oam_addr <= s_oam_addr + x"04";
s_spr_mem <= oam;
s_spr_state <= wait1a;
when gf1 =>
s_spr_state <= gf2;
s_soa_addr <= s_soa_addr + "00001"; -- Adresse für Tile Index
when gf2 =>
s_sprite_y <= ('0' & s_ypos) - ('0' & s_soa_q); -- Y-Position
s_spr_state <= gf3;
s_soa_addr <= s_soa_addr + "00001"; -- Adresse für Attribute
when gf3 =>
s_sprite_tile <= s_soa_q; -- Tile Index
s_spr_state <= gf4;
s_soa_addr <= s_soa_addr + "00001"; -- Adresse für X-Position
when gf4 =>
s_spr_addr <= "000" & s_next_tile_addr;
s_spr_state <= ftl1;
s_sprites(s_spr_idx).palette <= s_soa_q(1 downto 0); -- Attribute
s_sprites(s_spr_idx).priority <= s_soa_q(5);
s_sprite_flip_horizontal <= s_soa_q(6);
when ftl1 =>
s_spr_state <= ftl2;
s_sprites(s_spr_idx).x <= s_soa_q; -- X-Position
s_spr_read_enable <= '1';
when ftl2 =>
s_spr_addr(3) <= '1'; -- +8 Bytes
s_spr_state <= fth1;
when fth1 =>
s_sprites(s_spr_idx).tile_low <= s_tile_data;
s_oam_addr <= x"00";
s_spr_state <= fth2;
s_spr_read_enable <= '1';
when fth2 =>
s_spr_fill <= s_spr_idx;
s_spr_mem <= soa;
if s_soa_addr = "11111" then
s_spr_state <= wait_eol;
s_soa_addr <= "00000";
else
s_spr_idx <= s_spr_idx + 1;
s_spr_state <= gf1;
s_soa_addr <= s_soa_addr + "00001"; -- Adresse für Y-Position
end if;
when wait_eol =>
if s_last_cycle then
if s_skip_dot then
s_spr_state <= clear1;
s_soa_addr <= "00000";
s_spr_mem <= oam;
else
s_spr_state <= idle;
end if;
end if;
end case;
if s_cycle = 256 then
s_sprite_0_visible <= s_new_sprite_0_visible;
s_new_sprite_0_visible <= false;
end if;
if (s_cycle = 256) and (s_spr_state /= wait_eol) then
s_spr_idx <= 0;
s_spr_state <= gf1;
s_soa_addr <= "00000"; -- Adresse für Y-Position
s_spr_mem <= soa;
for i in 0 to 7 loop
s_sprites(i).tile_low <= x"00";
s_sprites(i).tile_high <= x"00";
end loop;
end if;
end if;
end if;
end if;
end process;
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_spr_mem_d <= oam;
else
s_spr_mem_d <= s_spr_mem;
end if;
end if;
end if;
end process;
-- VBlank
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_vblank <= '0';
elsif s_prescan_line and (s_cycle = VBLANK_CLEAR_CYCLE) then
s_vblank <= '0';
elsif (s_io_state = ppustatus) and (s_io_cycle = PPUSTATUS_READ_CYCLE) then
s_vblank <= '0'; -- VBlank zurücksetzen wenn PPUSTATUS gelesen wird
elsif (s_line = 241) and (s_cycle = VBLANK_SET_CYCLE) then
s_vblank <= '1';
end if;
end if;
end if;
end process;
-- Sprite 0 Hit
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_sprite_0_hit <= '0';
else
if s_visible_line and (s_cycle >= 1) and (s_cycle < 256) then -- Es ist Absicht, dass hier nicht von 1 - 256 gegangen wird
if s_sprite_0_visible and (s_sprites(0).pixel /= "00") and (s_background_pixel /= "00") then
s_sprite_0_hit <= '1';
end if;
elsif s_prescan_line and (s_cycle = SPR0HIT_CLEAR_CYCLE) then
s_sprite_0_hit <= '0';
end if;
end if;
end if;
end if;
end process;
-- Ausgabe an Framebuffer
process (i_clk)
begin
if rising_edge(i_clk) then
if i_clk_enable = '1' then
if i_reset_n = '0' then
s_out_addr <= x"0000";
elsif not s_visible_line then
s_out_addr <= x"0000";
elsif s_render then
s_out_addr <= s_out_addr + x"0001";
end if;
end if;
end if;
end process;
s_winning_sprite <= s_sprites(0) when s_sprites(0).pixel /= "00"
else s_sprites(1) when s_sprites(1).pixel /= "00"
else s_sprites(2) when s_sprites(2).pixel /= "00"
else s_sprites(3) when s_sprites(3).pixel /= "00"
else s_sprites(4) when s_sprites(4).pixel /= "00"
else s_sprites(5) when s_sprites(5).pixel /= "00"
else s_sprites(6) when s_sprites(6).pixel /= "00"
else s_sprites(7) when s_sprites(7).pixel /= "00"
else NULL_SPRITE;
s_palette_index <= '1' & s_winning_sprite.palette & s_winning_sprite.pixel when (s_winning_sprite.pixel /= "00") and (s_winning_sprite.priority = '0')
else '0' & s_background_palette_index & s_background_pixel when (s_background_pixel /= "00")
else '1' & s_winning_sprite.palette & s_winning_sprite.pixel when (s_winning_sprite.pixel /= "00")
else "00000";
s_sprite_line_test_height <= x"08" when s_big_sprites = '0' else x"10";
s_sprite_line_lower_test <= s_ypos >= s_oam_eff_q;
s_sprite_line_upper_test <= ('0' & s_ypos) < (('0' & s_oam_eff_q) + ('0' & s_sprite_line_test_height));
s_sprite_online <= s_sprite_line_lower_test and s_sprite_line_upper_test;
s_sprite_disable <= s_sprite_y(8) or s_sprite_y(7) or s_sprite_y(6) or s_sprite_y(5) or s_sprite_y(4);
s_inner_tile_pos <= not s_sprite_y(3 downto 0) when s_soa_q(7) = '1' else s_sprite_y(3 downto 0); -- vertical flip
s_next_tile_addr <= s_sprite_half & s_sprite_tile & '0' & s_inner_tile_pos(2 downto 0) when s_big_sprites = '0'
else s_sprite_tile(0) & s_sprite_tile(7 downto 1) & s_inner_tile_pos(3) & '0' & s_inner_tile_pos(2 downto 0);
s_tile_data <= x"00" when s_sprite_disable = '1'
else reverse_vector(i_chr_data) when s_sprite_flip_horizontal = '1'
else i_chr_data;
s_video_addr <= s_spr_addr when s_visible_or_prescan_line and s_hblank_cycle and s_enable_rendering
else s_bkg_addr when s_visible_or_prescan_line and not s_hblank_cycle and s_enable_rendering
else '0' & s_vram_addr_v;
s_video_read_enable <= s_spr_read_enable when s_visible_or_prescan_line and s_hblank_cycle and s_enable_rendering
else s_bkg_read_enable when s_visible_or_prescan_line and not s_hblank_cycle and s_enable_rendering
else '1' when (s_io_state = ppudata_read) and (s_io_cycle = 4)
else '0';
s_video_write_enable <= '1' when (s_io_state = ppudata_write) and (s_io_cycle = 7) and not s_palette_access else '0';
s_xpos <= std_logic_vector(to_unsigned(s_cycle - 1, 8)) when s_render else x"ff";
s_ypos <= std_logic_vector(to_unsigned(s_line, 8));
s_out_write_enable <= '1' when s_visible_line and s_render else '0';
s_render <= (s_cycle >= 1) and (s_cycle < 257);
s_visible_line <= s_line < 240;
s_prescan_line <= s_line = s_max_line;
s_visible_or_prescan_line <= s_visible_line or s_prescan_line;
s_last_cycle <= s_cycle = 340;
s_hblank_cycle <= (s_cycle > 256) and (s_cycle < 321);
--s_vram_bkg_inc <= std_logic_vector(to_unsigned(s_cycle - 1, 3)) = "110";
s_out_color <= s_palette_color and 6x"30" when s_greyscale = '1' else s_palette_color;
s_out_data <= s_color_emphasize & s_out_color;
s_oam_write_enable <= '1' when s_perform_oam_write_access else '0';
s_perform_oam_write_access <= (s_io_state = oamdata_write) and (s_io_cycle = 1);
s_perform_oam_access <= s_perform_oam_write_access;
s_enable_rendering <= (s_enable_background = '1') or (s_enable_sprites = '1');
s_palette_quadrant <= s_vram_addr_v(6) & s_vram_addr_v(1);
s_tile_addr <= "0010" & s_vram_addr_v(11 downto 0);
s_attr_addr <= "0010" & s_vram_addr_v(11 downto 10) & "1111" & s_vram_addr_v(9 downto 7) & s_vram_addr_v(4 downto 2);
s_tile_lo_addr <= "000" & s_background_half & s_tile_index & '0' & s_vram_addr_v(14 downto 12);
s_tile_hi_addr <= "000" & s_background_half & s_tile_index & '1' & s_vram_addr_v(14 downto 12);
s_background_pixel <= s_th_q(s_fine_scroll_x) & s_tl_q(s_fine_scroll_x) when (s_enable_background = '1') and ((s_render_first_bkg_col = '1') or (s_xpos(7 downto 3) /= "00000")) else "00";
s_background_palette_index <= s_bh_q(s_fine_scroll_x) & s_bl_q(s_fine_scroll_x);
s_palette_color <= s_palette_mem(to_pal_idx(s_palette_index));
s_palette_access <= s_vram_addr_v(14 downto 8) = 7x"3f";
s_oam_data <= s_io_data(7 downto 5) & "000" & s_io_data(1 downto 0) when s_oam_addr(1 downto 0) = "10" else s_io_data;
s_oam_eff_q <= x"ff" when (s_spr_state = clear1) or (s_spr_state = clear2) else s_oam_q;
s_oam_clk_enable <= i_clk_enable when s_spr_mem = oam else '0';
s_soa_clk_enable <= i_clk_enable when s_spr_mem = soa else '0';
o_q <= s_q;
o_int_n <= s_vblank nand s_enable_nmi;
o_vga_addr <= s_out_addr;
o_vga_data <= s_out_data;
o_vga_write_enable <= s_out_write_enable;
o_chr_addr <= s_video_addr(13 downto 0);
o_chr_q <= s_io_data;
o_chr_read_enable <= s_video_read_enable;
o_chr_write_enable <= s_video_write_enable;
end architecture;
|
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018
-- Date : Tue Sep 17 19:44:46 2019
-- Host : varun-laptop running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode funcsim
-- d:/github/Digital-Hardware-Modelling/xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_processing_system7_0_0/gcd_zynq_snick_processing_system7_0_0_sim_netlist.vhdl
-- Design : gcd_zynq_snick_processing_system7_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-3
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 is
port (
CAN0_PHY_TX : out STD_LOGIC;
CAN0_PHY_RX : in STD_LOGIC;
CAN1_PHY_TX : out STD_LOGIC;
CAN1_PHY_RX : in STD_LOGIC;
ENET0_GMII_TX_EN : out STD_LOGIC;
ENET0_GMII_TX_ER : out STD_LOGIC;
ENET0_MDIO_MDC : out STD_LOGIC;
ENET0_MDIO_O : out STD_LOGIC;
ENET0_MDIO_T : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET0_SOF_RX : out STD_LOGIC;
ENET0_SOF_TX : out STD_LOGIC;
ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET0_GMII_COL : in STD_LOGIC;
ENET0_GMII_CRS : in STD_LOGIC;
ENET0_GMII_RX_CLK : in STD_LOGIC;
ENET0_GMII_RX_DV : in STD_LOGIC;
ENET0_GMII_RX_ER : in STD_LOGIC;
ENET0_GMII_TX_CLK : in STD_LOGIC;
ENET0_MDIO_I : in STD_LOGIC;
ENET0_EXT_INTIN : in STD_LOGIC;
ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_TX_EN : out STD_LOGIC;
ENET1_GMII_TX_ER : out STD_LOGIC;
ENET1_MDIO_MDC : out STD_LOGIC;
ENET1_MDIO_O : out STD_LOGIC;
ENET1_MDIO_T : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC;
ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC;
ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC;
ENET1_SOF_RX : out STD_LOGIC;
ENET1_SOF_TX : out STD_LOGIC;
ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 );
ENET1_GMII_COL : in STD_LOGIC;
ENET1_GMII_CRS : in STD_LOGIC;
ENET1_GMII_RX_CLK : in STD_LOGIC;
ENET1_GMII_RX_DV : in STD_LOGIC;
ENET1_GMII_RX_ER : in STD_LOGIC;
ENET1_GMII_TX_CLK : in STD_LOGIC;
ENET1_MDIO_I : in STD_LOGIC;
ENET1_EXT_INTIN : in STD_LOGIC;
ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
I2C1_SDA_I : in STD_LOGIC;
I2C1_SDA_O : out STD_LOGIC;
I2C1_SDA_T : out STD_LOGIC;
I2C1_SCL_I : in STD_LOGIC;
I2C1_SCL_O : out STD_LOGIC;
I2C1_SCL_T : out STD_LOGIC;
PJTAG_TCK : in STD_LOGIC;
PJTAG_TMS : in STD_LOGIC;
PJTAG_TDI : in STD_LOGIC;
PJTAG_TDO : out STD_LOGIC;
SDIO0_CLK : out STD_LOGIC;
SDIO0_CLK_FB : in STD_LOGIC;
SDIO0_CMD_O : out STD_LOGIC;
SDIO0_CMD_I : in STD_LOGIC;
SDIO0_CMD_T : out STD_LOGIC;
SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO0_LED : out STD_LOGIC;
SDIO0_CDN : in STD_LOGIC;
SDIO0_WP : in STD_LOGIC;
SDIO0_BUSPOW : out STD_LOGIC;
SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SDIO1_CLK : out STD_LOGIC;
SDIO1_CLK_FB : in STD_LOGIC;
SDIO1_CMD_O : out STD_LOGIC;
SDIO1_CMD_I : in STD_LOGIC;
SDIO1_CMD_T : out STD_LOGIC;
SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 );
SDIO1_LED : out STD_LOGIC;
SDIO1_CDN : in STD_LOGIC;
SDIO1_WP : in STD_LOGIC;
SDIO1_BUSPOW : out STD_LOGIC;
SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 );
SPI0_SCLK_I : in STD_LOGIC;
SPI0_SCLK_O : out STD_LOGIC;
SPI0_SCLK_T : out STD_LOGIC;
SPI0_MOSI_I : in STD_LOGIC;
SPI0_MOSI_O : out STD_LOGIC;
SPI0_MOSI_T : out STD_LOGIC;
SPI0_MISO_I : in STD_LOGIC;
SPI0_MISO_O : out STD_LOGIC;
SPI0_MISO_T : out STD_LOGIC;
SPI0_SS_I : in STD_LOGIC;
SPI0_SS_O : out STD_LOGIC;
SPI0_SS1_O : out STD_LOGIC;
SPI0_SS2_O : out STD_LOGIC;
SPI0_SS_T : out STD_LOGIC;
SPI1_SCLK_I : in STD_LOGIC;
SPI1_SCLK_O : out STD_LOGIC;
SPI1_SCLK_T : out STD_LOGIC;
SPI1_MOSI_I : in STD_LOGIC;
SPI1_MOSI_O : out STD_LOGIC;
SPI1_MOSI_T : out STD_LOGIC;
SPI1_MISO_I : in STD_LOGIC;
SPI1_MISO_O : out STD_LOGIC;
SPI1_MISO_T : out STD_LOGIC;
SPI1_SS_I : in STD_LOGIC;
SPI1_SS_O : out STD_LOGIC;
SPI1_SS1_O : out STD_LOGIC;
SPI1_SS2_O : out STD_LOGIC;
SPI1_SS_T : out STD_LOGIC;
UART0_DTRN : out STD_LOGIC;
UART0_RTSN : out STD_LOGIC;
UART0_TX : out STD_LOGIC;
UART0_CTSN : in STD_LOGIC;
UART0_DCDN : in STD_LOGIC;
UART0_DSRN : in STD_LOGIC;
UART0_RIN : in STD_LOGIC;
UART0_RX : in STD_LOGIC;
UART1_DTRN : out STD_LOGIC;
UART1_RTSN : out STD_LOGIC;
UART1_TX : out STD_LOGIC;
UART1_CTSN : in STD_LOGIC;
UART1_DCDN : in STD_LOGIC;
UART1_DSRN : in STD_LOGIC;
UART1_RIN : in STD_LOGIC;
UART1_RX : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
TTC0_CLK0_IN : in STD_LOGIC;
TTC0_CLK1_IN : in STD_LOGIC;
TTC0_CLK2_IN : in STD_LOGIC;
TTC1_WAVE0_OUT : out STD_LOGIC;
TTC1_WAVE1_OUT : out STD_LOGIC;
TTC1_WAVE2_OUT : out STD_LOGIC;
TTC1_CLK0_IN : in STD_LOGIC;
TTC1_CLK1_IN : in STD_LOGIC;
TTC1_CLK2_IN : in STD_LOGIC;
WDT_CLK_IN : in STD_LOGIC;
WDT_RST_OUT : out STD_LOGIC;
TRACE_CLK : in STD_LOGIC;
TRACE_CTL : out STD_LOGIC;
TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 );
TRACE_CLK_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB1_VBUS_PWRSELECT : out STD_LOGIC;
USB1_VBUS_PWRFAULT : in STD_LOGIC;
SRAM_INTIN : in STD_LOGIC;
M_AXI_GP0_ARESETN : out STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARESETN : out STD_LOGIC;
M_AXI_GP1_ARVALID : out STD_LOGIC;
M_AXI_GP1_AWVALID : out STD_LOGIC;
M_AXI_GP1_BREADY : out STD_LOGIC;
M_AXI_GP1_RREADY : out STD_LOGIC;
M_AXI_GP1_WLAST : out STD_LOGIC;
M_AXI_GP1_WVALID : out STD_LOGIC;
M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP1_ACLK : in STD_LOGIC;
M_AXI_GP1_ARREADY : in STD_LOGIC;
M_AXI_GP1_AWREADY : in STD_LOGIC;
M_AXI_GP1_BVALID : in STD_LOGIC;
M_AXI_GP1_RLAST : in STD_LOGIC;
M_AXI_GP1_RVALID : in STD_LOGIC;
M_AXI_GP1_WREADY : in STD_LOGIC;
M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARESETN : out STD_LOGIC;
S_AXI_GP0_ARREADY : out STD_LOGIC;
S_AXI_GP0_AWREADY : out STD_LOGIC;
S_AXI_GP0_BVALID : out STD_LOGIC;
S_AXI_GP0_RLAST : out STD_LOGIC;
S_AXI_GP0_RVALID : out STD_LOGIC;
S_AXI_GP0_WREADY : out STD_LOGIC;
S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_ACLK : in STD_LOGIC;
S_AXI_GP0_ARVALID : in STD_LOGIC;
S_AXI_GP0_AWVALID : in STD_LOGIC;
S_AXI_GP0_BREADY : in STD_LOGIC;
S_AXI_GP0_RREADY : in STD_LOGIC;
S_AXI_GP0_WLAST : in STD_LOGIC;
S_AXI_GP0_WVALID : in STD_LOGIC;
S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ARESETN : out STD_LOGIC;
S_AXI_GP1_ARREADY : out STD_LOGIC;
S_AXI_GP1_AWREADY : out STD_LOGIC;
S_AXI_GP1_BVALID : out STD_LOGIC;
S_AXI_GP1_RLAST : out STD_LOGIC;
S_AXI_GP1_RVALID : out STD_LOGIC;
S_AXI_GP1_WREADY : out STD_LOGIC;
S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_ACLK : in STD_LOGIC;
S_AXI_GP1_ARVALID : in STD_LOGIC;
S_AXI_GP1_AWVALID : in STD_LOGIC;
S_AXI_GP1_BREADY : in STD_LOGIC;
S_AXI_GP1_RREADY : in STD_LOGIC;
S_AXI_GP1_WLAST : in STD_LOGIC;
S_AXI_GP1_WVALID : in STD_LOGIC;
S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_ACP_ARESETN : out STD_LOGIC;
S_AXI_ACP_ARREADY : out STD_LOGIC;
S_AXI_ACP_AWREADY : out STD_LOGIC;
S_AXI_ACP_BVALID : out STD_LOGIC;
S_AXI_ACP_RLAST : out STD_LOGIC;
S_AXI_ACP_RVALID : out STD_LOGIC;
S_AXI_ACP_WREADY : out STD_LOGIC;
S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_ACLK : in STD_LOGIC;
S_AXI_ACP_ARVALID : in STD_LOGIC;
S_AXI_ACP_AWVALID : in STD_LOGIC;
S_AXI_ACP_BREADY : in STD_LOGIC;
S_AXI_ACP_RREADY : in STD_LOGIC;
S_AXI_ACP_WLAST : in STD_LOGIC;
S_AXI_ACP_WVALID : in STD_LOGIC;
S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_ARESETN : out STD_LOGIC;
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_ARESETN : out STD_LOGIC;
S_AXI_HP1_ARREADY : out STD_LOGIC;
S_AXI_HP1_AWREADY : out STD_LOGIC;
S_AXI_HP1_BVALID : out STD_LOGIC;
S_AXI_HP1_RLAST : out STD_LOGIC;
S_AXI_HP1_RVALID : out STD_LOGIC;
S_AXI_HP1_WREADY : out STD_LOGIC;
S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_ACLK : in STD_LOGIC;
S_AXI_HP1_ARVALID : in STD_LOGIC;
S_AXI_HP1_AWVALID : in STD_LOGIC;
S_AXI_HP1_BREADY : in STD_LOGIC;
S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_RREADY : in STD_LOGIC;
S_AXI_HP1_WLAST : in STD_LOGIC;
S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP1_WVALID : in STD_LOGIC;
S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_ARESETN : out STD_LOGIC;
S_AXI_HP2_ARREADY : out STD_LOGIC;
S_AXI_HP2_AWREADY : out STD_LOGIC;
S_AXI_HP2_BVALID : out STD_LOGIC;
S_AXI_HP2_RLAST : out STD_LOGIC;
S_AXI_HP2_RVALID : out STD_LOGIC;
S_AXI_HP2_WREADY : out STD_LOGIC;
S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_ACLK : in STD_LOGIC;
S_AXI_HP2_ARVALID : in STD_LOGIC;
S_AXI_HP2_AWVALID : in STD_LOGIC;
S_AXI_HP2_BREADY : in STD_LOGIC;
S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_RREADY : in STD_LOGIC;
S_AXI_HP2_WLAST : in STD_LOGIC;
S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP2_WVALID : in STD_LOGIC;
S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_ARESETN : out STD_LOGIC;
S_AXI_HP3_ARREADY : out STD_LOGIC;
S_AXI_HP3_AWREADY : out STD_LOGIC;
S_AXI_HP3_BVALID : out STD_LOGIC;
S_AXI_HP3_RLAST : out STD_LOGIC;
S_AXI_HP3_RVALID : out STD_LOGIC;
S_AXI_HP3_WREADY : out STD_LOGIC;
S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_ACLK : in STD_LOGIC;
S_AXI_HP3_ARVALID : in STD_LOGIC;
S_AXI_HP3_AWVALID : in STD_LOGIC;
S_AXI_HP3_BREADY : in STD_LOGIC;
S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_RREADY : in STD_LOGIC;
S_AXI_HP3_WLAST : in STD_LOGIC;
S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP3_WVALID : in STD_LOGIC;
S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 );
S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 );
IRQ_P2F_DMAC_ABORT : out STD_LOGIC;
IRQ_P2F_DMAC0 : out STD_LOGIC;
IRQ_P2F_DMAC1 : out STD_LOGIC;
IRQ_P2F_DMAC2 : out STD_LOGIC;
IRQ_P2F_DMAC3 : out STD_LOGIC;
IRQ_P2F_DMAC4 : out STD_LOGIC;
IRQ_P2F_DMAC5 : out STD_LOGIC;
IRQ_P2F_DMAC6 : out STD_LOGIC;
IRQ_P2F_DMAC7 : out STD_LOGIC;
IRQ_P2F_SMC : out STD_LOGIC;
IRQ_P2F_QSPI : out STD_LOGIC;
IRQ_P2F_CTI : out STD_LOGIC;
IRQ_P2F_GPIO : out STD_LOGIC;
IRQ_P2F_USB0 : out STD_LOGIC;
IRQ_P2F_ENET0 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE0 : out STD_LOGIC;
IRQ_P2F_SDIO0 : out STD_LOGIC;
IRQ_P2F_I2C0 : out STD_LOGIC;
IRQ_P2F_SPI0 : out STD_LOGIC;
IRQ_P2F_UART0 : out STD_LOGIC;
IRQ_P2F_CAN0 : out STD_LOGIC;
IRQ_P2F_USB1 : out STD_LOGIC;
IRQ_P2F_ENET1 : out STD_LOGIC;
IRQ_P2F_ENET_WAKE1 : out STD_LOGIC;
IRQ_P2F_SDIO1 : out STD_LOGIC;
IRQ_P2F_I2C1 : out STD_LOGIC;
IRQ_P2F_SPI1 : out STD_LOGIC;
IRQ_P2F_UART1 : out STD_LOGIC;
IRQ_P2F_CAN1 : out STD_LOGIC;
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
Core0_nFIQ : in STD_LOGIC;
Core0_nIRQ : in STD_LOGIC;
Core1_nFIQ : in STD_LOGIC;
Core1_nIRQ : in STD_LOGIC;
DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA0_DAVALID : out STD_LOGIC;
DMA0_DRREADY : out STD_LOGIC;
DMA0_RSTN : out STD_LOGIC;
DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DAVALID : out STD_LOGIC;
DMA1_DRREADY : out STD_LOGIC;
DMA1_RSTN : out STD_LOGIC;
DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DAVALID : out STD_LOGIC;
DMA2_DRREADY : out STD_LOGIC;
DMA2_RSTN : out STD_LOGIC;
DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DAVALID : out STD_LOGIC;
DMA3_DRREADY : out STD_LOGIC;
DMA3_RSTN : out STD_LOGIC;
DMA0_ACLK : in STD_LOGIC;
DMA0_DAREADY : in STD_LOGIC;
DMA0_DRLAST : in STD_LOGIC;
DMA0_DRVALID : in STD_LOGIC;
DMA1_ACLK : in STD_LOGIC;
DMA1_DAREADY : in STD_LOGIC;
DMA1_DRLAST : in STD_LOGIC;
DMA1_DRVALID : in STD_LOGIC;
DMA2_ACLK : in STD_LOGIC;
DMA2_DAREADY : in STD_LOGIC;
DMA2_DRLAST : in STD_LOGIC;
DMA2_DRVALID : in STD_LOGIC;
DMA3_ACLK : in STD_LOGIC;
DMA3_DAREADY : in STD_LOGIC;
DMA3_DRLAST : in STD_LOGIC;
DMA3_DRVALID : in STD_LOGIC;
DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 );
FCLK_CLK3 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLKTRIG3_N : in STD_LOGIC;
FCLK_CLKTRIG2_N : in STD_LOGIC;
FCLK_CLKTRIG1_N : in STD_LOGIC;
FCLK_CLKTRIG0_N : in STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMD_TRACEIN_VALID : in STD_LOGIC;
FTMD_TRACEIN_CLK : in STD_LOGIC;
FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 );
FTMT_F2P_TRIG_0 : in STD_LOGIC;
FTMT_F2P_TRIGACK_0 : out STD_LOGIC;
FTMT_F2P_TRIG_1 : in STD_LOGIC;
FTMT_F2P_TRIGACK_1 : out STD_LOGIC;
FTMT_F2P_TRIG_2 : in STD_LOGIC;
FTMT_F2P_TRIGACK_2 : out STD_LOGIC;
FTMT_F2P_TRIG_3 : in STD_LOGIC;
FTMT_F2P_TRIGACK_3 : out STD_LOGIC;
FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 );
FTMT_P2F_TRIGACK_0 : in STD_LOGIC;
FTMT_P2F_TRIG_0 : out STD_LOGIC;
FTMT_P2F_TRIGACK_1 : in STD_LOGIC;
FTMT_P2F_TRIG_1 : out STD_LOGIC;
FTMT_P2F_TRIGACK_2 : in STD_LOGIC;
FTMT_P2F_TRIG_2 : out STD_LOGIC;
FTMT_P2F_TRIGACK_3 : in STD_LOGIC;
FTMT_P2F_TRIG_3 : out STD_LOGIC;
FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 );
FPGA_IDLE_N : in STD_LOGIC;
EVENT_EVENTO : out STD_LOGIC;
EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 );
EVENT_EVENTI : in STD_LOGIC;
DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 );
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7";
attribute POWER : string;
attribute POWER of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0;
end gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7;
architecture STRUCTURE of gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal ENET0_MDIO_T_n : STD_LOGIC;
signal ENET1_MDIO_T_n : STD_LOGIC;
signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 );
signal I2C0_SCL_T_n : STD_LOGIC;
signal I2C0_SDA_T_n : STD_LOGIC;
signal I2C1_SCL_T_n : STD_LOGIC;
signal I2C1_SDA_T_n : STD_LOGIC;
signal \^m_axi_gp0_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp0_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \^m_axi_gp1_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal SDIO0_CMD_T_n : STD_LOGIC;
signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SDIO1_CMD_T_n : STD_LOGIC;
signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal SPI0_MISO_T_n : STD_LOGIC;
signal SPI0_MOSI_T_n : STD_LOGIC;
signal SPI0_SCLK_T_n : STD_LOGIC;
signal SPI0_SS_T_n : STD_LOGIC;
signal SPI1_MISO_T_n : STD_LOGIC;
signal SPI1_MOSI_T_n : STD_LOGIC;
signal SPI1_SCLK_T_n : STD_LOGIC;
signal SPI1_SS_T_n : STD_LOGIC;
signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true";
signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true";
signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true";
signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true";
signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true";
signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true";
signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true";
signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC;
attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true";
signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true";
signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true";
signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true";
signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true";
signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true";
signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true";
signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true";
signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true";
signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 );
signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal buffered_DDR_CAS_n : STD_LOGIC;
signal buffered_DDR_CKE : STD_LOGIC;
signal buffered_DDR_CS_n : STD_LOGIC;
signal buffered_DDR_Clk : STD_LOGIC;
signal buffered_DDR_Clk_n : STD_LOGIC;
signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 );
signal buffered_DDR_DRSTB : STD_LOGIC;
signal buffered_DDR_ODT : STD_LOGIC;
signal buffered_DDR_RAS_n : STD_LOGIC;
signal buffered_DDR_VRN : STD_LOGIC;
signal buffered_DDR_VRP : STD_LOGIC;
signal buffered_DDR_WEB : STD_LOGIC;
signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal buffered_PS_CLK : STD_LOGIC;
signal buffered_PS_PORB : STD_LOGIC;
signal buffered_PS_SRSTB : STD_LOGIC;
signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC;
signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS7_i : label is "PRIMITIVE";
attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE";
attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE";
begin
ENET0_GMII_TXD(7) <= \<const0>\;
ENET0_GMII_TXD(6) <= \<const0>\;
ENET0_GMII_TXD(5) <= \<const0>\;
ENET0_GMII_TXD(4) <= \<const0>\;
ENET0_GMII_TXD(3) <= \<const0>\;
ENET0_GMII_TXD(2) <= \<const0>\;
ENET0_GMII_TXD(1) <= \<const0>\;
ENET0_GMII_TXD(0) <= \<const0>\;
ENET0_GMII_TX_EN <= \<const0>\;
ENET0_GMII_TX_ER <= \<const0>\;
ENET1_GMII_TXD(7) <= \<const0>\;
ENET1_GMII_TXD(6) <= \<const0>\;
ENET1_GMII_TXD(5) <= \<const0>\;
ENET1_GMII_TXD(4) <= \<const0>\;
ENET1_GMII_TXD(3) <= \<const0>\;
ENET1_GMII_TXD(2) <= \<const0>\;
ENET1_GMII_TXD(1) <= \<const0>\;
ENET1_GMII_TXD(0) <= \<const0>\;
ENET1_GMII_TX_EN <= \<const0>\;
ENET1_GMII_TX_ER <= \<const0>\;
M_AXI_GP0_ARCACHE(3 downto 2) <= \^m_axi_gp0_arcache\(3 downto 2);
M_AXI_GP0_ARCACHE(1) <= \<const1>\;
M_AXI_GP0_ARCACHE(0) <= \^m_axi_gp0_arcache\(0);
M_AXI_GP0_ARSIZE(2) <= \<const0>\;
M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0);
M_AXI_GP0_AWCACHE(3 downto 2) <= \^m_axi_gp0_awcache\(3 downto 2);
M_AXI_GP0_AWCACHE(1) <= \<const1>\;
M_AXI_GP0_AWCACHE(0) <= \^m_axi_gp0_awcache\(0);
M_AXI_GP0_AWSIZE(2) <= \<const0>\;
M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0);
M_AXI_GP1_ARCACHE(3 downto 2) <= \^m_axi_gp1_arcache\(3 downto 2);
M_AXI_GP1_ARCACHE(1) <= \<const1>\;
M_AXI_GP1_ARCACHE(0) <= \^m_axi_gp1_arcache\(0);
M_AXI_GP1_ARSIZE(2) <= \<const0>\;
M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0);
M_AXI_GP1_AWCACHE(3 downto 2) <= \^m_axi_gp1_awcache\(3 downto 2);
M_AXI_GP1_AWCACHE(1) <= \<const1>\;
M_AXI_GP1_AWCACHE(0) <= \^m_axi_gp1_awcache\(0);
M_AXI_GP1_AWSIZE(2) <= \<const0>\;
M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0);
PJTAG_TDO <= \<const0>\;
TRACE_CLK_OUT <= \<const0>\;
TRACE_CTL <= \TRACE_CTL_PIPE[0]\;
TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0);
DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CAS_n,
PAD => DDR_CAS_n
);
DDR_CKE_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CKE,
PAD => DDR_CKE
);
DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_CS_n,
PAD => DDR_CS_n
);
DDR_Clk_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk,
PAD => DDR_Clk
);
DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Clk_n,
PAD => DDR_Clk_n
);
DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DRSTB,
PAD => DDR_DRSTB
);
DDR_ODT_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_ODT,
PAD => DDR_ODT
);
DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_RAS_n,
PAD => DDR_RAS_n
);
DDR_VRN_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRN,
PAD => DDR_VRN
);
DDR_VRP_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_VRP,
PAD => DDR_VRP
);
DDR_WEB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_WEB,
PAD => DDR_WEB
);
ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET0_MDIO_T_n,
O => ENET0_MDIO_T
);
ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ENET1_MDIO_T_n,
O => ENET1_MDIO_T
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(0),
O => GPIO_T(0)
);
\GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(10),
O => GPIO_T(10)
);
\GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(11),
O => GPIO_T(11)
);
\GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(12),
O => GPIO_T(12)
);
\GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(13),
O => GPIO_T(13)
);
\GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(14),
O => GPIO_T(14)
);
\GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(15),
O => GPIO_T(15)
);
\GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(16),
O => GPIO_T(16)
);
\GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(17),
O => GPIO_T(17)
);
\GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(18),
O => GPIO_T(18)
);
\GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(19),
O => GPIO_T(19)
);
\GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(1),
O => GPIO_T(1)
);
\GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(20),
O => GPIO_T(20)
);
\GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(21),
O => GPIO_T(21)
);
\GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(22),
O => GPIO_T(22)
);
\GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(23),
O => GPIO_T(23)
);
\GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(24),
O => GPIO_T(24)
);
\GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(25),
O => GPIO_T(25)
);
\GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(26),
O => GPIO_T(26)
);
\GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(27),
O => GPIO_T(27)
);
\GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(28),
O => GPIO_T(28)
);
\GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(29),
O => GPIO_T(29)
);
\GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(2),
O => GPIO_T(2)
);
\GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(30),
O => GPIO_T(30)
);
\GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(31),
O => GPIO_T(31)
);
\GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(32),
O => GPIO_T(32)
);
\GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(33),
O => GPIO_T(33)
);
\GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(34),
O => GPIO_T(34)
);
\GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(35),
O => GPIO_T(35)
);
\GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(36),
O => GPIO_T(36)
);
\GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(37),
O => GPIO_T(37)
);
\GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(38),
O => GPIO_T(38)
);
\GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(39),
O => GPIO_T(39)
);
\GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(3),
O => GPIO_T(3)
);
\GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(40),
O => GPIO_T(40)
);
\GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(41),
O => GPIO_T(41)
);
\GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(42),
O => GPIO_T(42)
);
\GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(43),
O => GPIO_T(43)
);
\GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(44),
O => GPIO_T(44)
);
\GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(45),
O => GPIO_T(45)
);
\GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(46),
O => GPIO_T(46)
);
\GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(47),
O => GPIO_T(47)
);
\GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(48),
O => GPIO_T(48)
);
\GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(49),
O => GPIO_T(49)
);
\GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(4),
O => GPIO_T(4)
);
\GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(50),
O => GPIO_T(50)
);
\GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(51),
O => GPIO_T(51)
);
\GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(52),
O => GPIO_T(52)
);
\GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(53),
O => GPIO_T(53)
);
\GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(54),
O => GPIO_T(54)
);
\GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(55),
O => GPIO_T(55)
);
\GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(56),
O => GPIO_T(56)
);
\GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(57),
O => GPIO_T(57)
);
\GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(58),
O => GPIO_T(58)
);
\GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(59),
O => GPIO_T(59)
);
\GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(5),
O => GPIO_T(5)
);
\GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(60),
O => GPIO_T(60)
);
\GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(61),
O => GPIO_T(61)
);
\GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(62),
O => GPIO_T(62)
);
\GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(63),
O => GPIO_T(63)
);
\GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(6),
O => GPIO_T(6)
);
\GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(7),
O => GPIO_T(7)
);
\GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(8),
O => GPIO_T(8)
);
\GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gpio_out_t_n(9),
O => GPIO_T(9)
);
I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SCL_T_n,
O => I2C0_SCL_T
);
I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C0_SDA_T_n,
O => I2C0_SDA_T
);
I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SCL_T_n,
O => I2C1_SCL_T
);
I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => I2C1_SDA_T_n,
O => I2C1_SDA_T
);
PS7_i: unisim.vcomponents.PS7
port map (
DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0),
DDRARB(3 downto 0) => DDR_ARB(3 downto 0),
DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0),
DDRCASB => buffered_DDR_CAS_n,
DDRCKE => buffered_DDR_CKE,
DDRCKN => buffered_DDR_Clk_n,
DDRCKP => buffered_DDR_Clk,
DDRCSB => buffered_DDR_CS_n,
DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0),
DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0),
DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0),
DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0),
DDRDRSTB => buffered_DDR_DRSTB,
DDRODT => buffered_DDR_ODT,
DDRRASB => buffered_DDR_RAS_n,
DDRVRN => buffered_DDR_VRN,
DDRVRP => buffered_DDR_VRP,
DDRWEB => buffered_DDR_WEB,
DMA0ACLK => DMA0_ACLK,
DMA0DAREADY => DMA0_DAREADY,
DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0),
DMA0DAVALID => DMA0_DAVALID,
DMA0DRLAST => DMA0_DRLAST,
DMA0DRREADY => DMA0_DRREADY,
DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0),
DMA0DRVALID => DMA0_DRVALID,
DMA0RSTN => DMA0_RSTN,
DMA1ACLK => DMA1_ACLK,
DMA1DAREADY => DMA1_DAREADY,
DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0),
DMA1DAVALID => DMA1_DAVALID,
DMA1DRLAST => DMA1_DRLAST,
DMA1DRREADY => DMA1_DRREADY,
DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0),
DMA1DRVALID => DMA1_DRVALID,
DMA1RSTN => DMA1_RSTN,
DMA2ACLK => DMA2_ACLK,
DMA2DAREADY => DMA2_DAREADY,
DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0),
DMA2DAVALID => DMA2_DAVALID,
DMA2DRLAST => DMA2_DRLAST,
DMA2DRREADY => DMA2_DRREADY,
DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0),
DMA2DRVALID => DMA2_DRVALID,
DMA2RSTN => DMA2_RSTN,
DMA3ACLK => DMA3_ACLK,
DMA3DAREADY => DMA3_DAREADY,
DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0),
DMA3DAVALID => DMA3_DAVALID,
DMA3DRLAST => DMA3_DRLAST,
DMA3DRREADY => DMA3_DRREADY,
DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0),
DMA3DRVALID => DMA3_DRVALID,
DMA3RSTN => DMA3_RSTN,
EMIOCAN0PHYRX => CAN0_PHY_RX,
EMIOCAN0PHYTX => CAN0_PHY_TX,
EMIOCAN1PHYRX => CAN1_PHY_RX,
EMIOCAN1PHYTX => CAN1_PHY_TX,
EMIOENET0EXTINTIN => ENET0_EXT_INTIN,
EMIOENET0GMIICOL => '0',
EMIOENET0GMIICRS => '0',
EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK,
EMIOENET0GMIIRXD(7 downto 0) => B"00000000",
EMIOENET0GMIIRXDV => '0',
EMIOENET0GMIIRXER => '0',
EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK,
EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED,
EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED,
EMIOENET0MDIOI => ENET0_MDIO_I,
EMIOENET0MDIOMDC => ENET0_MDIO_MDC,
EMIOENET0MDIOO => ENET0_MDIO_O,
EMIOENET0MDIOTN => ENET0_MDIO_T_n,
EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX,
EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX,
EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX,
EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX,
EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX,
EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX,
EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX,
EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX,
EMIOENET0SOFRX => ENET0_SOF_RX,
EMIOENET0SOFTX => ENET0_SOF_TX,
EMIOENET1EXTINTIN => ENET1_EXT_INTIN,
EMIOENET1GMIICOL => '0',
EMIOENET1GMIICRS => '0',
EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK,
EMIOENET1GMIIRXD(7 downto 0) => B"00000000",
EMIOENET1GMIIRXDV => '0',
EMIOENET1GMIIRXER => '0',
EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK,
EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0),
EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED,
EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED,
EMIOENET1MDIOI => ENET1_MDIO_I,
EMIOENET1MDIOMDC => ENET1_MDIO_MDC,
EMIOENET1MDIOO => ENET1_MDIO_O,
EMIOENET1MDIOTN => ENET1_MDIO_T_n,
EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX,
EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX,
EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX,
EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX,
EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX,
EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX,
EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX,
EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX,
EMIOENET1SOFRX => ENET1_SOF_RX,
EMIOENET1SOFTX => ENET1_SOF_TX,
EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0),
EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0),
EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0),
EMIOI2C0SCLI => I2C0_SCL_I,
EMIOI2C0SCLO => I2C0_SCL_O,
EMIOI2C0SCLTN => I2C0_SCL_T_n,
EMIOI2C0SDAI => I2C0_SDA_I,
EMIOI2C0SDAO => I2C0_SDA_O,
EMIOI2C0SDATN => I2C0_SDA_T_n,
EMIOI2C1SCLI => I2C1_SCL_I,
EMIOI2C1SCLO => I2C1_SCL_O,
EMIOI2C1SCLTN => I2C1_SCL_T_n,
EMIOI2C1SDAI => I2C1_SDA_I,
EMIOI2C1SDAO => I2C1_SDA_O,
EMIOI2C1SDATN => I2C1_SDA_T_n,
EMIOPJTAGTCK => PJTAG_TCK,
EMIOPJTAGTDI => PJTAG_TDI,
EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED,
EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED,
EMIOPJTAGTMS => PJTAG_TMS,
EMIOSDIO0BUSPOW => SDIO0_BUSPOW,
EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0),
EMIOSDIO0CDN => SDIO0_CDN,
EMIOSDIO0CLK => SDIO0_CLK,
EMIOSDIO0CLKFB => SDIO0_CLK_FB,
EMIOSDIO0CMDI => SDIO0_CMD_I,
EMIOSDIO0CMDO => SDIO0_CMD_O,
EMIOSDIO0CMDTN => SDIO0_CMD_T_n,
EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0),
EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0),
EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0),
EMIOSDIO0LED => SDIO0_LED,
EMIOSDIO0WP => SDIO0_WP,
EMIOSDIO1BUSPOW => SDIO1_BUSPOW,
EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0),
EMIOSDIO1CDN => SDIO1_CDN,
EMIOSDIO1CLK => SDIO1_CLK,
EMIOSDIO1CLKFB => SDIO1_CLK_FB,
EMIOSDIO1CMDI => SDIO1_CMD_I,
EMIOSDIO1CMDO => SDIO1_CMD_O,
EMIOSDIO1CMDTN => SDIO1_CMD_T_n,
EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0),
EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0),
EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0),
EMIOSDIO1LED => SDIO1_LED,
EMIOSDIO1WP => SDIO1_WP,
EMIOSPI0MI => SPI0_MISO_I,
EMIOSPI0MO => SPI0_MOSI_O,
EMIOSPI0MOTN => SPI0_MOSI_T_n,
EMIOSPI0SCLKI => SPI0_SCLK_I,
EMIOSPI0SCLKO => SPI0_SCLK_O,
EMIOSPI0SCLKTN => SPI0_SCLK_T_n,
EMIOSPI0SI => SPI0_MOSI_I,
EMIOSPI0SO => SPI0_MISO_O,
EMIOSPI0SSIN => SPI0_SS_I,
EMIOSPI0SSNTN => SPI0_SS_T_n,
EMIOSPI0SSON(2) => SPI0_SS2_O,
EMIOSPI0SSON(1) => SPI0_SS1_O,
EMIOSPI0SSON(0) => SPI0_SS_O,
EMIOSPI0STN => SPI0_MISO_T_n,
EMIOSPI1MI => SPI1_MISO_I,
EMIOSPI1MO => SPI1_MOSI_O,
EMIOSPI1MOTN => SPI1_MOSI_T_n,
EMIOSPI1SCLKI => SPI1_SCLK_I,
EMIOSPI1SCLKO => SPI1_SCLK_O,
EMIOSPI1SCLKTN => SPI1_SCLK_T_n,
EMIOSPI1SI => SPI1_MOSI_I,
EMIOSPI1SO => SPI1_MISO_O,
EMIOSPI1SSIN => SPI1_SS_I,
EMIOSPI1SSNTN => SPI1_SS_T_n,
EMIOSPI1SSON(2) => SPI1_SS2_O,
EMIOSPI1SSON(1) => SPI1_SS1_O,
EMIOSPI1SSON(0) => SPI1_SS_O,
EMIOSPI1STN => SPI1_MISO_T_n,
EMIOSRAMINTIN => SRAM_INTIN,
EMIOTRACECLK => TRACE_CLK,
EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED,
EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0),
EMIOTTC0CLKI(2) => TTC0_CLK2_IN,
EMIOTTC0CLKI(1) => TTC0_CLK1_IN,
EMIOTTC0CLKI(0) => TTC0_CLK0_IN,
EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT,
EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT,
EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT,
EMIOTTC1CLKI(2) => TTC1_CLK2_IN,
EMIOTTC1CLKI(1) => TTC1_CLK1_IN,
EMIOTTC1CLKI(0) => TTC1_CLK0_IN,
EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT,
EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT,
EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT,
EMIOUART0CTSN => UART0_CTSN,
EMIOUART0DCDN => UART0_DCDN,
EMIOUART0DSRN => UART0_DSRN,
EMIOUART0DTRN => UART0_DTRN,
EMIOUART0RIN => UART0_RIN,
EMIOUART0RTSN => UART0_RTSN,
EMIOUART0RX => UART0_RX,
EMIOUART0TX => UART0_TX,
EMIOUART1CTSN => UART1_CTSN,
EMIOUART1DCDN => UART1_DCDN,
EMIOUART1DSRN => UART1_DSRN,
EMIOUART1DTRN => UART1_DTRN,
EMIOUART1RIN => UART1_RIN,
EMIOUART1RTSN => UART1_RTSN,
EMIOUART1RX => UART1_RX,
EMIOUART1TX => UART1_TX,
EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0),
EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT,
EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT,
EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0),
EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT,
EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT,
EMIOWDTCLKI => WDT_CLK_IN,
EMIOWDTRSTO => WDT_RST_OUT,
EVENTEVENTI => EVENT_EVENTI,
EVENTEVENTO => EVENT_EVENTO,
EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0),
EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0),
FCLKCLK(3) => FCLK_CLK3,
FCLKCLK(2) => FCLK_CLK2,
FCLKCLK(1) => FCLK_CLK1,
FCLKCLK(0) => FCLK_CLK_unbuffered(0),
FCLKCLKTRIGN(3 downto 0) => B"0000",
FCLKRESETN(3) => FCLK_RESET3_N,
FCLKRESETN(2) => FCLK_RESET2_N,
FCLKRESETN(1) => FCLK_RESET1_N,
FCLKRESETN(0) => FCLK_RESET0_N,
FPGAIDLEN => FPGA_IDLE_N,
FTMDTRACEINATID(3 downto 0) => B"0000",
FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK,
FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000",
FTMDTRACEINVALID => '0',
FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0),
FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3,
FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2,
FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1,
FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0,
FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3,
FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2,
FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1,
FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0,
FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0),
FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3,
FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2,
FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1,
FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0,
FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3,
FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2,
FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1,
FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0,
IRQF2P(19) => Core1_nFIQ,
IRQF2P(18) => Core0_nFIQ,
IRQF2P(17) => Core1_nIRQ,
IRQF2P(16) => Core0_nIRQ,
IRQF2P(15 downto 1) => B"000000000000000",
IRQF2P(0) => IRQ_F2P(0),
IRQP2F(28) => IRQ_P2F_DMAC_ABORT,
IRQP2F(27) => IRQ_P2F_DMAC7,
IRQP2F(26) => IRQ_P2F_DMAC6,
IRQP2F(25) => IRQ_P2F_DMAC5,
IRQP2F(24) => IRQ_P2F_DMAC4,
IRQP2F(23) => IRQ_P2F_DMAC3,
IRQP2F(22) => IRQ_P2F_DMAC2,
IRQP2F(21) => IRQ_P2F_DMAC1,
IRQP2F(20) => IRQ_P2F_DMAC0,
IRQP2F(19) => IRQ_P2F_SMC,
IRQP2F(18) => IRQ_P2F_QSPI,
IRQP2F(17) => IRQ_P2F_CTI,
IRQP2F(16) => IRQ_P2F_GPIO,
IRQP2F(15) => IRQ_P2F_USB0,
IRQP2F(14) => IRQ_P2F_ENET0,
IRQP2F(13) => IRQ_P2F_ENET_WAKE0,
IRQP2F(12) => IRQ_P2F_SDIO0,
IRQP2F(11) => IRQ_P2F_I2C0,
IRQP2F(10) => IRQ_P2F_SPI0,
IRQP2F(9) => IRQ_P2F_UART0,
IRQP2F(8) => IRQ_P2F_CAN0,
IRQP2F(7) => IRQ_P2F_USB1,
IRQP2F(6) => IRQ_P2F_ENET1,
IRQP2F(5) => IRQ_P2F_ENET_WAKE1,
IRQP2F(4) => IRQ_P2F_SDIO1,
IRQP2F(3) => IRQ_P2F_I2C1,
IRQP2F(2) => IRQ_P2F_SPI1,
IRQP2F(1) => IRQ_P2F_UART1,
IRQP2F(0) => IRQ_P2F_CAN1,
MAXIGP0ACLK => M_AXI_GP0_ACLK,
MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
MAXIGP0ARCACHE(3 downto 2) => \^m_axi_gp0_arcache\(3 downto 2),
MAXIGP0ARCACHE(1) => NLW_PS7_i_MAXIGP0ARCACHE_UNCONNECTED(1),
MAXIGP0ARCACHE(0) => \^m_axi_gp0_arcache\(0),
MAXIGP0ARESETN => M_AXI_GP0_ARESETN,
MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
MAXIGP0ARREADY => M_AXI_GP0_ARREADY,
MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0),
MAXIGP0ARVALID => M_AXI_GP0_ARVALID,
MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
MAXIGP0AWCACHE(3 downto 2) => \^m_axi_gp0_awcache\(3 downto 2),
MAXIGP0AWCACHE(1) => NLW_PS7_i_MAXIGP0AWCACHE_UNCONNECTED(1),
MAXIGP0AWCACHE(0) => \^m_axi_gp0_awcache\(0),
MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
MAXIGP0AWREADY => M_AXI_GP0_AWREADY,
MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0),
MAXIGP0AWVALID => M_AXI_GP0_AWVALID,
MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
MAXIGP0BREADY => M_AXI_GP0_BREADY,
MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
MAXIGP0BVALID => M_AXI_GP0_BVALID,
MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
MAXIGP0RLAST => M_AXI_GP0_RLAST,
MAXIGP0RREADY => M_AXI_GP0_RREADY,
MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
MAXIGP0RVALID => M_AXI_GP0_RVALID,
MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
MAXIGP0WLAST => M_AXI_GP0_WLAST,
MAXIGP0WREADY => M_AXI_GP0_WREADY,
MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
MAXIGP0WVALID => M_AXI_GP0_WVALID,
MAXIGP1ACLK => M_AXI_GP1_ACLK,
MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0),
MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0),
MAXIGP1ARCACHE(3 downto 2) => \^m_axi_gp1_arcache\(3 downto 2),
MAXIGP1ARCACHE(1) => NLW_PS7_i_MAXIGP1ARCACHE_UNCONNECTED(1),
MAXIGP1ARCACHE(0) => \^m_axi_gp1_arcache\(0),
MAXIGP1ARESETN => M_AXI_GP1_ARESETN,
MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0),
MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0),
MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0),
MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0),
MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0),
MAXIGP1ARREADY => M_AXI_GP1_ARREADY,
MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0),
MAXIGP1ARVALID => M_AXI_GP1_ARVALID,
MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0),
MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0),
MAXIGP1AWCACHE(3 downto 2) => \^m_axi_gp1_awcache\(3 downto 2),
MAXIGP1AWCACHE(1) => NLW_PS7_i_MAXIGP1AWCACHE_UNCONNECTED(1),
MAXIGP1AWCACHE(0) => \^m_axi_gp1_awcache\(0),
MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0),
MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0),
MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0),
MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0),
MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0),
MAXIGP1AWREADY => M_AXI_GP1_AWREADY,
MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0),
MAXIGP1AWVALID => M_AXI_GP1_AWVALID,
MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0),
MAXIGP1BREADY => M_AXI_GP1_BREADY,
MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0),
MAXIGP1BVALID => M_AXI_GP1_BVALID,
MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0),
MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0),
MAXIGP1RLAST => M_AXI_GP1_RLAST,
MAXIGP1RREADY => M_AXI_GP1_RREADY,
MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0),
MAXIGP1RVALID => M_AXI_GP1_RVALID,
MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0),
MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0),
MAXIGP1WLAST => M_AXI_GP1_WLAST,
MAXIGP1WREADY => M_AXI_GP1_WREADY,
MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0),
MAXIGP1WVALID => M_AXI_GP1_WVALID,
MIO(53 downto 0) => buffered_MIO(53 downto 0),
PSCLK => buffered_PS_CLK,
PSPORB => buffered_PS_PORB,
PSSRSTB => buffered_PS_SRSTB,
SAXIACPACLK => S_AXI_ACP_ACLK,
SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0),
SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0),
SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0),
SAXIACPARESETN => S_AXI_ACP_ARESETN,
SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0),
SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0),
SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0),
SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0),
SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0),
SAXIACPARREADY => S_AXI_ACP_ARREADY,
SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0),
SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0),
SAXIACPARVALID => S_AXI_ACP_ARVALID,
SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0),
SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0),
SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0),
SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0),
SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0),
SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0),
SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0),
SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0),
SAXIACPAWREADY => S_AXI_ACP_AWREADY,
SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0),
SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0),
SAXIACPAWVALID => S_AXI_ACP_AWVALID,
SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0),
SAXIACPBREADY => S_AXI_ACP_BREADY,
SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0),
SAXIACPBVALID => S_AXI_ACP_BVALID,
SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0),
SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0),
SAXIACPRLAST => S_AXI_ACP_RLAST,
SAXIACPRREADY => S_AXI_ACP_RREADY,
SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0),
SAXIACPRVALID => S_AXI_ACP_RVALID,
SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0),
SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0),
SAXIACPWLAST => S_AXI_ACP_WLAST,
SAXIACPWREADY => S_AXI_ACP_WREADY,
SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0),
SAXIACPWVALID => S_AXI_ACP_WVALID,
SAXIGP0ACLK => S_AXI_GP0_ACLK,
SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0),
SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0),
SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0),
SAXIGP0ARESETN => S_AXI_GP0_ARESETN,
SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0),
SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0),
SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0),
SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0),
SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0),
SAXIGP0ARREADY => S_AXI_GP0_ARREADY,
SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0),
SAXIGP0ARVALID => S_AXI_GP0_ARVALID,
SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0),
SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0),
SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0),
SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0),
SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0),
SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0),
SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0),
SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0),
SAXIGP0AWREADY => S_AXI_GP0_AWREADY,
SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0),
SAXIGP0AWVALID => S_AXI_GP0_AWVALID,
SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0),
SAXIGP0BREADY => S_AXI_GP0_BREADY,
SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0),
SAXIGP0BVALID => S_AXI_GP0_BVALID,
SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0),
SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0),
SAXIGP0RLAST => S_AXI_GP0_RLAST,
SAXIGP0RREADY => S_AXI_GP0_RREADY,
SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0),
SAXIGP0RVALID => S_AXI_GP0_RVALID,
SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0),
SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0),
SAXIGP0WLAST => S_AXI_GP0_WLAST,
SAXIGP0WREADY => S_AXI_GP0_WREADY,
SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0),
SAXIGP0WVALID => S_AXI_GP0_WVALID,
SAXIGP1ACLK => S_AXI_GP1_ACLK,
SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0),
SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0),
SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0),
SAXIGP1ARESETN => S_AXI_GP1_ARESETN,
SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0),
SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0),
SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0),
SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0),
SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0),
SAXIGP1ARREADY => S_AXI_GP1_ARREADY,
SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0),
SAXIGP1ARVALID => S_AXI_GP1_ARVALID,
SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0),
SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0),
SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0),
SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0),
SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0),
SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0),
SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0),
SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0),
SAXIGP1AWREADY => S_AXI_GP1_AWREADY,
SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0),
SAXIGP1AWVALID => S_AXI_GP1_AWVALID,
SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0),
SAXIGP1BREADY => S_AXI_GP1_BREADY,
SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0),
SAXIGP1BVALID => S_AXI_GP1_BVALID,
SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0),
SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0),
SAXIGP1RLAST => S_AXI_GP1_RLAST,
SAXIGP1RREADY => S_AXI_GP1_RREADY,
SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0),
SAXIGP1RVALID => S_AXI_GP1_RVALID,
SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0),
SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0),
SAXIGP1WLAST => S_AXI_GP1_WLAST,
SAXIGP1WREADY => S_AXI_GP1_WREADY,
SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0),
SAXIGP1WVALID => S_AXI_GP1_WVALID,
SAXIHP0ACLK => S_AXI_HP0_ACLK,
SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0),
SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0),
SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0),
SAXIHP0ARESETN => S_AXI_HP0_ARESETN,
SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0),
SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0),
SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0),
SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0),
SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0),
SAXIHP0ARREADY => S_AXI_HP0_ARREADY,
SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0),
SAXIHP0ARVALID => S_AXI_HP0_ARVALID,
SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0),
SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0),
SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0),
SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0),
SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0),
SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0),
SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0),
SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0),
SAXIHP0AWREADY => S_AXI_HP0_AWREADY,
SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0),
SAXIHP0AWVALID => S_AXI_HP0_AWVALID,
SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0),
SAXIHP0BREADY => S_AXI_HP0_BREADY,
SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0),
SAXIHP0BVALID => S_AXI_HP0_BVALID,
SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0),
SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0),
SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0),
SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN,
SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0),
SAXIHP0RLAST => S_AXI_HP0_RLAST,
SAXIHP0RREADY => S_AXI_HP0_RREADY,
SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0),
SAXIHP0RVALID => S_AXI_HP0_RVALID,
SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0),
SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0),
SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0),
SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0),
SAXIHP0WLAST => S_AXI_HP0_WLAST,
SAXIHP0WREADY => S_AXI_HP0_WREADY,
SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN,
SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0),
SAXIHP0WVALID => S_AXI_HP0_WVALID,
SAXIHP1ACLK => S_AXI_HP1_ACLK,
SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0),
SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0),
SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0),
SAXIHP1ARESETN => S_AXI_HP1_ARESETN,
SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0),
SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0),
SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0),
SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0),
SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0),
SAXIHP1ARREADY => S_AXI_HP1_ARREADY,
SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0),
SAXIHP1ARVALID => S_AXI_HP1_ARVALID,
SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0),
SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0),
SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0),
SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0),
SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0),
SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0),
SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0),
SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0),
SAXIHP1AWREADY => S_AXI_HP1_AWREADY,
SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0),
SAXIHP1AWVALID => S_AXI_HP1_AWVALID,
SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0),
SAXIHP1BREADY => S_AXI_HP1_BREADY,
SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0),
SAXIHP1BVALID => S_AXI_HP1_BVALID,
SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0),
SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0),
SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0),
SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN,
SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0),
SAXIHP1RLAST => S_AXI_HP1_RLAST,
SAXIHP1RREADY => S_AXI_HP1_RREADY,
SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0),
SAXIHP1RVALID => S_AXI_HP1_RVALID,
SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0),
SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0),
SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0),
SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0),
SAXIHP1WLAST => S_AXI_HP1_WLAST,
SAXIHP1WREADY => S_AXI_HP1_WREADY,
SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN,
SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0),
SAXIHP1WVALID => S_AXI_HP1_WVALID,
SAXIHP2ACLK => S_AXI_HP2_ACLK,
SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0),
SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0),
SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0),
SAXIHP2ARESETN => S_AXI_HP2_ARESETN,
SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0),
SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0),
SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0),
SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0),
SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0),
SAXIHP2ARREADY => S_AXI_HP2_ARREADY,
SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0),
SAXIHP2ARVALID => S_AXI_HP2_ARVALID,
SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0),
SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0),
SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0),
SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0),
SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0),
SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0),
SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0),
SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0),
SAXIHP2AWREADY => S_AXI_HP2_AWREADY,
SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0),
SAXIHP2AWVALID => S_AXI_HP2_AWVALID,
SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0),
SAXIHP2BREADY => S_AXI_HP2_BREADY,
SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0),
SAXIHP2BVALID => S_AXI_HP2_BVALID,
SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0),
SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0),
SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0),
SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN,
SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0),
SAXIHP2RLAST => S_AXI_HP2_RLAST,
SAXIHP2RREADY => S_AXI_HP2_RREADY,
SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0),
SAXIHP2RVALID => S_AXI_HP2_RVALID,
SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0),
SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0),
SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0),
SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0),
SAXIHP2WLAST => S_AXI_HP2_WLAST,
SAXIHP2WREADY => S_AXI_HP2_WREADY,
SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN,
SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0),
SAXIHP2WVALID => S_AXI_HP2_WVALID,
SAXIHP3ACLK => S_AXI_HP3_ACLK,
SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0),
SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0),
SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0),
SAXIHP3ARESETN => S_AXI_HP3_ARESETN,
SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0),
SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0),
SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0),
SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0),
SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0),
SAXIHP3ARREADY => S_AXI_HP3_ARREADY,
SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0),
SAXIHP3ARVALID => S_AXI_HP3_ARVALID,
SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0),
SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0),
SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0),
SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0),
SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0),
SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0),
SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0),
SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0),
SAXIHP3AWREADY => S_AXI_HP3_AWREADY,
SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0),
SAXIHP3AWVALID => S_AXI_HP3_AWVALID,
SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0),
SAXIHP3BREADY => S_AXI_HP3_BREADY,
SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0),
SAXIHP3BVALID => S_AXI_HP3_BVALID,
SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0),
SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0),
SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0),
SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN,
SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0),
SAXIHP3RLAST => S_AXI_HP3_RLAST,
SAXIHP3RREADY => S_AXI_HP3_RREADY,
SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0),
SAXIHP3RVALID => S_AXI_HP3_RVALID,
SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0),
SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0),
SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0),
SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0),
SAXIHP3WLAST => S_AXI_HP3_WLAST,
SAXIHP3WREADY => S_AXI_HP3_WREADY,
SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN,
SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0),
SAXIHP3WVALID => S_AXI_HP3_WVALID
);
PS_CLK_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_CLK,
PAD => PS_CLK
);
PS_PORB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_PORB,
PAD => PS_PORB
);
PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF
port map (
IO => buffered_PS_SRSTB,
PAD => PS_SRSTB
);
SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_CMD_T_n,
O => SDIO0_CMD_T
);
\SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(0),
O => SDIO0_DATA_T(0)
);
\SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(1),
O => SDIO0_DATA_T(1)
);
\SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(2),
O => SDIO0_DATA_T(2)
);
\SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO0_DATA_T_n(3),
O => SDIO0_DATA_T(3)
);
SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_CMD_T_n,
O => SDIO1_CMD_T
);
\SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(0),
O => SDIO1_DATA_T(0)
);
\SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(1),
O => SDIO1_DATA_T(1)
);
\SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(2),
O => SDIO1_DATA_T(2)
);
\SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SDIO1_DATA_T_n(3),
O => SDIO1_DATA_T(3)
);
SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MISO_T_n,
O => SPI0_MISO_T
);
SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_MOSI_T_n,
O => SPI0_MOSI_T
);
SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SCLK_T_n,
O => SPI0_SCLK_T
);
SPI0_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI0_SS_T_n,
O => SPI0_SS_T
);
SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MISO_T_n,
O => SPI1_MISO_T
);
SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_MOSI_T_n,
O => SPI1_MOSI_T
);
SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SCLK_T_n,
O => SPI1_SCLK_T
);
SPI1_SS_T_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => SPI1_SS_T_n,
O => SPI1_SS_T
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG
port map (
I => FCLK_CLK_unbuffered(0),
O => FCLK_CLK0
);
\genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(0),
PAD => MIO(0)
);
\genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(10),
PAD => MIO(10)
);
\genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(11),
PAD => MIO(11)
);
\genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(12),
PAD => MIO(12)
);
\genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(13),
PAD => MIO(13)
);
\genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(14),
PAD => MIO(14)
);
\genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(15),
PAD => MIO(15)
);
\genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(16),
PAD => MIO(16)
);
\genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(17),
PAD => MIO(17)
);
\genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(18),
PAD => MIO(18)
);
\genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(19),
PAD => MIO(19)
);
\genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(1),
PAD => MIO(1)
);
\genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(20),
PAD => MIO(20)
);
\genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(21),
PAD => MIO(21)
);
\genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(22),
PAD => MIO(22)
);
\genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(23),
PAD => MIO(23)
);
\genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(24),
PAD => MIO(24)
);
\genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(25),
PAD => MIO(25)
);
\genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(26),
PAD => MIO(26)
);
\genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(27),
PAD => MIO(27)
);
\genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(28),
PAD => MIO(28)
);
\genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(29),
PAD => MIO(29)
);
\genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(2),
PAD => MIO(2)
);
\genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(30),
PAD => MIO(30)
);
\genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(31),
PAD => MIO(31)
);
\genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(32),
PAD => MIO(32)
);
\genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(33),
PAD => MIO(33)
);
\genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(34),
PAD => MIO(34)
);
\genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(35),
PAD => MIO(35)
);
\genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(36),
PAD => MIO(36)
);
\genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(37),
PAD => MIO(37)
);
\genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(38),
PAD => MIO(38)
);
\genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(39),
PAD => MIO(39)
);
\genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(3),
PAD => MIO(3)
);
\genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(40),
PAD => MIO(40)
);
\genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(41),
PAD => MIO(41)
);
\genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(42),
PAD => MIO(42)
);
\genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(43),
PAD => MIO(43)
);
\genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(44),
PAD => MIO(44)
);
\genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(45),
PAD => MIO(45)
);
\genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(46),
PAD => MIO(46)
);
\genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(47),
PAD => MIO(47)
);
\genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(48),
PAD => MIO(48)
);
\genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(49),
PAD => MIO(49)
);
\genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(4),
PAD => MIO(4)
);
\genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(50),
PAD => MIO(50)
);
\genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(51),
PAD => MIO(51)
);
\genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(52),
PAD => MIO(52)
);
\genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(53),
PAD => MIO(53)
);
\genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(5),
PAD => MIO(5)
);
\genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(6),
PAD => MIO(6)
);
\genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(7),
PAD => MIO(7)
);
\genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(8),
PAD => MIO(8)
);
\genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_MIO(9),
PAD => MIO(9)
);
\genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(0),
PAD => DDR_BankAddr(0)
);
\genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(1),
PAD => DDR_BankAddr(1)
);
\genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_BankAddr(2),
PAD => DDR_BankAddr(2)
);
\genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(0),
PAD => DDR_Addr(0)
);
\genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(10),
PAD => DDR_Addr(10)
);
\genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(11),
PAD => DDR_Addr(11)
);
\genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(12),
PAD => DDR_Addr(12)
);
\genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(13),
PAD => DDR_Addr(13)
);
\genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(14),
PAD => DDR_Addr(14)
);
\genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(1),
PAD => DDR_Addr(1)
);
\genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(2),
PAD => DDR_Addr(2)
);
\genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(3),
PAD => DDR_Addr(3)
);
\genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(4),
PAD => DDR_Addr(4)
);
\genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(5),
PAD => DDR_Addr(5)
);
\genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(6),
PAD => DDR_Addr(6)
);
\genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(7),
PAD => DDR_Addr(7)
);
\genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(8),
PAD => DDR_Addr(8)
);
\genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_Addr(9),
PAD => DDR_Addr(9)
);
\genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(0),
PAD => DDR_DM(0)
);
\genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(1),
PAD => DDR_DM(1)
);
\genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(2),
PAD => DDR_DM(2)
);
\genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DM(3),
PAD => DDR_DM(3)
);
\genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(0),
PAD => DDR_DQ(0)
);
\genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(10),
PAD => DDR_DQ(10)
);
\genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(11),
PAD => DDR_DQ(11)
);
\genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(12),
PAD => DDR_DQ(12)
);
\genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(13),
PAD => DDR_DQ(13)
);
\genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(14),
PAD => DDR_DQ(14)
);
\genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(15),
PAD => DDR_DQ(15)
);
\genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(16),
PAD => DDR_DQ(16)
);
\genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(17),
PAD => DDR_DQ(17)
);
\genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(18),
PAD => DDR_DQ(18)
);
\genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(19),
PAD => DDR_DQ(19)
);
\genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(1),
PAD => DDR_DQ(1)
);
\genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(20),
PAD => DDR_DQ(20)
);
\genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(21),
PAD => DDR_DQ(21)
);
\genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(22),
PAD => DDR_DQ(22)
);
\genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(23),
PAD => DDR_DQ(23)
);
\genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(24),
PAD => DDR_DQ(24)
);
\genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(25),
PAD => DDR_DQ(25)
);
\genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(26),
PAD => DDR_DQ(26)
);
\genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(27),
PAD => DDR_DQ(27)
);
\genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(28),
PAD => DDR_DQ(28)
);
\genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(29),
PAD => DDR_DQ(29)
);
\genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(2),
PAD => DDR_DQ(2)
);
\genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(30),
PAD => DDR_DQ(30)
);
\genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(31),
PAD => DDR_DQ(31)
);
\genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(3),
PAD => DDR_DQ(3)
);
\genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(4),
PAD => DDR_DQ(4)
);
\genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(5),
PAD => DDR_DQ(5)
);
\genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(6),
PAD => DDR_DQ(6)
);
\genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(7),
PAD => DDR_DQ(7)
);
\genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(8),
PAD => DDR_DQ(8)
);
\genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQ(9),
PAD => DDR_DQ(9)
);
\genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(0),
PAD => DDR_DQS_n(0)
);
\genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(1),
PAD => DDR_DQS_n(1)
);
\genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(2),
PAD => DDR_DQS_n(2)
);
\genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS_n(3),
PAD => DDR_DQS_n(3)
);
\genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(0),
PAD => DDR_DQS(0)
);
\genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(1),
PAD => DDR_DQS(1)
);
\genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(2),
PAD => DDR_DQS(2)
);
\genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF
port map (
IO => buffered_DDR_DQS(3),
PAD => DDR_DQS(3)
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[0]\
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(1)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(1)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[7]\(0)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(1)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[6]\(0)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(1)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[5]\(0)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(1)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[4]\(0)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(1)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[3]\(0)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[0]\(0)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(1)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[2]\(0)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(1)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_DATA_PIPE[1]\(0)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[7]\
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[6]\
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[5]\
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[4]\
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[3]\
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[2]\
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => '0',
O => \TRACE_CTL_PIPE[1]\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity gcd_zynq_snick_processing_system7_0_0 is
port (
GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 );
GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 );
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 0 to 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_CLK2 : out STD_LOGIC;
FCLK_CLK3 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
FCLK_RESET1_N : out STD_LOGIC;
FCLK_RESET2_N : out STD_LOGIC;
FCLK_RESET3_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of gcd_zynq_snick_processing_system7_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of gcd_zynq_snick_processing_system7_0_0 : entity is "gcd_zynq_snick_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of gcd_zynq_snick_processing_system7_0_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of gcd_zynq_snick_processing_system7_0_0 : entity is "processing_system7_v5_5_processing_system7,Vivado 2018.2";
end gcd_zynq_snick_processing_system7_0_0;
architecture STRUCTURE of gcd_zynq_snick_processing_system7_0_0 is
signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC;
signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC;
signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC;
signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC;
signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_DM_WIDTH : integer;
attribute C_DM_WIDTH of inst : label is 4;
attribute C_DQS_WIDTH : integer;
attribute C_DQS_WIDTH of inst : label is 4;
attribute C_DQ_WIDTH : integer;
attribute C_DQ_WIDTH of inst : label is 32;
attribute C_EMIO_GPIO_WIDTH : integer;
attribute C_EMIO_GPIO_WIDTH of inst : label is 64;
attribute C_EN_EMIO_ENET0 : integer;
attribute C_EN_EMIO_ENET0 of inst : label is 0;
attribute C_EN_EMIO_ENET1 : integer;
attribute C_EN_EMIO_ENET1 of inst : label is 0;
attribute C_EN_EMIO_PJTAG : integer;
attribute C_EN_EMIO_PJTAG of inst : label is 0;
attribute C_EN_EMIO_TRACE : integer;
attribute C_EN_EMIO_TRACE of inst : label is 0;
attribute C_FCLK_CLK0_BUF : string;
attribute C_FCLK_CLK0_BUF of inst : label is "TRUE";
attribute C_FCLK_CLK1_BUF : string;
attribute C_FCLK_CLK1_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK2_BUF : string;
attribute C_FCLK_CLK2_BUF of inst : label is "FALSE";
attribute C_FCLK_CLK3_BUF : string;
attribute C_FCLK_CLK3_BUF of inst : label is "FALSE";
attribute C_GP0_EN_MODIFIABLE_TXN : integer;
attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_GP1_EN_MODIFIABLE_TXN : integer;
attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 1;
attribute C_INCLUDE_ACP_TRANS_CHECK : integer;
attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0;
attribute C_INCLUDE_TRACE_BUFFER : integer;
attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0;
attribute C_IRQ_F2P_MODE : string;
attribute C_IRQ_F2P_MODE of inst : label is "DIRECT";
attribute C_MIO_PRIMITIVE : integer;
attribute C_MIO_PRIMITIVE of inst : label is 54;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP0_ID_WIDTH : integer;
attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer;
attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0;
attribute C_M_AXI_GP1_ID_WIDTH : integer;
attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer;
attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12;
attribute C_NUM_F2P_INTR_INPUTS : integer;
attribute C_NUM_F2P_INTR_INPUTS of inst : label is 1;
attribute C_PACKAGE_NAME : string;
attribute C_PACKAGE_NAME of inst : label is "clg400";
attribute C_PS7_SI_REV : string;
attribute C_PS7_SI_REV of inst : label is "PRODUCTION";
attribute C_S_AXI_ACP_ARUSER_VAL : integer;
attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_AWUSER_VAL : integer;
attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31;
attribute C_S_AXI_ACP_ID_WIDTH : integer;
attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3;
attribute C_S_AXI_GP0_ID_WIDTH : integer;
attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_GP1_ID_WIDTH : integer;
attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP0_DATA_WIDTH : integer;
attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP0_ID_WIDTH : integer;
attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP1_DATA_WIDTH : integer;
attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP1_ID_WIDTH : integer;
attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP2_DATA_WIDTH : integer;
attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP2_ID_WIDTH : integer;
attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6;
attribute C_S_AXI_HP3_DATA_WIDTH : integer;
attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64;
attribute C_S_AXI_HP3_ID_WIDTH : integer;
attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6;
attribute C_TRACE_BUFFER_CLOCK_DELAY : integer;
attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12;
attribute C_TRACE_BUFFER_FIFO_SIZE : integer;
attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128;
attribute C_TRACE_INTERNAL_WIDTH : integer;
attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2;
attribute C_TRACE_PIPELINE_WIDTH : integer;
attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8;
attribute C_USE_AXI_NONSECURE : integer;
attribute C_USE_AXI_NONSECURE of inst : label is 0;
attribute C_USE_DEFAULT_ACP_USER_VAL : integer;
attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0;
attribute C_USE_M_AXI_GP0 : integer;
attribute C_USE_M_AXI_GP0 of inst : label is 1;
attribute C_USE_M_AXI_GP1 : integer;
attribute C_USE_M_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_ACP : integer;
attribute C_USE_S_AXI_ACP of inst : label is 0;
attribute C_USE_S_AXI_GP0 : integer;
attribute C_USE_S_AXI_GP0 of inst : label is 0;
attribute C_USE_S_AXI_GP1 : integer;
attribute C_USE_S_AXI_GP1 of inst : label is 0;
attribute C_USE_S_AXI_HP0 : integer;
attribute C_USE_S_AXI_HP0 of inst : label is 0;
attribute C_USE_S_AXI_HP1 : integer;
attribute C_USE_S_AXI_HP1 of inst : label is 0;
attribute C_USE_S_AXI_HP2 : integer;
attribute C_USE_S_AXI_HP2 of inst : label is 0;
attribute C_USE_S_AXI_HP3 : integer;
attribute C_USE_S_AXI_HP3 of inst : label is 0;
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of inst : label is "gcd_zynq_snick_processing_system7_0_0.hwdef";
attribute POWER : string;
attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={867} load={0.5} /><MEMORY name={code} memType={LPDDR2} dataWidth={32} clockFreq={400} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={26} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS18} bidis={3} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={SPI} ioStandard={LVCMOS18} bidis={5} ioBank={Vcco_p1} clockFreq={166.666489} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={49.999947} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p0} clockFreq={99.999893} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={6} ioBank={Vcco_p1} clockFreq={99.999893} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS18} bidis={7} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1733.332} /><PLL domain={Memory} vco={1599.998} /><PLL domain={IO} vco={1999.998} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={49} usageRate={0.5} />/>";
attribute USE_TRACE_DATA_EDGE_DETECTOR : integer;
attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0;
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of DDR_CAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CAS_N";
attribute X_INTERFACE_INFO of DDR_CKE : signal is "xilinx.com:interface:ddrx:1.0 DDR CKE";
attribute X_INTERFACE_INFO of DDR_CS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CS_N";
attribute X_INTERFACE_INFO of DDR_Clk : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_P";
attribute X_INTERFACE_INFO of DDR_Clk_n : signal is "xilinx.com:interface:ddrx:1.0 DDR CK_N";
attribute X_INTERFACE_INFO of DDR_DRSTB : signal is "xilinx.com:interface:ddrx:1.0 DDR RESET_N";
attribute X_INTERFACE_INFO of DDR_ODT : signal is "xilinx.com:interface:ddrx:1.0 DDR ODT";
attribute X_INTERFACE_INFO of DDR_RAS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR RAS_N";
attribute X_INTERFACE_INFO of DDR_VRN : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRN";
attribute X_INTERFACE_INFO of DDR_VRP : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO DDR_VRP";
attribute X_INTERFACE_INFO of DDR_WEB : signal is "xilinx.com:interface:ddrx:1.0 DDR WE_N";
attribute X_INTERFACE_INFO of FCLK_CLK0 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK0 CLK";
attribute X_INTERFACE_PARAMETER : string;
attribute X_INTERFACE_PARAMETER of FCLK_CLK0 : signal is "XIL_INTERFACENAME FCLK_CLK0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of FCLK_CLK1 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK1 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK1 : signal is "XIL_INTERFACENAME FCLK_CLK1, FREQ_HZ 99999893, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK1";
attribute X_INTERFACE_INFO of FCLK_CLK2 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK2 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK2 : signal is "XIL_INTERFACENAME FCLK_CLK2, FREQ_HZ 153845993, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK2";
attribute X_INTERFACE_INFO of FCLK_CLK3 : signal is "xilinx.com:signal:clock:1.0 FCLK_CLK3 CLK";
attribute X_INTERFACE_PARAMETER of FCLK_CLK3 : signal is "XIL_INTERFACENAME FCLK_CLK3, FREQ_HZ 199999786, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK3";
attribute X_INTERFACE_INFO of FCLK_RESET0_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET0_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET0_N : signal is "XIL_INTERFACENAME FCLK_RESET0_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET1_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET1_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET1_N : signal is "XIL_INTERFACENAME FCLK_RESET1_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET2_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET2_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET2_N : signal is "XIL_INTERFACENAME FCLK_RESET2_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of FCLK_RESET3_N : signal is "xilinx.com:signal:reset:1.0 FCLK_RESET3_N RST";
attribute X_INTERFACE_PARAMETER of FCLK_RESET3_N : signal is "XIL_INTERFACENAME FCLK_RESET3_N, POLARITY ACTIVE_LOW";
attribute X_INTERFACE_INFO of M_AXI_GP0_ACLK : signal is "xilinx.com:signal:clock:1.0 M_AXI_GP0_ACLK CLK";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_ACLK : signal is "XIL_INTERFACENAME M_AXI_GP0_ACLK, ASSOCIATED_BUSIF M_AXI_GP0, FREQ_HZ 49999947, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_BVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_RREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_RVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RVALID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WLAST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WLAST";
attribute X_INTERFACE_INFO of M_AXI_GP0_WREADY : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WREADY";
attribute X_INTERFACE_INFO of M_AXI_GP0_WVALID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WVALID";
attribute X_INTERFACE_INFO of PS_CLK : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_CLK";
attribute X_INTERFACE_INFO of PS_PORB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_PORB";
attribute X_INTERFACE_PARAMETER of PS_PORB : signal is "XIL_INTERFACENAME FIXED_IO, CAN_DEBUG false";
attribute X_INTERFACE_INFO of PS_SRSTB : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO PS_SRSTB";
attribute X_INTERFACE_INFO of DDR_Addr : signal is "xilinx.com:interface:ddrx:1.0 DDR ADDR";
attribute X_INTERFACE_INFO of DDR_BankAddr : signal is "xilinx.com:interface:ddrx:1.0 DDR BA";
attribute X_INTERFACE_INFO of DDR_DM : signal is "xilinx.com:interface:ddrx:1.0 DDR DM";
attribute X_INTERFACE_INFO of DDR_DQ : signal is "xilinx.com:interface:ddrx:1.0 DDR DQ";
attribute X_INTERFACE_INFO of DDR_DQS : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_P";
attribute X_INTERFACE_PARAMETER of DDR_DQS : signal is "XIL_INTERFACENAME DDR, CAN_DEBUG false, TIMEPERIOD_PS 1250, MEMORY_TYPE COMPONENTS, DATA_WIDTH 8, CS_ENABLED true, DATA_MASK_ENABLED true, SLOT Single, MEM_ADDR_MAP ROW_COLUMN_BANK, BURST_LENGTH 8, AXI_ARBITRATION_SCHEME TDM, CAS_LATENCY 11, CAS_WRITE_LATENCY 11";
attribute X_INTERFACE_INFO of DDR_DQS_n : signal is "xilinx.com:interface:ddrx:1.0 DDR DQS_N";
attribute X_INTERFACE_INFO of GPIO_I : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_I";
attribute X_INTERFACE_INFO of GPIO_O : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_O";
attribute X_INTERFACE_INFO of GPIO_T : signal is "xilinx.com:interface:gpio:1.0 GPIO_0 TRI_T";
attribute X_INTERFACE_INFO of IRQ_F2P : signal is "xilinx.com:signal:interrupt:1.0 IRQ_F2P INTERRUPT";
attribute X_INTERFACE_PARAMETER of IRQ_F2P : signal is "XIL_INTERFACENAME IRQ_F2P, SENSITIVITY LEVEL_HIGH, PortWidth 1";
attribute X_INTERFACE_INFO of MIO : signal is "xilinx.com:display_processing_system7:fixedio:1.0 FIXED_IO MIO";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARID";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_ARSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 ARSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWADDR : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWADDR";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWBURST : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWBURST";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWCACHE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWCACHE";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWID";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLEN : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLEN";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWLOCK : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWLOCK";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWPROT : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWPROT";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWQOS : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWQOS";
attribute X_INTERFACE_INFO of M_AXI_GP0_AWSIZE : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 AWSIZE";
attribute X_INTERFACE_INFO of M_AXI_GP0_BID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BID";
attribute X_INTERFACE_INFO of M_AXI_GP0_BRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 BRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_RDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RDATA";
attribute X_INTERFACE_PARAMETER of M_AXI_GP0_RDATA : signal is "XIL_INTERFACENAME M_AXI_GP0, SUPPORTS_NARROW_BURST 0, NUM_WRITE_OUTSTANDING 8, NUM_READ_OUTSTANDING 8, DATA_WIDTH 32, PROTOCOL AXI3, FREQ_HZ 49999947, ID_WIDTH 12, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 16, PHASE 0.000, CLK_DOMAIN gcd_zynq_snick_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 4, NUM_WRITE_THREADS 4, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
attribute X_INTERFACE_INFO of M_AXI_GP0_RID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RID";
attribute X_INTERFACE_INFO of M_AXI_GP0_RRESP : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 RRESP";
attribute X_INTERFACE_INFO of M_AXI_GP0_WDATA : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WDATA";
attribute X_INTERFACE_INFO of M_AXI_GP0_WID : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WID";
attribute X_INTERFACE_INFO of M_AXI_GP0_WSTRB : signal is "xilinx.com:interface:aximm:1.0 M_AXI_GP0 WSTRB";
begin
inst: entity work.gcd_zynq_snick_processing_system7_0_0_processing_system7_v5_5_processing_system7
port map (
CAN0_PHY_RX => '0',
CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED,
CAN1_PHY_RX => '0',
CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED,
Core0_nFIQ => '0',
Core0_nIRQ => '0',
Core1_nFIQ => '0',
Core1_nIRQ => '0',
DDR_ARB(3 downto 0) => B"0000",
DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0),
DDR_CAS_n => DDR_CAS_n,
DDR_CKE => DDR_CKE,
DDR_CS_n => DDR_CS_n,
DDR_Clk => DDR_Clk,
DDR_Clk_n => DDR_Clk_n,
DDR_DM(3 downto 0) => DDR_DM(3 downto 0),
DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0),
DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0),
DDR_DRSTB => DDR_DRSTB,
DDR_ODT => DDR_ODT,
DDR_RAS_n => DDR_RAS_n,
DDR_VRN => DDR_VRN,
DDR_VRP => DDR_VRP,
DDR_WEB => DDR_WEB,
DMA0_ACLK => '0',
DMA0_DAREADY => '0',
DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0),
DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED,
DMA0_DRLAST => '0',
DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED,
DMA0_DRTYPE(1 downto 0) => B"00",
DMA0_DRVALID => '0',
DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED,
DMA1_ACLK => '0',
DMA1_DAREADY => '0',
DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0),
DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED,
DMA1_DRLAST => '0',
DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED,
DMA1_DRTYPE(1 downto 0) => B"00",
DMA1_DRVALID => '0',
DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED,
DMA2_ACLK => '0',
DMA2_DAREADY => '0',
DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0),
DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED,
DMA2_DRLAST => '0',
DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED,
DMA2_DRTYPE(1 downto 0) => B"00",
DMA2_DRVALID => '0',
DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED,
DMA3_ACLK => '0',
DMA3_DAREADY => '0',
DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0),
DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED,
DMA3_DRLAST => '0',
DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED,
DMA3_DRTYPE(1 downto 0) => B"00",
DMA3_DRVALID => '0',
DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED,
ENET0_EXT_INTIN => '0',
ENET0_GMII_COL => '0',
ENET0_GMII_CRS => '0',
ENET0_GMII_RXD(7 downto 0) => B"00000000",
ENET0_GMII_RX_CLK => '0',
ENET0_GMII_RX_DV => '0',
ENET0_GMII_RX_ER => '0',
ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0),
ENET0_GMII_TX_CLK => '0',
ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED,
ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED,
ENET0_MDIO_I => '0',
ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED,
ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED,
ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED,
ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED,
ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED,
ENET1_EXT_INTIN => '0',
ENET1_GMII_COL => '0',
ENET1_GMII_CRS => '0',
ENET1_GMII_RXD(7 downto 0) => B"00000000",
ENET1_GMII_RX_CLK => '0',
ENET1_GMII_RX_DV => '0',
ENET1_GMII_RX_ER => '0',
ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0),
ENET1_GMII_TX_CLK => '0',
ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED,
ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED,
ENET1_MDIO_I => '0',
ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED,
ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED,
ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED,
ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED,
ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED,
ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED,
ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED,
ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED,
ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED,
EVENT_EVENTI => '0',
EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED,
EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0),
EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0),
FCLK_CLK0 => FCLK_CLK0,
FCLK_CLK1 => FCLK_CLK1,
FCLK_CLK2 => FCLK_CLK2,
FCLK_CLK3 => FCLK_CLK3,
FCLK_CLKTRIG0_N => '0',
FCLK_CLKTRIG1_N => '0',
FCLK_CLKTRIG2_N => '0',
FCLK_CLKTRIG3_N => '0',
FCLK_RESET0_N => FCLK_RESET0_N,
FCLK_RESET1_N => FCLK_RESET1_N,
FCLK_RESET2_N => FCLK_RESET2_N,
FCLK_RESET3_N => FCLK_RESET3_N,
FPGA_IDLE_N => '0',
FTMD_TRACEIN_ATID(3 downto 0) => B"0000",
FTMD_TRACEIN_CLK => '0',
FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000",
FTMD_TRACEIN_VALID => '0',
FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000",
FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED,
FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED,
FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED,
FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED,
FTMT_F2P_TRIG_0 => '0',
FTMT_F2P_TRIG_1 => '0',
FTMT_F2P_TRIG_2 => '0',
FTMT_F2P_TRIG_3 => '0',
FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0),
FTMT_P2F_TRIGACK_0 => '0',
FTMT_P2F_TRIGACK_1 => '0',
FTMT_P2F_TRIGACK_2 => '0',
FTMT_P2F_TRIGACK_3 => '0',
FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED,
FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED,
FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED,
FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED,
GPIO_I(63 downto 0) => GPIO_I(63 downto 0),
GPIO_O(63 downto 0) => GPIO_O(63 downto 0),
GPIO_T(63 downto 0) => GPIO_T(63 downto 0),
I2C0_SCL_I => '0',
I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED,
I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED,
I2C0_SDA_I => '0',
I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED,
I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED,
I2C1_SCL_I => '0',
I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED,
I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED,
I2C1_SDA_I => '0',
I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED,
I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED,
IRQ_F2P(0) => IRQ_F2P(0),
IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED,
IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED,
IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED,
IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED,
IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED,
IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED,
IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED,
IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED,
IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED,
IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED,
IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED,
IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED,
IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED,
IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED,
IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED,
IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED,
IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED,
IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED,
IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED,
IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED,
IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED,
IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED,
IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED,
IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED,
IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED,
IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED,
IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED,
IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED,
IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED,
MIO(53 downto 0) => MIO(53 downto 0),
M_AXI_GP0_ACLK => M_AXI_GP0_ACLK,
M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED,
M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => M_AXI_GP0_WVALID,
M_AXI_GP1_ACLK => '0',
M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED,
M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0),
M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_ARREADY => '0',
M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED,
M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0),
M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0),
M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0),
M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0),
M_AXI_GP1_AWREADY => '0',
M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0),
M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED,
M_AXI_GP1_BID(11 downto 0) => B"000000000000",
M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED,
M_AXI_GP1_BRESP(1 downto 0) => B"00",
M_AXI_GP1_BVALID => '0',
M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000",
M_AXI_GP1_RID(11 downto 0) => B"000000000000",
M_AXI_GP1_RLAST => '0',
M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED,
M_AXI_GP1_RRESP(1 downto 0) => B"00",
M_AXI_GP1_RVALID => '0',
M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0),
M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0),
M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED,
M_AXI_GP1_WREADY => '0',
M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0),
M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED,
PJTAG_TCK => '0',
PJTAG_TDI => '0',
PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED,
PJTAG_TMS => '0',
PS_CLK => PS_CLK,
PS_PORB => PS_PORB,
PS_SRSTB => PS_SRSTB,
SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED,
SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO0_CDN => '0',
SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED,
SDIO0_CLK_FB => '0',
SDIO0_CMD_I => '0',
SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED,
SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED,
SDIO0_DATA_I(3 downto 0) => B"0000",
SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0),
SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0),
SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED,
SDIO0_WP => '0',
SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED,
SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0),
SDIO1_CDN => '0',
SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED,
SDIO1_CLK_FB => '0',
SDIO1_CMD_I => '0',
SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED,
SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED,
SDIO1_DATA_I(3 downto 0) => B"0000",
SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0),
SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0),
SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED,
SDIO1_WP => '0',
SPI0_MISO_I => '0',
SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED,
SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED,
SPI0_MOSI_I => '0',
SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED,
SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED,
SPI0_SCLK_I => '0',
SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED,
SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED,
SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED,
SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED,
SPI0_SS_I => '0',
SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED,
SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED,
SPI1_MISO_I => '0',
SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED,
SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED,
SPI1_MOSI_I => '0',
SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED,
SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED,
SPI1_SCLK_I => '0',
SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED,
SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED,
SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED,
SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED,
SPI1_SS_I => '0',
SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED,
SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED,
SRAM_INTIN => '0',
S_AXI_ACP_ACLK => '0',
S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_ARBURST(1 downto 0) => B"00",
S_AXI_ACP_ARCACHE(3 downto 0) => B"0000",
S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED,
S_AXI_ACP_ARID(2 downto 0) => B"000",
S_AXI_ACP_ARLEN(3 downto 0) => B"0000",
S_AXI_ACP_ARLOCK(1 downto 0) => B"00",
S_AXI_ACP_ARPROT(2 downto 0) => B"000",
S_AXI_ACP_ARQOS(3 downto 0) => B"0000",
S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED,
S_AXI_ACP_ARSIZE(2 downto 0) => B"000",
S_AXI_ACP_ARUSER(4 downto 0) => B"00000",
S_AXI_ACP_ARVALID => '0',
S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_ACP_AWBURST(1 downto 0) => B"00",
S_AXI_ACP_AWCACHE(3 downto 0) => B"0000",
S_AXI_ACP_AWID(2 downto 0) => B"000",
S_AXI_ACP_AWLEN(3 downto 0) => B"0000",
S_AXI_ACP_AWLOCK(1 downto 0) => B"00",
S_AXI_ACP_AWPROT(2 downto 0) => B"000",
S_AXI_ACP_AWQOS(3 downto 0) => B"0000",
S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED,
S_AXI_ACP_AWSIZE(2 downto 0) => B"000",
S_AXI_ACP_AWUSER(4 downto 0) => B"00000",
S_AXI_ACP_AWVALID => '0',
S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0),
S_AXI_ACP_BREADY => '0',
S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED,
S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0),
S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0),
S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED,
S_AXI_ACP_RREADY => '0',
S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0),
S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED,
S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_ACP_WID(2 downto 0) => B"000",
S_AXI_ACP_WLAST => '0',
S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED,
S_AXI_ACP_WSTRB(7 downto 0) => B"00000000",
S_AXI_ACP_WVALID => '0',
S_AXI_GP0_ACLK => '0',
S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_ARBURST(1 downto 0) => B"00",
S_AXI_GP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED,
S_AXI_GP0_ARID(5 downto 0) => B"000000",
S_AXI_GP0_ARLEN(3 downto 0) => B"0000",
S_AXI_GP0_ARLOCK(1 downto 0) => B"00",
S_AXI_GP0_ARPROT(2 downto 0) => B"000",
S_AXI_GP0_ARQOS(3 downto 0) => B"0000",
S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED,
S_AXI_GP0_ARSIZE(2 downto 0) => B"000",
S_AXI_GP0_ARVALID => '0',
S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_AWBURST(1 downto 0) => B"00",
S_AXI_GP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP0_AWID(5 downto 0) => B"000000",
S_AXI_GP0_AWLEN(3 downto 0) => B"0000",
S_AXI_GP0_AWLOCK(1 downto 0) => B"00",
S_AXI_GP0_AWPROT(2 downto 0) => B"000",
S_AXI_GP0_AWQOS(3 downto 0) => B"0000",
S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED,
S_AXI_GP0_AWSIZE(2 downto 0) => B"000",
S_AXI_GP0_AWVALID => '0',
S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0),
S_AXI_GP0_BREADY => '0',
S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED,
S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0),
S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED,
S_AXI_GP0_RREADY => '0',
S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED,
S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP0_WID(5 downto 0) => B"000000",
S_AXI_GP0_WLAST => '0',
S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED,
S_AXI_GP0_WSTRB(3 downto 0) => B"0000",
S_AXI_GP0_WVALID => '0',
S_AXI_GP1_ACLK => '0',
S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_ARBURST(1 downto 0) => B"00",
S_AXI_GP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED,
S_AXI_GP1_ARID(5 downto 0) => B"000000",
S_AXI_GP1_ARLEN(3 downto 0) => B"0000",
S_AXI_GP1_ARLOCK(1 downto 0) => B"00",
S_AXI_GP1_ARPROT(2 downto 0) => B"000",
S_AXI_GP1_ARQOS(3 downto 0) => B"0000",
S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED,
S_AXI_GP1_ARSIZE(2 downto 0) => B"000",
S_AXI_GP1_ARVALID => '0',
S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_AWBURST(1 downto 0) => B"00",
S_AXI_GP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_GP1_AWID(5 downto 0) => B"000000",
S_AXI_GP1_AWLEN(3 downto 0) => B"0000",
S_AXI_GP1_AWLOCK(1 downto 0) => B"00",
S_AXI_GP1_AWPROT(2 downto 0) => B"000",
S_AXI_GP1_AWQOS(3 downto 0) => B"0000",
S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED,
S_AXI_GP1_AWSIZE(2 downto 0) => B"000",
S_AXI_GP1_AWVALID => '0',
S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0),
S_AXI_GP1_BREADY => '0',
S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED,
S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0),
S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0),
S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED,
S_AXI_GP1_RREADY => '0',
S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED,
S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_GP1_WID(5 downto 0) => B"000000",
S_AXI_GP1_WLAST => '0',
S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED,
S_AXI_GP1_WSTRB(3 downto 0) => B"0000",
S_AXI_GP1_WVALID => '0',
S_AXI_HP0_ACLK => '0',
S_AXI_HP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_ARBURST(1 downto 0) => B"00",
S_AXI_HP0_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED,
S_AXI_HP0_ARID(5 downto 0) => B"000000",
S_AXI_HP0_ARLEN(3 downto 0) => B"0000",
S_AXI_HP0_ARLOCK(1 downto 0) => B"00",
S_AXI_HP0_ARPROT(2 downto 0) => B"000",
S_AXI_HP0_ARQOS(3 downto 0) => B"0000",
S_AXI_HP0_ARREADY => NLW_inst_S_AXI_HP0_ARREADY_UNCONNECTED,
S_AXI_HP0_ARSIZE(2 downto 0) => B"000",
S_AXI_HP0_ARVALID => '0',
S_AXI_HP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP0_AWBURST(1 downto 0) => B"00",
S_AXI_HP0_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP0_AWID(5 downto 0) => B"000000",
S_AXI_HP0_AWLEN(3 downto 0) => B"0000",
S_AXI_HP0_AWLOCK(1 downto 0) => B"00",
S_AXI_HP0_AWPROT(2 downto 0) => B"000",
S_AXI_HP0_AWQOS(3 downto 0) => B"0000",
S_AXI_HP0_AWREADY => NLW_inst_S_AXI_HP0_AWREADY_UNCONNECTED,
S_AXI_HP0_AWSIZE(2 downto 0) => B"000",
S_AXI_HP0_AWVALID => '0',
S_AXI_HP0_BID(5 downto 0) => NLW_inst_S_AXI_HP0_BID_UNCONNECTED(5 downto 0),
S_AXI_HP0_BREADY => '0',
S_AXI_HP0_BRESP(1 downto 0) => NLW_inst_S_AXI_HP0_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_BVALID => NLW_inst_S_AXI_HP0_BVALID_UNCONNECTED,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(63 downto 0) => NLW_inst_S_AXI_HP0_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => NLW_inst_S_AXI_HP0_RID_UNCONNECTED(5 downto 0),
S_AXI_HP0_RLAST => NLW_inst_S_AXI_HP0_RLAST_UNCONNECTED,
S_AXI_HP0_RREADY => '0',
S_AXI_HP0_RRESP(1 downto 0) => NLW_inst_S_AXI_HP0_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP0_RVALID => NLW_inst_S_AXI_HP0_RVALID_UNCONNECTED,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP0_WID(5 downto 0) => B"000000",
S_AXI_HP0_WLAST => '0',
S_AXI_HP0_WREADY => NLW_inst_S_AXI_HP0_WREADY_UNCONNECTED,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP0_WVALID => '0',
S_AXI_HP1_ACLK => '0',
S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_ARBURST(1 downto 0) => B"00",
S_AXI_HP1_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED,
S_AXI_HP1_ARID(5 downto 0) => B"000000",
S_AXI_HP1_ARLEN(3 downto 0) => B"0000",
S_AXI_HP1_ARLOCK(1 downto 0) => B"00",
S_AXI_HP1_ARPROT(2 downto 0) => B"000",
S_AXI_HP1_ARQOS(3 downto 0) => B"0000",
S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED,
S_AXI_HP1_ARSIZE(2 downto 0) => B"000",
S_AXI_HP1_ARVALID => '0',
S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP1_AWBURST(1 downto 0) => B"00",
S_AXI_HP1_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP1_AWID(5 downto 0) => B"000000",
S_AXI_HP1_AWLEN(3 downto 0) => B"0000",
S_AXI_HP1_AWLOCK(1 downto 0) => B"00",
S_AXI_HP1_AWPROT(2 downto 0) => B"000",
S_AXI_HP1_AWQOS(3 downto 0) => B"0000",
S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED,
S_AXI_HP1_AWSIZE(2 downto 0) => B"000",
S_AXI_HP1_AWVALID => '0',
S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0),
S_AXI_HP1_BREADY => '0',
S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED,
S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP1_RDISSUECAP1_EN => '0',
S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0),
S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED,
S_AXI_HP1_RREADY => '0',
S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED,
S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP1_WID(5 downto 0) => B"000000",
S_AXI_HP1_WLAST => '0',
S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED,
S_AXI_HP1_WRISSUECAP1_EN => '0',
S_AXI_HP1_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP1_WVALID => '0',
S_AXI_HP2_ACLK => '0',
S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_ARBURST(1 downto 0) => B"00",
S_AXI_HP2_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED,
S_AXI_HP2_ARID(5 downto 0) => B"000000",
S_AXI_HP2_ARLEN(3 downto 0) => B"0000",
S_AXI_HP2_ARLOCK(1 downto 0) => B"00",
S_AXI_HP2_ARPROT(2 downto 0) => B"000",
S_AXI_HP2_ARQOS(3 downto 0) => B"0000",
S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED,
S_AXI_HP2_ARSIZE(2 downto 0) => B"000",
S_AXI_HP2_ARVALID => '0',
S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP2_AWBURST(1 downto 0) => B"00",
S_AXI_HP2_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP2_AWID(5 downto 0) => B"000000",
S_AXI_HP2_AWLEN(3 downto 0) => B"0000",
S_AXI_HP2_AWLOCK(1 downto 0) => B"00",
S_AXI_HP2_AWPROT(2 downto 0) => B"000",
S_AXI_HP2_AWQOS(3 downto 0) => B"0000",
S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED,
S_AXI_HP2_AWSIZE(2 downto 0) => B"000",
S_AXI_HP2_AWVALID => '0',
S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0),
S_AXI_HP2_BREADY => '0',
S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED,
S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP2_RDISSUECAP1_EN => '0',
S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0),
S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED,
S_AXI_HP2_RREADY => '0',
S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED,
S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP2_WID(5 downto 0) => B"000000",
S_AXI_HP2_WLAST => '0',
S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED,
S_AXI_HP2_WRISSUECAP1_EN => '0',
S_AXI_HP2_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP2_WVALID => '0',
S_AXI_HP3_ACLK => '0',
S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_ARBURST(1 downto 0) => B"00",
S_AXI_HP3_ARCACHE(3 downto 0) => B"0000",
S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED,
S_AXI_HP3_ARID(5 downto 0) => B"000000",
S_AXI_HP3_ARLEN(3 downto 0) => B"0000",
S_AXI_HP3_ARLOCK(1 downto 0) => B"00",
S_AXI_HP3_ARPROT(2 downto 0) => B"000",
S_AXI_HP3_ARQOS(3 downto 0) => B"0000",
S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED,
S_AXI_HP3_ARSIZE(2 downto 0) => B"000",
S_AXI_HP3_ARVALID => '0',
S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000",
S_AXI_HP3_AWBURST(1 downto 0) => B"00",
S_AXI_HP3_AWCACHE(3 downto 0) => B"0000",
S_AXI_HP3_AWID(5 downto 0) => B"000000",
S_AXI_HP3_AWLEN(3 downto 0) => B"0000",
S_AXI_HP3_AWLOCK(1 downto 0) => B"00",
S_AXI_HP3_AWPROT(2 downto 0) => B"000",
S_AXI_HP3_AWQOS(3 downto 0) => B"0000",
S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED,
S_AXI_HP3_AWSIZE(2 downto 0) => B"000",
S_AXI_HP3_AWVALID => '0',
S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0),
S_AXI_HP3_BREADY => '0',
S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED,
S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0),
S_AXI_HP3_RDISSUECAP1_EN => '0',
S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0),
S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED,
S_AXI_HP3_RREADY => '0',
S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0),
S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED,
S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000",
S_AXI_HP3_WID(5 downto 0) => B"000000",
S_AXI_HP3_WLAST => '0',
S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED,
S_AXI_HP3_WRISSUECAP1_EN => '0',
S_AXI_HP3_WSTRB(7 downto 0) => B"00000000",
S_AXI_HP3_WVALID => '0',
TRACE_CLK => '0',
TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED,
TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED,
TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0),
TTC0_CLK0_IN => '0',
TTC0_CLK1_IN => '0',
TTC0_CLK2_IN => '0',
TTC0_WAVE0_OUT => NLW_inst_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_inst_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_inst_TTC0_WAVE2_OUT_UNCONNECTED,
TTC1_CLK0_IN => '0',
TTC1_CLK1_IN => '0',
TTC1_CLK2_IN => '0',
TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED,
TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED,
TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED,
UART0_CTSN => '0',
UART0_DCDN => '0',
UART0_DSRN => '0',
UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED,
UART0_RIN => '0',
UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED,
UART0_RX => '1',
UART0_TX => NLW_inst_UART0_TX_UNCONNECTED,
UART1_CTSN => '0',
UART1_DCDN => '0',
UART1_DSRN => '0',
UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED,
UART1_RIN => '0',
UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED,
UART1_RX => '1',
UART1_TX => NLW_inst_UART1_TX_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_inst_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_inst_USB0_VBUS_PWRSELECT_UNCONNECTED,
USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB1_VBUS_PWRFAULT => '0',
USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED,
WDT_CLK_IN => '0',
WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED
);
end STRUCTURE;
|
-------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- Filename: axi_master_burst_wr_llink.vhd
--
-- Description:
-- THis file implements the Write LocalLink to AXI Stream adapter for the
-- AXI Master burst core.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_master_burst_wr_llink.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.1 $
-- Date: $1/27/2011$
--
-- History:
-- DET 1/27/2011 Initial Version
--
-- DET 2/14/2011 Initial for EDK 13.2
-- ~~~~~~
-- -- Per CR593485
-- - Modified the Error logic to clear the wrllink_llink_busy assertion
-- when the localLink discontinue completes.
-- - Added logic to complete a Write Discontinue per LocalLink spec after a
-- wrllink_wr_error assertion.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_master_burst_wr_llink is
generic (
C_NATIVE_DWIDTH : INTEGER range 32 to 128 := 32
-- Set this equal to desred data bus width needed by IPIC
-- LocalLink Data Channels.
);
port (
-------------------------------------------------------------------------
-- Write LocalLink Clock input
-------------------------------------------------------------------------
wrllink_aclk : in std_logic;
-------------------------------------------------------------------------
-- Write LocalLink Reset input
-------------------------------------------------------------------------
wrllink_areset : in std_logic;
-------------------------------------------------------------------------
-- RDWR Cntlr Internal Error Indication
-------------------------------------------------------------------------
wrllink_wr_error : In std_logic;
-------------------------------------------------------------------------
-- LocalLink Enable Control (1 Clock wide pulse)
-------------------------------------------------------------------------
wrllink_llink_enable : In std_logic;
-------------------------------------------------------------------------
-- IPIC LocalLink Busy Flag
-------------------------------------------------------------------------
wrllink_llink_busy : Out std_logic;
-------------------------------------------------------------------------
-- Write Address Posting Contols/Status
-------------------------------------------------------------------------
wrllink_allow_addr_req : Out std_logic; -- Active High enable (1-clk pulse wide)
wrllink_addr_req_posted : In std_logic; -- ignored
wrllink_xfer_cmplt : In std_logic; -- ignored
-------------------------------------------------------------------------
-- Write AXI Slave Master Channel
-------------------------------------------------------------------------
wrllink_strm_tdata : Out std_logic_vector(C_NATIVE_DWIDTH-1 downto 0); -- Write AXI Stream
wrllink_strm_tstrb : Out std_logic_vector((C_NATIVE_DWIDTH/8)-1 downto 0); -- Write AXI Stream
wrllink_strm_tlast : Out std_logic; -- Write AXI Stream
wrllink_strm_tvalid : Out std_logic; -- Write AXI Stream
wrllink_strm_tready : In std_logic; -- Write AXI Stream
-------------------------------------------------------------------------
-- IPIC Write LocalLink Channel
-------------------------------------------------------------------------
ip2bus_mstwr_d : In std_logic_vector(0 to C_NATIVE_DWIDTH-1); -- IPIC Write LocalLink
ip2bus_mstwr_rem : In std_logic_vector(0 to (C_NATIVE_DWIDTH/8)-1); -- ignored IPIC Write LocalLink
ip2bus_mstwr_sof_n : In std_logic; -- ignored -- IPIC Write LocalLink
ip2bus_mstwr_eof_n : In std_logic; -- IPIC Write LocalLink
ip2bus_mstwr_src_rdy_n : In std_logic; -- IPIC Write LocalLink
ip2bus_mstwr_src_dsc_n : In std_logic; -- ignored -- IPIC Write LocalLink
bus2ip_mstwr_dst_rdy_n : Out std_logic; -- IPIC Write LocalLink
bus2ip_mstwr_dst_dsc_n : Out std_logic -- IPIC Write LocalLink
);
end entity axi_master_burst_wr_llink;
architecture implementation of axi_master_burst_wr_llink is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constants
Constant STRB_WIDTH : integer := C_NATIVE_DWIDTH/8;
-- Signals
signal sig_inv_rem : std_logic_vector(0 to STRB_WIDTH-1) := (others => '0');
signal sig_llink_busy : std_logic := '0';
signal sig_last_debeat_xfered : std_logic := '0';
signal sig_allow_wr_requests : std_logic := '0';
signal sig_llink_dst_ready_n : std_logic := '0';
signal sig_set_discontinue : std_logic := '0';
signal sig_wr_error_reg : std_logic := '0';
signal sig_wr_dsc_in_prog : std_logic := '0';
signal sig_discontinue_dst_rdy : std_logic := '0';
signal sig_discontinue_cmplt : std_logic := '0';
signal sig_discontinue_accepted : std_logic := '0';
signal sig_assert_discontinue : std_logic := '0';
begin --(architecture implementation)
-------------------------------------------------------------------------
-- Write Stream Output Port Assignments
-------------------------------------------------------------------------
wrllink_strm_tdata <= ip2bus_mstwr_d ;
wrllink_strm_tstrb <= sig_inv_rem ;
wrllink_strm_tlast <= not(ip2bus_mstwr_eof_n) ;
wrllink_strm_tvalid <= not(ip2bus_mstwr_src_rdy_n) and
sig_llink_busy;
-------------------------------------------------------------------------
-- Write LocalLink Output Port Assignments
-------------------------------------------------------------------------
bus2ip_mstwr_dst_rdy_n <= sig_llink_dst_ready_n ;
--bus2ip_mstwr_dst_dsc_n <= not(wrllink_wr_error) ;
bus2ip_mstwr_dst_dsc_n <= not(sig_assert_discontinue) ;
sig_llink_dst_ready_n <= not((wrllink_strm_tready and sig_llink_busy) or
sig_discontinue_dst_rdy) ;
-- Since the PLB Master burst ignored the REM input, Just
-- assign the inverted REM to be all asserted. This will be
-- used for the AXI Stream output.
sig_inv_rem <= (others => '1');
-------------------------------------------------------------------------
-- LocalLink Busy Flag logic
-------------------------------------------------------------------------
wrllink_llink_busy <= sig_llink_busy ;
-- Detect the last data beat of the incoming LocalLink transfer
sig_last_debeat_xfered <= not(ip2bus_mstwr_eof_n or
ip2bus_mstwr_src_rdy_n or
sig_llink_dst_ready_n );
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LLINK_BUSY_FLOP
--
-- Process Description:
-- Implements the LocalLink Busy Flop
--
-------------------------------------------------------------
IMP_LLINK_BUSY_FLOP : process (wrllink_aclk)
begin
if (wrllink_aclk'event and wrllink_aclk = '1') then
if (wrllink_areset = '1') then
sig_llink_busy <= '0';
elsif (wrllink_llink_enable = '1') then
sig_llink_busy <= '1';
elsif (sig_last_debeat_xfered = '1') then
sig_llink_busy <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_LLINK_BUSY_FLOP;
-------------------------------------------------------------------------
-- AXI Write Address Posting Control logic
-------------------------------------------------------------------------
wrllink_allow_addr_req <= sig_allow_wr_requests;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ALLOW_WR_REQ_FLOP
--
-- Process Description:
-- Implements the AXI Write Address Request control flop.
-- AXI Write Requests will be withheld from the AXI Write Address
-- Channel until the LocalLink Source is ready to drive data.
--
-------------------------------------------------------------
IMP_ALLOW_WR_REQ_FLOP : process (wrllink_aclk)
begin
if (wrllink_aclk'event and wrllink_aclk = '1') then
if (wrllink_areset = '1' or
wrllink_llink_enable = '1') then
sig_allow_wr_requests <= '0';
elsif (ip2bus_mstwr_src_rdy_n = '0' and
sig_llink_busy = '1') then
sig_allow_wr_requests <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_ALLOW_WR_REQ_FLOP;
-------------------------------------------------------------------------
-- Write Error LLink discontinue logic
-------------------------------------------------------------------------
-- Detect rising edge of the Read Error assertion
sig_set_discontinue <= wrllink_wr_error and
not(sig_wr_error_reg) and
sig_llink_busy ;
-- Force the assertion of the Dest ready during the discontinue
-- sequence.
sig_discontinue_dst_rdy <= sig_wr_dsc_in_prog and
sig_llink_busy;
-- Detect the acceptance of discontinue by the source but not
-- necessarily the completion of the discontinue sequence.
sig_discontinue_accepted <= Not(ip2bus_mstwr_src_rdy_n) and
sig_assert_discontinue;
-- Detect Completion of the Write Discontinue sequence
-- when the EOF is transfered by the Source
sig_discontinue_cmplt <= sig_discontinue_dst_rdy and
Not(ip2bus_mstwr_src_rdy_n) and
not(ip2bus_mstwr_eof_n);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_WR_ERROR_FLOP
--
-- Process Description:
-- Implements the register for the write error flag.
--
-------------------------------------------------------------
IMP_WR_ERROR_FLOP : process (wrllink_aclk)
begin
if (wrllink_aclk'event and wrllink_aclk = '1') then
if (wrllink_areset = '1') then
sig_wr_error_reg <= '0';
else
sig_wr_error_reg <= wrllink_wr_error;
end if;
end if;
end process IMP_WR_ERROR_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_WR_DSC_FLOP
--
-- Process Description:
-- Implements the register for the write discontinue flag
-- indicating that a discontinue sequence is in progress.
--
-------------------------------------------------------------
IMP_WR_DSC_FLOP : process (wrllink_aclk)
begin
if (wrllink_aclk'event and wrllink_aclk = '1') then
if (wrllink_areset = '1' or
sig_discontinue_cmplt = '1') then
sig_wr_dsc_in_prog <= '0';
elsif (sig_set_discontinue = '1') then
sig_wr_dsc_in_prog <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_WR_DSC_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_SEND_WR_DSC
--
-- Process Description:
-- Implements the register for the flag signaling the
-- assertion of the LLink Dest discontinue output.
--
-------------------------------------------------------------
IMP_SEND_WR_DSC : process (wrllink_aclk)
begin
if (wrllink_aclk'event and wrllink_aclk = '1') then
if (wrllink_areset = '1' or
sig_discontinue_accepted = '1') then
sig_assert_discontinue <= '0';
elsif (sig_set_discontinue = '1') then
sig_assert_discontinue <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_SEND_WR_DSC;
end implementation;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Apr 6 14:20:46 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library fsl_v20_v2_11_a;
use fsl_v20_v2_11_a.all;
--library proc_common_v2_00_a;
--use proc_common_v2_00_a.proc_common_pkg.all;
--use proc_common_v2_00_a.srl_fifo_f;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_MST_AWIDTH -- Master interface address bus width
-- C_MST_DWIDTH -- Master interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
-- IP2Bus_MstRd_Req -- IP to Bus master read request
-- IP2Bus_MstWr_Req -- IP to Bus master write request
-- IP2Bus_Mst_Addr -- IP to Bus master address bus
-- IP2Bus_Mst_BE -- IP to Bus master byte enables
-- IP2Bus_Mst_Lock -- IP to Bus master lock
-- IP2Bus_Mst_Reset -- IP to Bus master reset
-- Bus2IP_Mst_CmdAck -- Bus to IP master command acknowledgement
-- Bus2IP_Mst_Cmplt -- Bus to IP master transfer completion
-- Bus2IP_Mst_Error -- Bus to IP master error response
-- Bus2IP_Mst_Rearbitrate -- Bus to IP master re-arbitrate
-- Bus2IP_Mst_Cmd_Timeout -- Bus to IP master command timeout
-- Bus2IP_MstRd_d -- Bus to IP master read data bus
-- Bus2IP_MstRd_src_rdy_n -- Bus to IP master read source ready
-- IP2Bus_MstWr_d -- IP to Bus master write data bus
-- Bus2IP_MstWr_dst_rdy_n -- Bus to IP master write destination ready
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
C_TM_BASE : std_logic_vector := x"11000000";
C_IID_WIDTH : integer := 3;
C_REG_SIZE : integer := 9;
C_CMD_WIDTH : integer := 4;
C_NUM_INTERRUPTS : integer := 8;
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_MST_AWIDTH : integer := 32;
C_MST_DWIDTH : integer := 32;
C_NUM_REG : integer := 5
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
Soft_Reset : in std_logic;
Reset_Done : out std_logic;
--interrupts_in : in std_logic_vector(0 to 2**C_IID_WIDTH-1);
interrupts_in : in std_logic_vector(0 to C_NUM_INTERRUPTS-1);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_MstRd_Req : out std_logic;
IP2Bus_MstWr_Req : out std_logic;
IP2Bus_Mst_Addr : out std_logic_vector(0 to C_MST_AWIDTH-1);
IP2Bus_Mst_BE : out std_logic_vector(0 to C_MST_DWIDTH/8-1);
IP2Bus_Mst_Lock : out std_logic;
IP2Bus_Mst_Reset : out std_logic;
Bus2IP_Mst_CmdAck : in std_logic;
Bus2IP_Mst_Cmplt : in std_logic;
Bus2IP_Mst_Error : in std_logic;
Bus2IP_Mst_Rearbitrate : in std_logic;
Bus2IP_Mst_Cmd_Timeout : in std_logic;
Bus2IP_MstRd_d : in std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstRd_src_rdy_n : in std_logic;
IP2Bus_MstWr_d : out std_logic_vector(0 to C_MST_DWIDTH-1);
Bus2IP_MstWr_dst_rdy_n : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
-- Added in by Xilinx even though XST doesn't even recognize these attributes
--attribute SIGIS : string;
--attribute SIGIS of Bus2IP_Clk : signal is "CLK";
--attribute SIGIS of Bus2IP_Reset : signal is "RST";
--attribute SIGIS of IP2Bus_Mst_Reset: signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
-- Define the memory map for each command register, Address[13 to 14]
-- This value is the offset from the base address assigned to this module
constant OPCODE_READ : std_logic_vector(0 to 4-1) := x"0";
constant OPCODE_WRITE : std_logic_vector(0 to 4-1) := x"1";
constant OPCODE_CLEAR : std_logic_vector(0 to 4-1) := x"2";
constant OPCODE_MANUAL_RESET : std_logic_vector(0 to 4-1) := x"3";
-- ACK signal
signal IP2Bus_Ack : std_logic;
-- CE concatenation signals
signal Bus2IP_RdCE_concat : std_logic;
signal Bus2IP_WrCE_concat : std_logic;
-- Bus Output Controller signals
signal bus_data_ready : std_logic;
signal bus_ack_ready : std_logic;
signal bus_data_out : std_logic_vector (0 to 31);
-- Reset Signals
-- FIXME: It would be nice to eliminate the default values here
signal inside_reset : std_logic := '0';
signal inside_reset_next : std_logic := '0';
-- Signals for each event type
signal OPWrite_Request : std_logic;
signal OPRead_Request : std_logic;
signal OPClear_Request : std_logic;
signal OPManualReset_Request : std_logic;
signal Error_Request : std_logic;
-- signal and type for MASTER FSM
type master_state_type is
(
idle, -- idle states
wait_trans_done, -- wait for bus transaction to complete
reset, -- reset states
reset_core,
reset_wait_4_ack,
opwrite_begin,
opwrite_wait_4_busy,
opwrite_finish,
opread_begin,
opread_wait_4_busy,
opread_finish,
manual_reset_begin,
manual_reset_wait,
manual_reset_finish,
opclear_begin,
opclear_wait_4_busy,
opclear_finish
);
signal current_state, next_state : master_state_type := idle;
--Core Inputs
signal msg_chan_channelDataOut : std_logic_vector(0 to 7) := (others => '0');
signal msg_chan_exists : std_logic := '0';
signal msg_chan_full : std_logic := '0';
signal cmd : std_logic := '0';
signal opcode : std_logic_vector(0 to C_CMD_WIDTH-1) := (others => '0');
signal iid : std_logic_vector(0 to C_IID_WIDTH-1) := (others => '0');
signal tid : std_logic_vector(0 to 7) := (others => '0');
signal core_reset, reset_sig : std_logic := '0';
-- Core Outputs
signal msg_chan_channelDataIn : std_logic_vector(0 to 7);
signal msg_chan_channelRead : std_logic;
signal msg_chan_channelWrite : std_logic;
signal ack : std_logic;
signal ret_out: std_logic_vector(0 to 7);
signal tid_out : std_logic_vector(0 to 7);
-- Message channels signals
signal FSL_S_Read : std_logic;
signal FSL_S_Exists : std_logic;
signal FSL_Has_Data : std_logic;
signal FSL_Data : std_logic_vector(0 to 7);
------------------------------------------
-- Signals for user logic master model example
------------------------------------------
-- signals for master model control/status registers write/read
signal mst_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
-- signals for master model control/status registers
type BYTE_REG_TYPE is array(0 to 15) of std_logic_vector(0 to 7);
signal mst_go, IP2Bus_MstRdReq : std_logic;
-- signals for master model command interface state machine
type CMD_CNTL_SM_TYPE is (CMD_IDLE, CMD_RUN, CMD_WAIT_FOR_DATA, CMD_DONE);
signal mst_cmd_sm_state : CMD_CNTL_SM_TYPE;
signal mst_cmd_sm_set_done : std_logic;
signal mst_cmd_sm_set_error : std_logic;
signal mst_cmd_sm_set_timeout : std_logic;
signal mst_cmd_sm_busy : std_logic;
signal mst_cmd_sm_clr_go : std_logic;
signal mst_cmd_sm_rd_req : std_logic;
signal mst_cmd_sm_wr_req : std_logic;
signal mst_cmd_sm_reset : std_logic;
signal mst_cmd_sm_bus_lock : std_logic;
signal IP2Bus_Addr, mst_cmd_sm_ip2bus_addr : std_logic_vector(0 to C_MST_AWIDTH-1);
signal mst_cmd_sm_ip2bus_be : std_logic_vector(0 to C_MST_DWIDTH/8-1);
signal mst_fifo_valid_write_xfer : std_logic;
signal mst_fifo_valid_read_xfer : std_logic;
COMPONENT complete_pic
generic(
IID_WIDTH : integer := 3;
REG_SIZE : integer := 9;
CMD_WIDTH : integer := 4;
C_NUM_INTERRUPTS : integer := 8
);
PORT(
msg_chan_channelDataIn : OUT std_logic_vector(0 to 7);
msg_chan_channelDataOut : IN std_logic_vector(0 to 7);
msg_chan_exists : IN std_logic;
msg_chan_full : IN std_logic;
msg_chan_channelRead : OUT std_logic;
msg_chan_channelWrite : OUT std_logic;
go : IN std_logic;
ack : OUT std_logic;
TID_IN : IN std_logic_vector(0 to 7);
IID_IN : in std_logic_vector(0 to IID_WIDTH - 1);
CMD_IN : in std_logic_vector(0 to CMD_WIDTH - 1);
RET_OUT : OUT std_logic_vector(0 to 7);
TID_OUT : OUT std_logic_vector(0 to 7);
-- interrupts_in : in std_logic_vector(0 to 2**IID_WIDTH - 1);
-- TODO: Fix files to handle variable number and not exactly 8!
interrupts_in : in std_logic_vector(0 to C_NUM_INTERRUPTS-1);
clock_sig : IN std_logic;
reset_sig : IN std_logic
);
END COMPONENT;
component fsl_v20 is
generic (
C_EXT_RESET_HIGH : integer;
C_ASYNC_CLKS : integer;
C_IMPL_STYLE : integer;
C_USE_CONTROL : integer;
C_FSL_DWIDTH : integer;
C_FSL_DEPTH : integer;
C_READ_CLOCK_PERIOD : integer
);
port (
FSL_Clk : in std_logic;
SYS_Rst : in std_logic;
FSL_Rst : out std_logic;
FSL_M_Clk : in std_logic;
FSL_M_Data : in std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_M_Control : in std_logic;
FSL_M_Write : in std_logic;
FSL_M_Full : out std_logic;
FSL_S_Clk : in std_logic;
FSL_S_Data : out std_logic_vector(0 to C_FSL_DWIDTH-1);
FSL_S_Control : out std_logic;
FSL_S_Read : in std_logic;
FSL_S_Exists : out std_logic;
FSL_Full : out std_logic;
FSL_Has_Data : out std_logic;
FSL_Control_IRQ : out std_logic
);
end component;
-- ***************************
-- ChipScope Cores
-- ***************************
-- ChipScope signals
-- signal CONTROL0 : std_logic_vector(35 downto 0);
-- signal TRIG0 : std_logic_vector(15 downto 0);
-- signal TRIG1 : std_logic_vector(31 downto 0);
-- signal TRIG2 : std_logic_vector(3 downto 0);
--
-- component chipscope_icon_v1_03_a
-- PORT (
-- CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0));
--
-- end component;
--
-- component chipscope_ila_ul_v1_02_a
-- PORT (
-- CONTROL : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0);
-- CLK : IN STD_LOGIC;
-- TRIG0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
-- TRIG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
-- TRIG2 : IN STD_LOGIC_VECTOR(3 DOWNTO 0));
--
-- end component;
---------------------------------------------------
-- bit_set()
-- *******************
-- Determine if any bit in the array is set.
-- If any of the bits are set then '1' is returned,
-- otherwise '0' is returned.
---------------------------------------------------
function bit_set( data : in std_logic_vector ) return std_logic is
begin
for i in data'range loop
if( data(i) = '1' ) then
return '1';
end if;
end loop;
return '0';
end function;
---------------------------------------------------
function getIID( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
--return "00" & addr(24 to 29);
return addr( 24 to (24+C_IID_WIDTH-1) );
end function;
function getTID( addr : in std_logic_vector(0 to 31)) return std_logic_vector is
begin
return addr(16 to 23);
end function;
function form_tm_addr( tid : in std_logic_vector(0 to 7)) return std_logic_vector is
variable mask : std_logic_vector(0 to 31);
begin
mask := x"00001" & "00" & tid & "00";
return C_TM_BASE or mask;
end function;
--*************************************************
-- Beginning of user_logic ARCHITECTURE
--*************************************************
begin
core_reset <= reset_sig; -- or Bus2IP_Reset;
-- Instantiate the Core
internalCore: complete_pic
-- internalCore : entity plb_fsmlang_special_pic_v1_00_a.complete_pic
-- internalCore : entity complete_pic
GENERIC MAP (
IID_WIDTH => C_IID_WIDTH,
REG_SIZE => C_REG_SIZE,
CMD_WIDTH => C_CMD_WIDTH,
C_NUM_INTERRUPTS => C_NUM_INTERRUPTS
)
PORT MAP (
msg_chan_channelDataIn => msg_chan_channelDataIn,
msg_chan_channelDataOut => msg_chan_channelDataOut,
msg_chan_exists => msg_chan_exists,
msg_chan_full => msg_chan_full,
msg_chan_channelRead => msg_chan_channelRead,
msg_chan_channelWrite => msg_chan_channelWrite,
go => cmd,
ack => ack,
TID_IN => tid,
IID_IN => iid,
CMD_IN => opcode,
RET_OUT => ret_out,
TID_OUT => tid_out,
interrupts_in => interrupts_in,
clock_sig => Bus2IP_Clk,
reset_sig => core_reset
);
-- ChipScope Instantiations
-- pic_icon : chipscope_icon_v1_03_a
-- port map (
-- CONTROL0 => CONTROL0);
--
-- pic_ila_ul : chipscope_ila_ul_v1_02_a
-- port map (
-- CONTROL => CONTROL0,
-- CLK => Bus2IP_Clk,
-- TRIG0 => TRIG0,
-- TRIG1 => TRIG1,
-- TRIG2 => TRIG2);
--
-- TRIG0 <= FSL_S_Exists & FSL_S_Read & mst_go & mst_cmd_sm_rd_req & Bus2IP_Mst_CmdAck & Bus2IP_Mst_Cmplt & Bus2IP_Mst_Cmd_Timeout & Bus2IP_Mst_Error & FSL_Data;
-- TRIG1 <= mst_cmd_sm_ip2bus_addr;
-- TRIG2 <= interrupts_in;
message_channel : fsl_v20
generic map (
C_EXT_RESET_HIGH => 1,
C_ASYNC_CLKS => 0,
C_IMPL_STYLE => 1,
C_USE_CONTROL => 0,
C_FSL_DWIDTH => 8,
C_FSL_DEPTH => 256,
C_READ_CLOCK_PERIOD => 0
)
port map (
FSL_Clk => Bus2IP_Clk,
SYS_Rst => Bus2IP_Reset,
FSL_Rst => open,
FSL_M_Clk => Bus2IP_Clk,
FSL_M_Data => msg_chan_channelDataIn,
FSL_M_Control => '0',
FSL_M_Write => msg_chan_channelWrite,
FSL_M_Full => msg_chan_full,
FSL_S_Clk => Bus2IP_Clk,
FSL_S_Data => FSL_Data,
FSL_S_Control => open,
FSL_S_Read => FSL_S_Read,
FSL_S_Exists => FSL_S_Exists,
FSL_Full => open,
FSL_Has_Data => FSL_Has_Data,
FSL_Control_IRQ => open
);
-- user logic master command interface assignments
IP2Bus_MstRd_Req <= mst_cmd_sm_rd_req;
IP2Bus_MstWr_Req <= mst_cmd_sm_wr_req;
IP2Bus_Mst_Addr <= mst_cmd_sm_ip2bus_addr;
IP2Bus_Mst_BE <= mst_cmd_sm_ip2bus_be;
IP2Bus_Mst_Lock <= mst_cmd_sm_bus_lock;
IP2Bus_Mst_Reset <= mst_cmd_sm_reset;
--implement master command interface state machine
mst_go <= FSL_S_Exists; -- Start master transaction when data exists in the FSL
MASTER_CMD_SM_PROC : process( Bus2IP_Clk ) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if ( Bus2IP_Reset = '1' ) then
-- reset condition
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '0';
FSL_S_Read <= '0';
else
-- default condition
mst_cmd_sm_clr_go <= '0';
mst_cmd_sm_rd_req <= '0';
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_bus_lock <= '0';
mst_cmd_sm_reset <= '0';
mst_cmd_sm_ip2bus_addr <= (others => '0');
mst_cmd_sm_ip2bus_be <= (others => '0');
mst_cmd_sm_set_done <= '0';
mst_cmd_sm_set_error <= '0';
mst_cmd_sm_set_timeout <= '0';
mst_cmd_sm_busy <= '1';
FSL_S_Read <= '0';
-- state transition
case mst_cmd_sm_state is
when CMD_IDLE =>
if ( mst_go = '1' ) then
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_clr_go <= '1';
else
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end if;
when CMD_RUN =>
if ( Bus2IP_Mst_CmdAck = '1' and Bus2IP_Mst_Cmplt = '0' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
elsif ( Bus2IP_Mst_Cmplt = '1' ) then
-- Signal a read on the FSL to pop off the element
FSL_S_Read <= '1';
mst_cmd_sm_state <= CMD_DONE;
if ( Bus2IP_Mst_Cmd_Timeout = '1' ) then
-- PLB address phase timeout
mst_cmd_sm_set_error <= '1';
mst_cmd_sm_set_timeout <= '1';
elsif ( Bus2IP_Mst_Error = '1' ) then
-- PLB data transfer error
mst_cmd_sm_set_error <= '1';
end if;
else
mst_cmd_sm_state <= CMD_RUN;
mst_cmd_sm_rd_req <= '1'; -- Perform a write (rd = '1', wr = '0')
mst_cmd_sm_wr_req <= '0';
mst_cmd_sm_ip2bus_addr <= form_tm_addr(FSL_Data); -- Setup address
mst_cmd_sm_ip2bus_be <= (others => '1'); -- Use all byte lanes
mst_cmd_sm_bus_lock <= '0'; -- De-assert bus lock
end if;
when CMD_WAIT_FOR_DATA =>
if ( Bus2IP_Mst_Cmplt = '1' ) then
mst_cmd_sm_state <= CMD_DONE;
else
mst_cmd_sm_state <= CMD_WAIT_FOR_DATA;
end if;
when CMD_DONE =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_set_done <= '1';
mst_cmd_sm_busy <= '0';
when others =>
mst_cmd_sm_state <= CMD_IDLE;
mst_cmd_sm_busy <= '0';
end case;
end if;
end if;
end process MASTER_CMD_SM_PROC;
-- Create concatenation signals
Bus2IP_RdCE_concat <= bit_set(Bus2IP_RdCE);
Bus2IP_WrCE_concat <= bit_set(Bus2IP_WrCE);
-- *************************************************************************
-- Process: BUS_OUTPUT_CONTROLLER
-- Purpose: Control output from IP to Bus
-- * Can be controlled using bus_data_ready, bus_ack_ready, and bus_data_out signals.
-- *************************************************************************
BUS_OUTPUT_CONTROLLER : process( Bus2IP_Clk, bus_data_ready, bus_ack_ready ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( bus_data_ready = '1' and bus_ack_ready = '1' ) then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '1'; -- ACK bus
elsif (bus_data_ready = '1' and bus_ack_ready = '0') then
IP2Bus_Data <= bus_data_out; -- put data on bus
IP2Bus_Ack <= '0'; -- turn off ACK
else
IP2Bus_Data <= (others => '0'); -- output 0's on bus
IP2Bus_Ack <= '0'; -- turn off ACK
end if;
end if;
end process BUS_OUTPUT_CONTROLLER;
ACK_ROUTER : process (IP2Bus_Ack, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat) is
begin
-- Turn an "ACK" into a specific ACK (read or write ACK)
if (Bus2IP_RdCE_concat = '1') then
IP2Bus_RdAck <= IP2Bus_Ack;
IP2Bus_WrAck <= '0';
else
IP2Bus_RdAck <= '0';
IP2Bus_WrAck <= IP2Bus_Ack;
end if;
end process;
-- *************************************************************************
-- Process: BUS_CMD_PROC
-- Purpose: Controller and decoder for incoming bus operations (reads and writes)
-- *************************************************************************
BUS_CMD_PROC : process (Bus2IP_Clk, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Bus2IP_Addr ) is
begin
if( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
OPWrite_Request <= '0';
OPRead_Request <= '0';
OPClear_Request <= '0';
OPManualReset_Request <= '0';
Error_Request <= '0';
if( Bus2IP_WrCE_concat = '1' ) then
Error_Request <= '1';
elsif( Bus2IP_RdCE_concat = '1' ) then
case Bus2IP_Addr(12 to 15) is
when OPCODE_WRITE => OPWrite_Request <= '1';
when OPCODE_READ => OPRead_Request <= '1';
when OPCODE_CLEAR => OPClear_Request <= '1';
when OPCODE_MANUAL_RESET => OPManualReset_Request <= '1';
when others => Error_Request <= '1';
end case;
end if;
end if;
end process BUS_CMD_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_STATE_PROC
-- Purpose: Synchronous FSM controller for the master state machine
-- *************************************************************************
MASTER_FSM_STATE_PROC: process(
Bus2IP_Clk, Soft_Reset, inside_reset, inside_reset_next, next_state) is
begin
if ( Bus2IP_Clk'event and Bus2IP_Clk = '1' ) then
if( Soft_Reset = '1' and inside_reset = '0' ) then
-- Initialize all signals...
current_state <= reset;
inside_reset <= '1';
else
-- Assign all signals to their next state...
current_state <= next_state;
inside_reset <= inside_reset_next;
end if;
end if;
end process MASTER_FSM_STATE_PROC;
-- *************************************************************************
-- Process: MASTER_FSM_LOGIC_PROC
-- Purpose: Combinational process that contains all state machine logic and
-- state transitions for the master state machine
-- *************************************************************************
MASTER_FSM_LOGIC_PROC: process (
current_state, inside_reset, OPWrite_Request, OPRead_Request, OPManualReset_Request,
OPClear_Request, Error_Request, Bus2IP_Data, Bus2IP_RdCE_concat, Bus2IP_WrCE_concat, Soft_Reset, Bus2IP_Addr, ack ) is
-- Idle Variable, concatenation of all request signals
variable idle_concat : std_logic_vector(0 to 4);
begin
IP2Bus_Error <= '0'; -- no error
IP2Bus_Addr <= (others => '0');
IP2Bus_MstRdReq <= '0';
IP2Bus_MstWr_d <= (others => '0');
Reset_Done <= '0'; -- reset is done unless we override it later
next_state <= current_state;
inside_reset_next <= inside_reset;
bus_data_out <= (others => '0');
bus_data_ready <= '0';
bus_ack_ready <= '0';
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
reset_sig <= '0';
case current_state is
when idle =>
-- Assign to variable for case statement
idle_concat := (OPWrite_Request & OPRead_Request & OPClear_Request & OPManualReset_Request & Error_Request);
-- Decode request
case (idle_concat) is
when "10000" => next_state <= opwrite_begin; -- OPWrite
when "01000" => next_state <= opread_begin; -- OPRead
when "00100" => next_state <= opclear_begin; -- OPReadAll
when "00010" => next_state <= manual_reset_begin; -- Manual Reset
when "00001" => bus_data_out <= (others => '1'); -- Error!!!
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others => next_state <= idle; -- Others, stay in idle state
end case;
when wait_trans_done =>
-- Goal of this state is to return to the idle state ONLY (iff) the bus transaction has COMPLETELY ended!
bus_data_ready <= '0'; -- de-assert bus transaction signals
bus_ack_ready <= '0';
if( Bus2IP_RdCE_concat = '0' and Bus2IP_WrCE_concat = '0' ) then
next_state <= idle;
end if;
----------------------------
-- RESET: begin
----------------------------
when reset =>
reset_sig <= '1'; -- begin reset on cvCore
Reset_Done <= '0'; -- De-assert Reset_Done
next_state <= reset_core;
when reset_core =>
if (ack = '1') then
next_state <= reset_wait_4_ack;
else
next_state <= reset_core;
end if;
when reset_wait_4_ack =>
Reset_Done <= '1'; -- Assert that reset has completed
if( Soft_Reset = '0' ) then -- if reset is complete
Reset_Done <= '0'; -- de-assert that reset is complete
inside_reset_next <= '0'; -- de-assert to signal that process is no longer in reset
next_state <= idle; -- return to idle stage
end if;
----------------------------
-- RESET: end
----------------------------
----------------------------
-- MANUAL_RESET: begin
----------------------------
when manual_reset_begin =>
reset_sig <= '1'; -- begin reset on cvCore
next_state <= manual_reset_wait;
when manual_reset_wait =>
if (ack = '1') then
next_state <= manual_reset_finish;
else
next_state <= manual_reset_wait;
end if;
when manual_reset_finish =>
-- Finish transaction
bus_data_out <= x"ABCDABCD";
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- MANUAL_RESET: end
----------------------------
----------------------------
-- WRITE: begin
----------------------------
when opwrite_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_WRITE;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until busy is received
if (ack = '1') then
next_state <= opwrite_wait_4_busy;
else
-- Persist with request and remain
next_state <= opwrite_begin;
end if;
when opwrite_wait_4_busy =>
if (ack = '0') then
-- Continue on when core is no longer busy
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
next_state <= opwrite_finish;
else
-- Persist with request
cmd <= '1';
opcode <= OPCODE_WRITE;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
next_state <= opwrite_wait_4_busy;
end if;
when opwrite_finish =>
-- Finish transaction
bus_data_out <= conv_std_logic_vector(conv_integer(ret_out),32);
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- READ: begin
----------------------------
when opread_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_READ;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until busy is received
if (ack = '1') then
next_state <= opread_wait_4_busy;
else
-- Persist with request and remain
next_state <= opread_begin;
end if;
when opread_wait_4_busy =>
if (ack = '0') then
-- Continue on when core is no longer busy
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
next_state <= opread_finish;
else
-- Persist with request
cmd <= '1';
opcode <= OPCODE_READ;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
next_state <= opread_wait_4_busy;
end if;
when opread_finish =>
-- Finish transaction
bus_data_out <= conv_std_logic_vector(conv_integer(ret_out(7) & tid_out),32);
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
----------------------------
-- CLEAR: begin
----------------------------
when opclear_begin =>
-- Setup Command
cmd <= '1';
opcode <= OPCODE_CLEAR;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
-- Persist with command until busy is received
if (ack = '1') then
next_state <= opclear_wait_4_busy;
else
-- Persist with request and remain
next_state <= opclear_begin;
end if;
when opclear_wait_4_busy =>
if (ack = '0') then
-- Continue on when core is no longer busy
cmd <= '0';
opcode <= (others => '0');
iid <= (others => '0');
tid <= (others => '0');
next_state <= opclear_finish;
else
-- Persist with request
cmd <= '1';
opcode <= OPCODE_CLEAR;
iid <= getIID(Bus2IP_Addr);
tid <= getTID(Bus2IP_Addr);
next_state <= opclear_wait_4_busy;
end if;
when opclear_finish =>
-- Finish transaction
bus_data_out <= (others => '0');
bus_data_ready <= '1';
bus_ack_ready <= '1';
next_state <= wait_trans_done;
when others =>
next_state <= idle;
end case; -- END CASE (current_state)
end process MASTER_FSM_LOGIC_PROC;
end architecture IMP;
|
entity topb is
end topb;
architecture behav of topb is
signal clk : bit;
signal v : natural;
signal done : boolean := false;
begin
dut : entity work.entb
port map (clk => clk,
val => v);
process
begin
clk <= '0';
wait for 10 ns;
clk <= '1';
wait for 10 ns;
if done then
wait;
end if;
end process;
process
begin
v <= 2;
wait for 40 ns;
v <= 4;
wait for 80 ns;
done <= true;
wait;
end process;
end behav;
|
-- clock recovery
--
-- data is match filtered samples
-- output is clock
-- NOTE: this does not yet implement early/late, but only gives static timing
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity early_late is
generic (
width : positive := 8;
sam_per_bit : positive := 8
);
port (
clk : in std_logic;
rst : in std_logic;
inclk : in std_logic;
d : in std_logic_vector(width-1 downto 0);
outclk : out std_logic
);
end early_late;
architecture behav of early_late is
constant cnt_len : integer := integer(ceil(log2(real(sam_per_bit))));
signal cnt : unsigned(cnt_len-1 downto 0);
begin
process
begin
wait until rising_edge(clk);
outclk <= '0';
if rst = '1' then
cnt <= to_unsigned(sam_per_bit/2, cnt'length);
else
if inclk = '1' then
cnt <= cnt + to_unsigned(1, cnt'length);
if cnt = to_unsigned(sam_per_bit-1, cnt'length) then
outclk <= '1';
cnt <= to_unsigned(0, cnt'length);
end if;
end if;
end if;
end process;
end behav;
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT TECHNOLUTION BV, GOUDA NL
-- | ======= I == I =
-- | I I I I
-- | I === === I === I === === I I I ==== I === I ===
-- | I / \ I I/ I I/ I I I I I I I I I I I/ I
-- | I ===== I I I I I I I I I I I I I I I I
-- | I \ I I I I I I I I I /I \ I I I I I
-- | I === === I I I I === === === I == I === I I
-- | +---------------------------------------------------+
-- +----+ | +++++++++++++++++++++++++++++++++++++++++++++++++|
-- | | ++++++++++++++++++++++++++++++++++++++|
-- +------------+ +++++++++++++++++++++++++|
-- ++++++++++++++|
-- A U T O M A T I O N T E C H N O L O G Y +++++|
--
-------------------------------------------------------------------------------
-- Title : RAMB16BWE_S36_S9
-- Author : Gideon Zweijtzer <[email protected]>
-------------------------------------------------------------------------------
-- Description: Altera wrapper
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
entity RAMB16BWE_S36_S9 is
generic (
INITP_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INITP_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_00 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_01 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_02 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_03 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_04 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_05 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_06 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_07 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_08 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_09 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_0F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_10 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_11 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_12 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_13 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_14 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_15 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_16 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_17 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_18 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_19 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_1F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_20 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_21 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_22 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_23 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_24 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_25 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_26 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_27 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_28 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_29 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_2F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_30 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_31 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_32 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_33 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_34 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_35 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_36 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_37 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_38 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_39 : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3A : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3B : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3C : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3D : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3E : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_3F : bit_vector := X"0000000000000000000000000000000000000000000000000000000000000000";
INIT_A : bit_vector := X"000";
INIT_B : bit_vector := X"000000000";
SIM_COLLISION_CHECK : string := "ALL";
SRVAL_A : bit_vector := X"000";
SRVAL_B : bit_vector := X"000000000";
WRITE_MODE_A : string := "READ_FIRST";
WRITE_MODE_B : string := "READ_FIRST"
);
port (
DOA : out std_logic_vector(31 downto 0);
DOB : out std_logic_vector(7 downto 0);
DOPA : out std_logic_vector(3 downto 0);
DOPB : out std_logic_vector(0 downto 0);
ADDRA : in std_logic_vector(8 downto 0);
ADDRB : in std_logic_vector(10 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector(31 downto 0);
DIB : in std_logic_vector(7 downto 0);
DIPA : in std_logic_vector(3 downto 0);
DIPB : in std_logic_vector(0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_logic_vector(3 downto 0);
WEB : in std_ulogic
);
end entity;
architecture wrapper of RAMB16BWE_S36_S9 is
signal wren_a : std_logic_vector(3 downto 0);
signal wren_b : std_logic_vector(3 downto 0);
signal q_b : std_logic_vector(31 downto 0);
signal b_mux : std_logic_vector(1 downto 0);
signal address_a : std_logic_vector(8 downto 0);
signal address_b : std_logic_vector(10 downto 2);
begin
address_a <= ADDRA(address_a'range);
address_b <= ADDRB(address_b'range);
r: for i in 0 to 3 generate
altsyncram_component : altsyncram
GENERIC MAP (
address_reg_b => "CLOCK1",
clock_enable_input_a => "BYPASS",
clock_enable_input_b => "BYPASS",
clock_enable_output_a => "BYPASS",
clock_enable_output_b => "BYPASS",
indata_reg_b => "CLOCK1",
intended_device_family => "Cyclone IV E",
lpm_type => "altsyncram",
numwords_a => 512,
numwords_b => 512,
operation_mode => "BIDIR_DUAL_PORT",
outdata_aclr_a => "NONE",
outdata_aclr_b => "NONE",
outdata_reg_a => "UNREGISTERED",
outdata_reg_b => "UNREGISTERED",
power_up_uninitialized => "FALSE",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ",
widthad_a => 9,
widthad_b => 9,
width_a => 8,
width_b => 8,
width_byteena_a => 1,
width_byteena_b => 1,
wrcontrol_wraddress_reg_b => "CLOCK1"
)
PORT MAP (
address_a => address_a,
address_b => address_b,
clock0 => CLKA,
clock1 => CLKB,
data_a => DIA(8*i + 7 downto 8*i),
data_b => DIB,
rden_a => ENA,
rden_b => ENB,
wren_a => wren_a(i),
wren_b => wren_b(i),
q_a => DOA(8*i + 7 downto 8*i),
q_b => q_b(8*i + 7 downto 8*i)
);
end generate;
process(CLKB)
begin
if rising_edge(CLKB) then
if ENB = '1' then
b_mux <= ADDRB(1 downto 0);
end if;
end if;
end process;
with b_mux select DOB <=
q_b(7 downto 0) when "00",
q_b(15 downto 8) when "01",
q_b(23 downto 16) when "10",
q_b(31 downto 24) when "11",
"XXXXXXXX" when others;
wren_a <= WEA when ENA = '1' else "0000";
wren_b(0) <= '1' when WEB = '1' and ENB = '1' and ADDRB(1 downto 0) = "00" else '0';
wren_b(1) <= '1' when WEB = '1' and ENB = '1' and ADDRB(1 downto 0) = "01" else '0';
wren_b(2) <= '1' when WEB = '1' and ENB = '1' and ADDRB(1 downto 0) = "10" else '0';
wren_b(3) <= '1' when WEB = '1' and ENB = '1' and ADDRB(1 downto 0) = "11" else '0';
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2827.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity PROCESS is
end PROCESS;
ENTITY c13s09b00x00p99n01i02827ent IS
END c13s09b00x00p99n01i02827ent;
ARCHITECTURE c13s09b00x00p99n01i02827arch OF c13s09b00x00p99n01i02827ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02827 - Reserved word PROCESS can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02827arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2827.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity PROCESS is
end PROCESS;
ENTITY c13s09b00x00p99n01i02827ent IS
END c13s09b00x00p99n01i02827ent;
ARCHITECTURE c13s09b00x00p99n01i02827arch OF c13s09b00x00p99n01i02827ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02827 - Reserved word PROCESS can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02827arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2827.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity PROCESS is
end PROCESS;
ENTITY c13s09b00x00p99n01i02827ent IS
END c13s09b00x00p99n01i02827ent;
ARCHITECTURE c13s09b00x00p99n01i02827arch OF c13s09b00x00p99n01i02827ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02827 - Reserved word PROCESS can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02827arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 05.07.2017 11:30:11
-- Design Name:
-- Module Name: fsm_complex_abs - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity fsm_complex_abs is
Port ( clk : in STD_LOGIC;
reset_n : in STD_LOGIC;
valid_in : in STD_LOGIC;
ready_in : in STD_LOGIC;
abs_done : in STD_LOGIC;
valid_out : out STD_LOGIC;
ready_out : out STD_LOGIC);
end fsm_complex_abs;
architecture Behavioral of fsm_complex_abs is
type state is (reset , waiting_for_valid_in , elaborazione , waiting_for_ready_in);
signal current_state, next_state : state := reset;
begin
registro_stato : process(clk, reset_n, next_state)
begin
if(reset_n = '0') then
current_state <= reset;
elsif(rising_edge(clk)) then
current_state <= next_state;
end if;
end process;
fsm_next_state : process(current_state, reset_n, valid_in, abs_done, ready_in)
begin
case current_state is
when reset =>
if(reset_n = '0') then
next_state <= reset;
else
next_state <= waiting_for_valid_in;
end if;
when waiting_for_valid_in =>
if(valid_in = '0') then
next_state <= waiting_for_valid_in;
else
next_state <= elaborazione;
end if;
when elaborazione =>
if(abs_done <= '0') then
next_state <= elaborazione;
else
next_state <= waiting_for_ready_in;
end if;
when waiting_for_ready_in =>
if(ready_in = '0') then
next_state <= waiting_for_ready_in;
else
next_state <= waiting_for_valid_in;
end if;
end case;
end process;
fsm_uscita : process(current_state)
begin
valid_out <= '0';
ready_out <= '0';
case current_state is
when reset =>
when waiting_for_valid_in =>
ready_out<='1';
when elaborazione =>
when waiting_for_ready_in =>
valid_out <= '1';
end case;
end process;
end Behavioral;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
LIBRARY grlib;
USE grlib.sparc.all;
USE grlib.stdlib.all;
LIBRARY techmap;
USE techmap.gencomp.all;
LIBRARY gaisler;
USE gaisler.leon3.all;
USE gaisler.libiu.all;
USE gaisler.arith.all;
-- pragma translate_off
use grlib.sparc_disas.all;
-- pragma translate_on
ENTITY iu3 IS
GENERIC (
nwin : integer RANGE 2 to 32 := 8;
isets : integer RANGE 1 to 4 := 2;
dsets : integer RANGE 1 to 4 := 2;
fpu : integer RANGE 0 to 15 := 0;
v8 : integer RANGE 0 to 63 := 2;
cp : integer RANGE 0 to 1 := 0;
mac : integer RANGE 0 to 1 := 0;
dsu : integer RANGE 0 to 1 := 1;
nwp : integer RANGE 0 to 4 := 2;
pclow : integer RANGE 0 to 2 := 2;
notag : integer RANGE 0 to 1 := 0;
index : integer RANGE 0 to 15 := 0;
lddel : integer RANGE 1 to 2 := 1;
irfwt : integer RANGE 0 to 1 := 1;
disas : integer RANGE 0 to 2 := 0;
tbuf : integer RANGE 0 to 64 := 2;
pwd : integer RANGE 0 to 2 := 0;
svt : integer RANGE 0 to 1 := 1;
rstaddr : integer := 16#00000#;
smp : integer RANGE 0 to 15 := 0;
fabtech : integer RANGE 0 to NTECH := 2;
clk2x : integer := 0
);
PORT (
clk : in std_ulogic;
rstn : in std_ulogic;
holdn : in std_ulogic;
ici : out icache_in_type;
ico : in icache_out_type;
dci : out dcache_in_type;
dco : in dcache_out_type;
rfi : out iregfile_in_type;
rfo : in iregfile_out_type;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
muli : out mul32_in_type;
mulo : in mul32_out_type;
divi : out div32_in_type;
divo : in div32_out_type;
fpo : in fpc_out_type;
fpi : out fpc_in_type;
cpo : in fpc_out_type;
cpi : out fpc_in_type;
tbo : in tracebuf_out_type;
tbi : out tracebuf_in_type;
sclk : in std_ulogic
);
END ENTITY;
ARCHITECTURE rtl OF iu3 IS
CONSTANT ISETMSB : integer := log2x ( 2 ) - 1;
CONSTANT DSETMSB : integer := log2x ( 2 ) - 1;
CONSTANT RFBITS : integer RANGE 6 to 10 := log2 ( 8 + 1 ) + 4;
CONSTANT NWINLOG2 : integer RANGE 1 to 5 := log2 ( 8 );
CONSTANT CWPOPT : boolean := ( 8 = ( 2 ** LOG2 ( 8 ) ) );
CONSTANT CWPMIN : std_logic_vector ( LOG2 ( 8 ) - 1 downto 0 ) := ( OTHERS => '0' );
CONSTANT CWPMAX : std_logic_vector ( LOG2 ( 8 ) - 1 downto 0 ) := conv_std_logic_vector ( 8 - 1 , LOG2 ( 8 ) );
CONSTANT FPEN : boolean := ( 0 /= 0 );
CONSTANT CPEN : boolean := ( 0 = 1 );
CONSTANT MULEN : boolean := ( 2 /= 0 );
CONSTANT MULTYPE : integer := ( 2 / 16 );
CONSTANT DIVEN : boolean := ( 2 /= 0 );
CONSTANT MACEN : boolean := ( 0 = 1 );
CONSTANT MACPIPE : boolean := ( 0 = 1 ) and ( 2 / 2 = 1 );
CONSTANT IMPL : integer := 15;
CONSTANT VER : integer := 3;
CONSTANT DBGUNIT : boolean := ( 1 = 1 );
CONSTANT TRACEBUF : boolean := ( 2 /= 0 );
CONSTANT TBUFBITS : integer := 10 + log2 ( 2 ) - 4;
CONSTANT PWRD1 : boolean := false;
CONSTANT PWRD2 : boolean := 0 /= 0;
CONSTANT RS1OPT : boolean := ( is_fpga ( 2 ) /= 0 );
SUBTYPE word IS std_logic_vector ( 31 downto 0 );
SUBTYPE pctype IS std_logic_vector ( 31 downto 2 );
SUBTYPE rfatype IS std_logic_vector ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 );
SUBTYPE cwptype IS std_logic_vector ( LOG2 ( 8 ) - 1 downto 0 );
TYPE icdtype IS ARRAY ( 0 to 2 - 1 ) OF word;
TYPE dcdtype IS ARRAY ( 0 to 2 - 1 ) OF word;
TYPE dc_in_type IS RECORD
signed : std_ulogic;
enaddr : std_ulogic;
read : std_ulogic;
write : std_ulogic;
lock : std_ulogic;
dsuen : std_ulogic;
size : std_logic_vector ( 1 downto 0 );
asi : std_logic_vector ( 7 downto 0 );
END RECORD;
TYPE pipeline_ctrl_type IS RECORD
pc : pctype;
inst : word;
cnt : std_logic_vector ( 1 downto 0 );
rd : rfatype;
tt : std_logic_vector ( 5 downto 0 );
trap : std_ulogic;
annul : std_ulogic;
wreg : std_ulogic;
wicc : std_ulogic;
wy : std_ulogic;
ld : std_ulogic;
pv : std_ulogic;
rett : std_ulogic;
END RECORD;
TYPE fetch_reg_type IS RECORD
pc : pctype;
branch : std_ulogic;
END RECORD;
TYPE decode_reg_type IS RECORD
pc : pctype;
inst : icdtype;
cwp : cwptype;
set : std_logic_vector ( LOG2X ( 2 ) - 1 downto 0 );
mexc : std_ulogic;
cnt : std_logic_vector ( 1 downto 0 );
pv : std_ulogic;
annul : std_ulogic;
inull : std_ulogic;
step : std_ulogic;
END RECORD;
TYPE regacc_reg_type IS RECORD
ctrl : pipeline_ctrl_type;
rs1 : std_logic_vector ( 4 downto 0 );
rfa1 : rfatype;
rfa2 : rfatype;
rsel1 : std_logic_vector ( 2 downto 0 );
rsel2 : std_logic_vector ( 2 downto 0 );
rfe1 : std_ulogic;
rfe2 : std_ulogic;
cwp : cwptype;
imm : word;
ldcheck1 : std_ulogic;
ldcheck2 : std_ulogic;
ldchkra : std_ulogic;
ldchkex : std_ulogic;
su : std_ulogic;
et : std_ulogic;
wovf : std_ulogic;
wunf : std_ulogic;
ticc : std_ulogic;
jmpl : std_ulogic;
step : std_ulogic;
mulstart : std_ulogic;
divstart : std_ulogic;
END RECORD;
TYPE execute_reg_type IS RECORD
ctrl : pipeline_ctrl_type;
op1 : word;
op2 : word;
aluop : std_logic_vector ( 2 downto 0 );
alusel : std_logic_vector ( 1 downto 0 );
aluadd : std_ulogic;
alucin : std_ulogic;
ldbp1 : std_ulogic;
ldbp2 : std_ulogic;
invop2 : std_ulogic;
shcnt : std_logic_vector ( 4 downto 0 );
sari : std_ulogic;
shleft : std_ulogic;
ymsb : std_ulogic;
rd : std_logic_vector ( 4 downto 0 );
jmpl : std_ulogic;
su : std_ulogic;
et : std_ulogic;
cwp : cwptype;
icc : std_logic_vector ( 3 downto 0 );
mulstep : std_ulogic;
mul : std_ulogic;
mac : std_ulogic;
END RECORD;
TYPE memory_reg_type IS RECORD
ctrl : pipeline_ctrl_type;
result : word;
y : word;
icc : std_logic_vector ( 3 downto 0 );
nalign : std_ulogic;
dci : dc_in_type;
werr : std_ulogic;
wcwp : std_ulogic;
irqen : std_ulogic;
irqen2 : std_ulogic;
mac : std_ulogic;
divz : std_ulogic;
su : std_ulogic;
mul : std_ulogic;
END RECORD;
TYPE exception_state IS ( run , trap , dsu1 , dsu2 );
TYPE exception_reg_type IS RECORD
ctrl : pipeline_ctrl_type;
result : word;
y : word;
icc : std_logic_vector ( 3 downto 0 );
annul_all : std_ulogic;
data : dcdtype;
set : std_logic_vector ( LOG2X ( 2 ) - 1 downto 0 );
mexc : std_ulogic;
impwp : std_ulogic;
dci : dc_in_type;
laddr : std_logic_vector ( 1 downto 0 );
rstate : exception_state;
npc : std_logic_vector ( 2 downto 0 );
intack : std_ulogic;
ipend : std_ulogic;
mac : std_ulogic;
pwd : std_ulogic;
debug : std_ulogic;
error : std_ulogic;
nerror : std_ulogic;
et : std_ulogic;
END RECORD;
TYPE dsu_registers IS RECORD
tt : std_logic_vector ( 7 downto 0 );
err : std_ulogic;
tbufcnt : std_logic_vector ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 );
asi : std_logic_vector ( 7 downto 0 );
crdy : std_logic_vector ( 2 downto 1 );
END RECORD;
TYPE irestart_register IS RECORD
addr : pctype;
pwd : std_ulogic;
END RECORD;
TYPE pwd_register_type IS RECORD
pwd : std_ulogic;
error : std_ulogic;
END RECORD;
TYPE special_register_type IS RECORD
cwp : cwptype;
icc : std_logic_vector ( 3 downto 0 );
tt : std_logic_vector ( 7 downto 0 );
tba : std_logic_vector ( 19 downto 0 );
wim : std_logic_vector ( 8 - 1 downto 0 );
pil : std_logic_vector ( 3 downto 0 );
ec : std_ulogic;
ef : std_ulogic;
ps : std_ulogic;
s : std_ulogic;
et : std_ulogic;
y : word;
asr18 : word;
svt : std_ulogic;
dwt : std_ulogic;
END RECORD;
TYPE write_reg_type IS RECORD
s : special_register_type;
result : word;
wa : rfatype;
wreg : std_ulogic;
except : std_ulogic;
END RECORD;
TYPE registers IS RECORD
f : fetch_reg_type;
d : decode_reg_type;
a : regacc_reg_type;
e : execute_reg_type;
m : memory_reg_type;
x : exception_reg_type;
w : write_reg_type;
END RECORD;
TYPE exception_type IS RECORD
pri : std_ulogic;
ill : std_ulogic;
fpdis : std_ulogic;
cpdis : std_ulogic;
wovf : std_ulogic;
wunf : std_ulogic;
ticc : std_ulogic;
END RECORD;
TYPE watchpoint_register IS RECORD
addr : std_logic_vector ( 31 downto 2 );
mask : std_logic_vector ( 31 downto 2 );
exec : std_ulogic;
imp : std_ulogic;
load : std_ulogic;
store : std_ulogic;
END RECORD;
TYPE watchpoint_registers IS ARRAY ( 0 to 3 ) OF watchpoint_register;
CONSTANT wpr_none : watchpoint_register := ( zero32 ( 31 downto 2 ) , zero32 ( 31 downto 2 ) , '0' , '0' , '0' , '0' );
FUNCTION dbgexc (
r : registers;
dbgi : l3_debug_in_type;
trap : std_ulogic;
tt : std_logic_vector ( 7 downto 0 )
) RETURN std_ulogic IS
VARIABLE dmode : std_ulogic;
BEGIN
dmode := '0';
IF ( not r.x.ctrl.annul and trap ) = '1' THEN
IF ( ( ( tt = "00" & TT_WATCH ) and ( dbgi.bwatch = '1' ) ) or ( ( dbgi.bsoft = '1' ) and ( tt = "10000001" ) ) or ( dbgi.btrapa = '1' ) or ( ( dbgi.btrape = '1' ) and not ( ( tt ( 5 downto 0 ) = TT_PRIV ) or ( tt ( 5 downto 0 ) = TT_FPDIS ) or ( tt ( 5 downto 0 ) = TT_WINOF ) or ( tt ( 5 downto 0 ) = TT_WINUF ) or ( tt ( 5 downto 4 ) = "01" ) or ( tt ( 7 ) = '1' ) ) ) or ( ( ( not r.w.s.et ) and dbgi.berror ) = '1' ) ) THEN
dmode := '1';
END IF;
END IF;
RETURN ( dmode );
END;
FUNCTION dbgerr (
r : registers;
dbgi : l3_debug_in_type;
tt : std_logic_vector ( 7 downto 0 )
) RETURN std_ulogic IS
VARIABLE err : std_ulogic;
BEGIN
err := not r.w.s.et;
IF ( ( ( dbgi.dbreak = '1' ) and ( tt = ( "00" & TT_WATCH ) ) ) or ( ( dbgi.bsoft = '1' ) and ( tt = ( "10000001" ) ) ) ) THEN
err := '0';
END IF;
RETURN ( err );
END;
PROCEDURE diagwr (
r : in registers;
dsur : in dsu_registers;
ir : in irestart_register;
dbg : in l3_debug_in_type;
wpr : in watchpoint_registers;
s : out special_register_type;
vwpr : out watchpoint_registers;
asi : out std_logic_vector ( 7 downto 0 );
pc : out pctype;
npc : out pctype;
tbufcnt : out std_logic_vector ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 );
wr : out std_ulogic;
addr : out std_logic_vector ( 9 downto 0 );
data : out word;
fpcwr : out std_ulogic
) IS
VARIABLE i : integer RANGE 0 to 3;
BEGIN
s := r.w.s;
pc := r.f.pc;
npc := ir.addr;
wr := '0';
vwpr := wpr;
asi := dsur.asi;
addr := ( OTHERS => '0' );
data := dbg.ddata;
tbufcnt := dsur.tbufcnt;
fpcwr := '0';
IF ( dbg.dsuen and dbg.denable and dbg.dwrite ) = '1' THEN
CASE dbg.daddr ( 23 downto 20 ) IS
WHEN "0001" =>
IF dbg.daddr ( 16 ) = '1' THEN
tbufcnt := dbg.ddata ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 );
END IF;
WHEN "0011" =>
IF dbg.daddr ( 12 ) = '0' THEN
wr := '1';
addr := ( OTHERS => '0' );
addr ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) := dbg.daddr ( LOG2 ( 8 + 1 ) + 4 + 1 downto 2 );
ELSE
fpcwr := '1';
END IF;
WHEN "0100" =>
CASE dbg.daddr ( 7 downto 6 ) IS
WHEN "00" =>
CASE dbg.daddr ( 5 downto 2 ) IS
WHEN "0000" =>
s.y := dbg.ddata;
WHEN "0001" =>
s.cwp := dbg.ddata ( LOG2 ( 8 ) - 1 downto 0 );
s.icc := dbg.ddata ( 23 downto 20 );
s.ec := dbg.ddata ( 13 );
s.pil := dbg.ddata ( 11 downto 8 );
s.s := dbg.ddata ( 7 );
s.ps := dbg.ddata ( 6 );
s.et := dbg.ddata ( 5 );
WHEN "0010" =>
s.wim := dbg.ddata ( 8 - 1 downto 0 );
WHEN "0011" =>
s.tba := dbg.ddata ( 31 downto 12 );
s.tt := dbg.ddata ( 11 downto 4 );
WHEN "0100" =>
pc := dbg.ddata ( 31 downto 2 );
WHEN "0101" =>
npc := dbg.ddata ( 31 downto 2 );
WHEN "0110" =>
fpcwr := '1';
WHEN "0111" =>
NULL;
WHEN "1001" =>
asi := dbg.ddata ( 7 downto 0 );
WHEN OTHERS =>
NULL;
END CASE;
WHEN "01" =>
CASE dbg.daddr ( 5 downto 2 ) IS
WHEN "0001" =>
s.dwt := dbg.ddata ( 14 );
s.svt := dbg.ddata ( 13 );
WHEN "0010" =>
NULL;
WHEN "1000" =>
vwpr ( 0 ).addr := dbg.ddata ( 31 downto 2 );
vwpr ( 0 ).imp := dbg.ddata ( 1 );
vwpr ( 0 ).exec := dbg.ddata ( 0 );
WHEN "1001" =>
vwpr ( 0 ).mask := dbg.ddata ( 31 downto 2 );
vwpr ( 0 ).load := dbg.ddata ( 1 );
vwpr ( 0 ).store := dbg.ddata ( 0 );
WHEN "1010" =>
vwpr ( 1 ).addr := dbg.ddata ( 31 downto 2 );
vwpr ( 1 ).imp := dbg.ddata ( 1 );
vwpr ( 1 ).exec := dbg.ddata ( 0 );
WHEN "1011" =>
vwpr ( 1 ).mask := dbg.ddata ( 31 downto 2 );
vwpr ( 1 ).load := dbg.ddata ( 1 );
vwpr ( 1 ).store := dbg.ddata ( 0 );
WHEN "1100" =>
vwpr ( 2 ).addr := dbg.ddata ( 31 downto 2 );
vwpr ( 2 ).imp := dbg.ddata ( 1 );
vwpr ( 2 ).exec := dbg.ddata ( 0 );
WHEN "1101" =>
vwpr ( 2 ).mask := dbg.ddata ( 31 downto 2 );
vwpr ( 2 ).load := dbg.ddata ( 1 );
vwpr ( 2 ).store := dbg.ddata ( 0 );
WHEN "1110" =>
vwpr ( 3 ).addr := dbg.ddata ( 31 downto 2 );
vwpr ( 3 ).imp := dbg.ddata ( 1 );
vwpr ( 3 ).exec := dbg.ddata ( 0 );
WHEN "1111" =>
vwpr ( 3 ).mask := dbg.ddata ( 31 downto 2 );
vwpr ( 3 ).load := dbg.ddata ( 1 );
vwpr ( 3 ).store := dbg.ddata ( 0 );
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END;
FUNCTION asr17_gen (
r : in registers
) RETURN word IS
VARIABLE asr17 : word;
VARIABLE fpu2 : integer RANGE 0 to 3;
BEGIN
asr17 := zero32;
asr17 ( 31 downto 28 ) := conv_std_logic_vector ( 0 , 4 );
asr17 ( 14 ) := r.w.s.dwt;
asr17 ( 13 ) := r.w.s.svt;
fpu2 := 0;
asr17 ( 11 downto 10 ) := conv_std_logic_vector ( fpu2 , 2 );
asr17 ( 8 ) := '1';
asr17 ( 7 downto 5 ) := conv_std_logic_vector ( 2 , 3 );
asr17 ( 4 downto 0 ) := conv_std_logic_vector ( 8 - 1 , 5 );
RETURN ( asr17 );
END;
PROCEDURE diagread (
dbgi : in l3_debug_in_type;
r : in registers;
dsur : in dsu_registers;
ir : in irestart_register;
wpr : in watchpoint_registers;
rfdata : in std_logic_vector ( 31 downto 0 );
dco : in dcache_out_type;
tbufo : in tracebuf_out_type;
data : out word
) IS
VARIABLE cwp : std_logic_vector ( 4 downto 0 );
VARIABLE rd : std_logic_vector ( 4 downto 0 );
VARIABLE i : integer RANGE 0 to 3;
BEGIN
data := ( OTHERS => '0' );
cwp := ( OTHERS => '0' );
cwp ( LOG2 ( 8 ) - 1 downto 0 ) := r.w.s.cwp;
CASE dbgi.daddr ( 22 downto 20 ) IS
WHEN "001" =>
IF dbgi.daddr ( 16 ) = '1' THEN
data ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 ) := dsur.tbufcnt;
ELSE
CASE dbgi.daddr ( 3 downto 2 ) IS
WHEN "00" =>
data := tbufo.data ( 127 downto 96 );
WHEN "01" =>
data := tbufo.data ( 95 downto 64 );
WHEN "10" =>
data := tbufo.data ( 63 downto 32 );
WHEN OTHERS =>
data := tbufo.data ( 31 downto 0 );
END CASE;
END IF;
WHEN "011" =>
IF dbgi.daddr ( 12 ) = '0' THEN
data := rfdata ( 31 downto 0 );
ELSE
data := fpo.dbg.data;
END IF;
WHEN "100" =>
CASE dbgi.daddr ( 7 downto 6 ) IS
WHEN "00" =>
CASE dbgi.daddr ( 5 downto 2 ) IS
WHEN "0000" =>
data := r.w.s.y;
WHEN "0001" =>
data := conv_std_logic_vector ( 15 , 4 ) & conv_std_logic_vector ( 3 , 4 ) & r.w.s.icc & "000000" & r.w.s.ec & r.w.s.ef & r.w.s.pil & r.w.s.s & r.w.s.ps & r.w.s.et & cwp;
WHEN "0010" =>
data ( 8 - 1 downto 0 ) := r.w.s.wim;
WHEN "0011" =>
data := r.w.s.tba & r.w.s.tt & "0000";
WHEN "0100" =>
data ( 31 downto 2 ) := r.f.pc;
WHEN "0101" =>
data ( 31 downto 2 ) := ir.addr;
WHEN "0110" =>
data := fpo.dbg.data;
WHEN "0111" =>
NULL;
WHEN "1000" =>
data ( 12 downto 4 ) := dsur.err & dsur.tt;
WHEN "1001" =>
data ( 7 downto 0 ) := dsur.asi;
WHEN OTHERS =>
NULL;
END CASE;
WHEN "01" =>
IF dbgi.daddr ( 5 ) = '0' THEN
IF dbgi.daddr ( 4 downto 2 ) = "001" THEN
data := asr17_gen ( r );
END IF;
ELSE
i := conv_integer ( dbgi.daddr ( 4 downto 3 ) );
IF dbgi.daddr ( 2 ) = '0' THEN
data ( 31 downto 2 ) := wpr ( i ).addr;
data ( 1 ) := wpr ( i ).imp;
data ( 0 ) := wpr ( i ).exec;
ELSE
data ( 31 downto 2 ) := wpr ( i ).mask;
data ( 1 ) := wpr ( i ).load;
data ( 0 ) := wpr ( i ).store;
END IF;
END IF;
WHEN OTHERS =>
NULL;
END CASE;
WHEN "111" =>
data := r.x.data ( conv_integer ( r.x.set ) );
WHEN OTHERS =>
NULL;
END CASE;
END;
PROCEDURE itrace (
r : in registers;
dsur : in dsu_registers;
vdsu : in dsu_registers;
res : in word;
exc : in std_ulogic;
dbgi : in l3_debug_in_type;
error : in std_ulogic;
trap : in std_ulogic;
tbufcnt : out std_logic_vector ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 );
di : out tracebuf_in_type
) IS
VARIABLE meminst : std_ulogic;
BEGIN
di.addr := ( OTHERS => '0' );
di.data := ( OTHERS => '0' );
di.enable := '0';
di.write := ( OTHERS => '0' );
tbufcnt := vdsu.tbufcnt;
meminst := r.x.ctrl.inst ( 31 ) and r.x.ctrl.inst ( 30 );
di.addr ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 ) := dsur.tbufcnt;
di.data ( 127 ) := '0';
di.data ( 126 ) := not r.x.ctrl.pv;
di.data ( 125 downto 96 ) := dbgi.timer ( 29 downto 0 );
di.data ( 95 downto 64 ) := res;
di.data ( 63 downto 34 ) := r.x.ctrl.pc ( 31 downto 2 );
di.data ( 33 ) := trap;
di.data ( 32 ) := error;
di.data ( 31 downto 0 ) := r.x.ctrl.inst;
IF ( dbgi.tenable = '0' ) or ( r.x.rstate = dsu2 ) THEN
IF ( ( dbgi.dsuen and dbgi.denable ) = '1' ) and ( dbgi.daddr ( 23 downto 20 ) & dbgi.daddr ( 16 ) = "00010" ) THEN
di.enable := '1';
di.addr ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 ) := dbgi.daddr ( 10 + LOG2 ( 2 ) - 4 - 1 + 4 downto 4 );
IF dbgi.dwrite = '1' THEN
CASE dbgi.daddr ( 3 downto 2 ) IS
WHEN "00" =>
di.write ( 3 ) := '1';
WHEN "01" =>
di.write ( 2 ) := '1';
WHEN "10" =>
di.write ( 1 ) := '1';
WHEN OTHERS =>
di.write ( 0 ) := '1';
END CASE;
di.data := dbgi.ddata & dbgi.ddata & dbgi.ddata & dbgi.ddata;
END IF;
END IF;
ELSIF ( not r.x.ctrl.annul and ( r.x.ctrl.pv or meminst ) and not r.x.debug ) = '1' THEN
di.enable := '1';
di.write := ( OTHERS => '1' );
tbufcnt := dsur.tbufcnt + 1;
END IF;
di.diag := dco.testen & "000";
IF dco.scanen = '1' THEN
di.enable := '0';
END IF;
END;
PROCEDURE dbg_cache (
holdn : in std_ulogic;
dbgi : in l3_debug_in_type;
r : in registers;
dsur : in dsu_registers;
mresult : in word;
dci : in dc_in_type;
mresult2 : out word;
dci2 : out dc_in_type
) IS
BEGIN
mresult2 := mresult;
dci2 := dci;
dci2.dsuen := '0';
IF r.x.rstate = dsu2 THEN
dci2.asi := dsur.asi;
IF ( dbgi.daddr ( 22 downto 20 ) = "111" ) and ( dbgi.dsuen = '1' ) THEN
dci2.dsuen := ( dbgi.denable or r.m.dci.dsuen ) and not dsur.crdy ( 2 );
dci2.enaddr := dbgi.denable;
dci2.size := "10";
dci2.read := '1';
dci2.write := '0';
IF ( dbgi.denable and not r.m.dci.enaddr ) = '1' THEN
mresult2 := ( OTHERS => '0' );
mresult2 ( 19 downto 2 ) := dbgi.daddr ( 19 downto 2 );
ELSE
mresult2 := dbgi.ddata;
END IF;
IF dbgi.dwrite = '1' THEN
dci2.read := '0';
dci2.write := '1';
END IF;
END IF;
END IF;
END;
PROCEDURE fpexack (
r : in registers;
fpexc : out std_ulogic
) IS
BEGIN
fpexc := '0';
END;
PROCEDURE diagrdy (
denable : in std_ulogic;
dsur : in dsu_registers;
dci : in dc_in_type;
mds : in std_ulogic;
ico : in icache_out_type;
crdy : out std_logic_vector ( 2 downto 1 )
) IS
BEGIN
crdy := dsur.crdy ( 1 ) & '0';
IF dci.dsuen = '1' THEN
CASE dsur.asi ( 4 downto 0 ) IS
WHEN ASI_ITAG | ASI_IDATA | ASI_UINST | ASI_SINST =>
crdy ( 2 ) := ico.diagrdy and not dsur.crdy ( 2 );
WHEN ASI_DTAG | ASI_MMUSNOOP_DTAG | ASI_DDATA | ASI_UDATA | ASI_SDATA =>
crdy ( 1 ) := not denable and dci.enaddr and not dsur.crdy ( 1 );
WHEN OTHERS =>
crdy ( 2 ) := dci.enaddr and denable;
END CASE;
END IF;
END;
SIGNAL r : registers;
SIGNAL rin : registers;
SIGNAL wpr : watchpoint_registers;
SIGNAL wprin : watchpoint_registers;
SIGNAL dsur : dsu_registers;
SIGNAL dsuin : dsu_registers;
SIGNAL ir : irestart_register;
SIGNAL irin : irestart_register;
SIGNAL rp : pwd_register_type;
SIGNAL rpin : pwd_register_type;
CONSTANT EXE_AND : std_logic_vector ( 2 downto 0 ) := "000";
CONSTANT EXE_XOR : std_logic_vector ( 2 downto 0 ) := "001";
CONSTANT EXE_OR : std_logic_vector ( 2 downto 0 ) := "010";
CONSTANT EXE_XNOR : std_logic_vector ( 2 downto 0 ) := "011";
CONSTANT EXE_ANDN : std_logic_vector ( 2 downto 0 ) := "100";
CONSTANT EXE_ORN : std_logic_vector ( 2 downto 0 ) := "101";
CONSTANT EXE_DIV : std_logic_vector ( 2 downto 0 ) := "110";
CONSTANT EXE_PASS1 : std_logic_vector ( 2 downto 0 ) := "000";
CONSTANT EXE_PASS2 : std_logic_vector ( 2 downto 0 ) := "001";
CONSTANT EXE_STB : std_logic_vector ( 2 downto 0 ) := "010";
CONSTANT EXE_STH : std_logic_vector ( 2 downto 0 ) := "011";
CONSTANT EXE_ONES : std_logic_vector ( 2 downto 0 ) := "100";
CONSTANT EXE_RDY : std_logic_vector ( 2 downto 0 ) := "101";
CONSTANT EXE_SPR : std_logic_vector ( 2 downto 0 ) := "110";
CONSTANT EXE_LINK : std_logic_vector ( 2 downto 0 ) := "111";
CONSTANT EXE_SLL : std_logic_vector ( 2 downto 0 ) := "001";
CONSTANT EXE_SRL : std_logic_vector ( 2 downto 0 ) := "010";
CONSTANT EXE_SRA : std_logic_vector ( 2 downto 0 ) := "100";
CONSTANT EXE_NOP : std_logic_vector ( 2 downto 0 ) := "000";
CONSTANT EXE_RES_ADD : std_logic_vector ( 1 downto 0 ) := "00";
CONSTANT EXE_RES_SHIFT : std_logic_vector ( 1 downto 0 ) := "01";
CONSTANT EXE_RES_LOGIC : std_logic_vector ( 1 downto 0 ) := "10";
CONSTANT EXE_RES_MISC : std_logic_vector ( 1 downto 0 ) := "11";
CONSTANT SZBYTE : std_logic_vector ( 1 downto 0 ) := "00";
CONSTANT SZHALF : std_logic_vector ( 1 downto 0 ) := "01";
CONSTANT SZWORD : std_logic_vector ( 1 downto 0 ) := "10";
CONSTANT SZDBL : std_logic_vector ( 1 downto 0 ) := "11";
PROCEDURE regaddr (
cwp : std_logic_vector;
reg : std_logic_vector ( 4 downto 0 );
rao : out rfatype
) IS
VARIABLE ra : rfatype;
CONSTANT globals : std_logic_vector ( LOG2 ( 8 + 1 ) + 4 - 5 downto 0 ) := conv_std_logic_vector ( 8 , LOG2 ( 8 + 1 ) + 4 - 4 );
BEGIN
ra := ( OTHERS => '0' );
ra ( 4 downto 0 ) := reg;
IF reg ( 4 downto 3 ) = "00" THEN
ra ( LOG2 ( 8 + 1 ) + 4 - 1 downto 4 ) := CONV_STD_LOGIC_VECTOR ( 8 , LOG2 ( 8 + 1 ) + 4 - 4 );
ELSE
ra ( LOG2 ( 8 ) + 3 downto 4 ) := cwp + ra ( 4 );
END IF;
rao := ra;
END;
FUNCTION branch_address (
inst : word;
pc : pctype
) RETURN std_logic_vector IS
VARIABLE baddr : pctype;
VARIABLE caddr : pctype;
VARIABLE tmp : pctype;
BEGIN
caddr := ( OTHERS => '0' );
caddr ( 31 downto 2 ) := inst ( 29 downto 0 );
caddr ( 31 downto 2 ) := caddr ( 31 downto 2 ) + pc ( 31 downto 2 );
baddr := ( OTHERS => '0' );
baddr ( 31 downto 24 ) := ( OTHERS => inst ( 21 ) );
baddr ( 23 downto 2 ) := inst ( 21 downto 0 );
baddr ( 31 downto 2 ) := baddr ( 31 downto 2 ) + pc ( 31 downto 2 );
IF inst ( 30 ) = '1' THEN
tmp := caddr;
ELSE
tmp := baddr;
END IF;
RETURN ( tmp );
END;
FUNCTION branch_true (
icc : std_logic_vector ( 3 downto 0 );
inst : word
) RETURN std_ulogic IS
VARIABLE n : std_ulogic;
VARIABLE z : std_ulogic;
VARIABLE v : std_ulogic;
VARIABLE c : std_ulogic;
VARIABLE branch : std_ulogic;
BEGIN
n := icc ( 3 );
z := icc ( 2 );
v := icc ( 1 );
c := icc ( 0 );
CASE inst ( 27 downto 25 ) IS
WHEN "000" =>
branch := inst ( 28 ) xor '0';
WHEN "001" =>
branch := inst ( 28 ) xor z;
WHEN "010" =>
branch := inst ( 28 ) xor ( z or ( n xor v ) );
WHEN "011" =>
branch := inst ( 28 ) xor ( n xor v );
WHEN "100" =>
branch := inst ( 28 ) xor ( c or z );
WHEN "101" =>
branch := inst ( 28 ) xor c;
WHEN "110" =>
branch := inst ( 28 ) xor n;
WHEN OTHERS =>
branch := inst ( 28 ) xor v;
END CASE;
RETURN ( branch );
END;
PROCEDURE su_et_select (
r : in registers;
xc_ps : in std_ulogic;
xc_s : in std_ulogic;
xc_et : in std_ulogic;
su : out std_ulogic;
et : out std_ulogic
) IS
BEGIN
IF ( ( r.a.ctrl.rett or r.e.ctrl.rett or r.m.ctrl.rett or r.x.ctrl.rett ) = '1' ) and ( r.x.annul_all = '0' ) THEN
su := xc_ps;
et := '1';
ELSE
su := xc_s;
et := xc_et;
END IF;
END;
FUNCTION wphit (
r : registers;
wpr : watchpoint_registers;
debug : l3_debug_in_type
) RETURN std_ulogic IS
VARIABLE exc : std_ulogic;
BEGIN
exc := '0';
IF ( ( wpr ( 0 ).exec and r.a.ctrl.pv and not r.a.ctrl.annul ) = '1' ) THEN
IF ( ( ( wpr ( 0 ).addr xor r.a.ctrl.pc ( 31 downto 2 ) ) and wpr ( 0 ).mask ) = Zero32 ( 31 downto 2 ) ) THEN
exc := '1';
END IF;
END IF;
IF ( ( wpr ( 1 ).exec and r.a.ctrl.pv and not r.a.ctrl.annul ) = '1' ) THEN
IF ( ( ( wpr ( 1 ).addr xor r.a.ctrl.pc ( 31 downto 2 ) ) and wpr ( 1 ).mask ) = Zero32 ( 31 downto 2 ) ) THEN
exc := '1';
END IF;
END IF;
IF ( debug.dsuen and not r.a.ctrl.annul ) = '1' THEN
exc := exc or ( r.a.ctrl.pv and ( ( debug.dbreak and debug.bwatch ) or r.a.step ) );
END IF;
RETURN ( exc );
END;
FUNCTION shift3 (
r : registers;
aluin1 : word;
aluin2 : word
) RETURN word IS
VARIABLE shiftin : unsigned ( 63 downto 0 );
VARIABLE shiftout : unsigned ( 63 downto 0 );
VARIABLE cnt : natural RANGE 0 to 31;
BEGIN
cnt := conv_integer ( r.e.shcnt );
IF r.e.shleft = '1' THEN
shiftin ( 30 downto 0 ) := ( OTHERS => '0' );
shiftin ( 63 downto 31 ) := '0' & unsigned ( aluin1 );
ELSE
shiftin ( 63 downto 32 ) := ( OTHERS => r.e.sari );
shiftin ( 31 downto 0 ) := unsigned ( aluin1 );
END IF;
shiftout := SHIFT_RIGHT ( shiftin , cnt );
RETURN ( std_logic_vector ( shiftout ( 31 downto 0 ) ) );
END;
FUNCTION shift2 (
r : registers;
aluin1 : word;
aluin2 : word
) RETURN word IS
VARIABLE ushiftin : unsigned ( 31 downto 0 );
VARIABLE sshiftin : signed ( 32 downto 0 );
VARIABLE cnt : natural RANGE 0 to 31;
BEGIN
cnt := conv_integer ( r.e.shcnt );
ushiftin := unsigned ( aluin1 );
sshiftin := signed ( '0' & aluin1 );
IF r.e.shleft = '1' THEN
RETURN ( std_logic_vector ( SHIFT_LEFT ( ushiftin , cnt ) ) );
ELSE
IF r.e.sari = '1' THEN
sshiftin ( 32 ) := aluin1 ( 31 );
END IF;
sshiftin := SHIFT_RIGHT ( sshiftin , cnt );
RETURN ( std_logic_vector ( sshiftin ( 31 downto 0 ) ) );
END IF;
END;
FUNCTION shift (
r : registers;
aluin1 : word;
aluin2 : word;
shiftcnt : std_logic_vector ( 4 downto 0 );
sari : std_ulogic
) RETURN word IS
VARIABLE shiftin : std_logic_vector ( 63 downto 0 );
BEGIN
shiftin := zero32 & aluin1;
IF r.e.shleft = '1' THEN
shiftin ( 31 downto 0 ) := zero32;
shiftin ( 63 downto 31 ) := '0' & aluin1;
ELSE
shiftin ( 63 downto 32 ) := ( OTHERS => sari );
END IF;
IF shiftcnt ( 4 ) = '1' THEN
shiftin ( 47 downto 0 ) := shiftin ( 63 downto 16 );
END IF;
IF shiftcnt ( 3 ) = '1' THEN
shiftin ( 39 downto 0 ) := shiftin ( 47 downto 8 );
END IF;
IF shiftcnt ( 2 ) = '1' THEN
shiftin ( 35 downto 0 ) := shiftin ( 39 downto 4 );
END IF;
IF shiftcnt ( 1 ) = '1' THEN
shiftin ( 33 downto 0 ) := shiftin ( 35 downto 2 );
END IF;
IF shiftcnt ( 0 ) = '1' THEN
shiftin ( 31 downto 0 ) := shiftin ( 32 downto 1 );
END IF;
RETURN ( shiftin ( 31 downto 0 ) );
END;
PROCEDURE exception_detect (
r : registers;
wpr : watchpoint_registers;
dbgi : l3_debug_in_type;
trapin : in std_ulogic;
ttin : in std_logic_vector ( 5 downto 0 );
trap : out std_ulogic;
tt : out std_logic_vector ( 5 downto 0 )
) IS
VARIABLE illegal_inst : std_ulogic;
VARIABLE privileged_inst : std_ulogic;
VARIABLE cp_disabled : std_ulogic;
VARIABLE fp_disabled : std_ulogic;
VARIABLE fpop : std_ulogic;
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op2 : std_logic_vector ( 2 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE rd : std_logic_vector ( 4 downto 0 );
VARIABLE inst : word;
VARIABLE wph : std_ulogic;
BEGIN
inst := r.a.ctrl.inst;
trap := trapin;
tt := ttin;
IF r.a.ctrl.annul = '0' THEN
op := inst ( 31 downto 30 );
op2 := inst ( 24 downto 22 );
op3 := inst ( 24 downto 19 );
rd := inst ( 29 downto 25 );
illegal_inst := '0';
privileged_inst := '0';
cp_disabled := '0';
fp_disabled := '0';
fpop := '0';
CASE op IS
WHEN CALL =>
NULL;
WHEN FMT2 =>
CASE op2 IS
WHEN SETHI | BICC =>
NULL;
WHEN FBFCC =>
fp_disabled := '1';
WHEN CBCCC =>
cp_disabled := '1';
WHEN OTHERS =>
illegal_inst := '1';
END CASE;
WHEN FMT3 =>
CASE op3 IS
WHEN IAND | ANDCC | ANDN | ANDNCC | IOR | ORCC | ORN | ORNCC | IXOR | XORCC | IXNOR | XNORCC | ISLL | ISRL | ISRA | MULSCC | IADD | ADDX | ADDCC | ADDXCC | ISUB | SUBX | SUBCC | SUBXCC | FLUSH | JMPL | TICC | SAVE | RESTORE | RDY =>
NULL;
WHEN TADDCC | TADDCCTV | TSUBCC | TSUBCCTV =>
NULL;
WHEN UMAC | SMAC =>
illegal_inst := '1';
WHEN UMUL | SMUL | UMULCC | SMULCC =>
NULL;
WHEN UDIV | SDIV | UDIVCC | SDIVCC =>
NULL;
WHEN RETT =>
illegal_inst := r.a.et;
privileged_inst := not r.a.su;
WHEN RDPSR | RDTBR | RDWIM =>
privileged_inst := not r.a.su;
WHEN WRY =>
NULL;
WHEN WRPSR =>
privileged_inst := not r.a.su;
WHEN WRWIM | WRTBR =>
privileged_inst := not r.a.su;
WHEN FPOP1 | FPOP2 =>
fp_disabled := '1';
fpop := '0';
WHEN CPOP1 | CPOP2 =>
cp_disabled := '1';
WHEN OTHERS =>
illegal_inst := '1';
END CASE;
WHEN OTHERS =>
CASE op3 IS
WHEN LDD | ISTD =>
illegal_inst := rd ( 0 );
WHEN LD | LDUB | LDSTUB | LDUH | LDSB | LDSH | ST | STB | STH | SWAP =>
NULL;
WHEN LDDA | STDA =>
illegal_inst := inst ( 13 ) or rd ( 0 );
privileged_inst := not r.a.su;
WHEN LDA | LDUBA | LDSTUBA | LDUHA | LDSBA | LDSHA | STA | STBA | STHA | SWAPA =>
illegal_inst := inst ( 13 );
privileged_inst := not r.a.su;
WHEN LDDF | STDF | LDF | LDFSR | STF | STFSR =>
fp_disabled := '1';
WHEN STDFQ =>
privileged_inst := not r.a.su;
fp_disabled := '1';
WHEN STDCQ =>
privileged_inst := not r.a.su;
cp_disabled := '1';
WHEN LDC | LDCSR | LDDC | STC | STCSR | STDC =>
cp_disabled := '1';
WHEN OTHERS =>
illegal_inst := '1';
END CASE;
END CASE;
wph := wphit ( r , wpr , dbgi );
trap := '1';
IF r.a.ctrl.trap = '1' THEN
tt := TT_IAEX;
ELSIF privileged_inst = '1' THEN
tt := TT_PRIV;
ELSIF illegal_inst = '1' THEN
tt := TT_IINST;
ELSIF fp_disabled = '1' THEN
tt := TT_FPDIS;
ELSIF cp_disabled = '1' THEN
tt := TT_CPDIS;
ELSIF wph = '1' THEN
tt := TT_WATCH;
ELSIF r.a.wovf = '1' THEN
tt := TT_WINOF;
ELSIF r.a.wunf = '1' THEN
tt := TT_WINUF;
ELSIF r.a.ticc = '1' THEN
tt := TT_TICC;
ELSE
trap := '0';
tt := ( OTHERS => '0' );
END IF;
END IF;
END;
PROCEDURE wicc_y_gen (
inst : word;
wicc : out std_ulogic;
wy : out std_ulogic
) IS
BEGIN
wicc := '0';
wy := '0';
IF inst ( 31 downto 30 ) = FMT3 THEN
CASE inst ( 24 downto 19 ) IS
WHEN SUBCC | TSUBCC | TSUBCCTV | ADDCC | ANDCC | ORCC | XORCC | ANDNCC | ORNCC | XNORCC | TADDCC | TADDCCTV | ADDXCC | SUBXCC | WRPSR =>
wicc := '1';
WHEN WRY =>
IF r.d.inst ( conv_integer ( r.d.set ) ) ( 29 downto 25 ) = "00000" THEN
wy := '1';
END IF;
WHEN MULSCC =>
wicc := '1';
wy := '1';
WHEN UMAC | SMAC =>
NULL;
WHEN UMULCC | SMULCC =>
IF ( mulo.nready = '1' ) and ( r.d.cnt /= "00" ) THEN
wicc := '1';
wy := '1';
END IF;
WHEN UMUL | SMUL =>
IF ( mulo.nready = '1' ) and ( r.d.cnt /= "00" ) THEN
wy := '1';
END IF;
WHEN UDIVCC | SDIVCC =>
IF ( divo.nready = '1' ) and ( r.d.cnt /= "00" ) THEN
wicc := '1';
END IF;
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END;
PROCEDURE cwp_gen (
r : registers;
v : registers;
annul : std_ulogic;
wcwp : std_ulogic;
ncwp : cwptype;
cwp : out cwptype
) IS
BEGIN
IF ( r.x.rstate = trap ) or ( r.x.rstate = dsu2 ) or ( rstn = '0' ) THEN
cwp := v.w.s.cwp;
ELSIF ( wcwp = '1' ) and ( annul = '0' ) THEN
cwp := ncwp;
ELSIF r.m.wcwp = '1' THEN
cwp := r.m.result ( LOG2 ( 8 ) - 1 downto 0 );
ELSE
cwp := r.d.cwp;
END IF;
END;
PROCEDURE cwp_ex (
r : in registers;
wcwp : out std_ulogic
) IS
BEGIN
IF ( r.e.ctrl.inst ( 31 downto 30 ) = FMT3 ) and ( r.e.ctrl.inst ( 24 downto 19 ) = WRPSR ) THEN
wcwp := not r.e.ctrl.annul;
ELSE
wcwp := '0';
END IF;
END;
PROCEDURE cwp_ctrl (
r : in registers;
xc_wim : in std_logic_vector ( 8 - 1 downto 0 );
inst : word;
de_cwp : out cwptype;
wovf_exc : out std_ulogic;
wunf_exc : out std_ulogic;
wcwp : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE wim : word;
VARIABLE ncwp : cwptype;
BEGIN
op := inst ( 31 downto 30 );
op3 := inst ( 24 downto 19 );
wovf_exc := '0';
wunf_exc := '0';
wim := ( OTHERS => '0' );
wim ( 8 - 1 downto 0 ) := xc_wim;
ncwp := r.d.cwp;
wcwp := '0';
IF ( op = FMT3 ) and ( ( op3 = RETT ) or ( op3 = RESTORE ) or ( op3 = SAVE ) ) THEN
wcwp := '1';
IF ( op3 = SAVE ) THEN
ncwp := r.d.cwp - 1;
ELSE
ncwp := r.d.cwp + 1;
END IF;
IF wim ( conv_integer ( ncwp ) ) = '1' THEN
IF op3 = SAVE THEN
wovf_exc := '1';
ELSE
wunf_exc := '1';
END IF;
END IF;
END IF;
de_cwp := ncwp;
END;
PROCEDURE rs1_gen (
r : registers;
inst : word;
rs1 : out std_logic_vector ( 4 downto 0 );
rs1mod : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
BEGIN
op := inst ( 31 downto 30 );
op3 := inst ( 24 downto 19 );
rs1 := inst ( 18 downto 14 );
rs1mod := '0';
IF ( op = LDST ) THEN
IF ( ( r.d.cnt = "01" ) and ( ( op3 ( 2 ) and not op3 ( 3 ) ) = '1' ) ) or ( r.d.cnt = "10" ) THEN
rs1mod := '1';
rs1 := inst ( 29 downto 25 );
END IF;
IF ( ( r.d.cnt = "10" ) and ( op3 ( 3 downto 0 ) = "0111" ) ) THEN
rs1 ( 0 ) := '1';
END IF;
END IF;
END;
PROCEDURE lock_gen (
r : registers;
rs2 : std_logic_vector ( 4 downto 0 );
rd : std_logic_vector ( 4 downto 0 );
rfa1 : rfatype;
rfa2 : rfatype;
rfrd : rfatype;
inst : word;
fpc_lock : std_ulogic;
mulinsn : std_ulogic;
divinsn : std_ulogic;
lldcheck1 : out std_ulogic;
lldcheck2 : out std_ulogic;
lldlock : out std_ulogic;
lldchkra : out std_ulogic;
lldchkex : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op2 : std_logic_vector ( 2 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE cond : std_logic_vector ( 3 downto 0 );
VARIABLE rs1 : std_logic_vector ( 4 downto 0 );
VARIABLE i : std_ulogic;
VARIABLE ldcheck1 : std_ulogic;
VARIABLE ldcheck2 : std_ulogic;
VARIABLE ldchkra : std_ulogic;
VARIABLE ldchkex : std_ulogic;
VARIABLE ldcheck3 : std_ulogic;
VARIABLE ldlock : std_ulogic;
VARIABLE icc_check : std_ulogic;
VARIABLE bicc_hold : std_ulogic;
VARIABLE chkmul : std_ulogic;
VARIABLE y_check : std_ulogic;
VARIABLE lddlock : boolean;
BEGIN
op := inst ( 31 downto 30 );
op3 := inst ( 24 downto 19 );
op2 := inst ( 24 downto 22 );
cond := inst ( 28 downto 25 );
rs1 := inst ( 18 downto 14 );
lddlock := false;
i := inst ( 13 );
ldcheck1 := '0';
ldcheck2 := '0';
ldcheck3 := '0';
ldlock := '0';
ldchkra := '1';
ldchkex := '1';
icc_check := '0';
bicc_hold := '0';
y_check := '0';
IF ( r.d.annul = '0' ) THEN
CASE op IS
WHEN FMT2 =>
IF ( op2 = BICC ) and ( cond ( 2 downto 0 ) /= "000" ) THEN
icc_check := '1';
END IF;
WHEN FMT3 =>
ldcheck1 := '1';
ldcheck2 := not i;
CASE op3 IS
WHEN TICC =>
IF ( cond ( 2 downto 0 ) /= "000" ) THEN
icc_check := '1';
END IF;
WHEN RDY =>
ldcheck1 := '0';
ldcheck2 := '0';
WHEN RDWIM | RDTBR =>
ldcheck1 := '0';
ldcheck2 := '0';
WHEN RDPSR =>
ldcheck1 := '0';
ldcheck2 := '0';
icc_check := '1';
icc_check := '1';
WHEN SDIV | SDIVCC | UDIV | UDIVCC =>
y_check := '1';
WHEN FPOP1 | FPOP2 =>
ldcheck1 := '0';
ldcheck2 := '0';
WHEN OTHERS =>
NULL;
END CASE;
WHEN LDST =>
ldcheck1 := '1';
ldchkra := '0';
CASE r.d.cnt IS
WHEN "00" =>
ldcheck2 := not i;
ldchkra := '1';
WHEN "01" =>
ldcheck2 := not i;
WHEN OTHERS =>
ldchkex := '0';
END CASE;
IF ( op3 ( 2 downto 0 ) = "011" ) THEN
lddlock := true;
END IF;
WHEN OTHERS =>
NULL;
END CASE;
END IF;
chkmul := mulinsn;
bicc_hold := bicc_hold or ( icc_check and r.m.ctrl.wicc and ( r.m.ctrl.cnt ( 0 ) or r.m.mul ) );
bicc_hold := bicc_hold or ( y_check and ( r.a.ctrl.wy or r.e.ctrl.wy ) );
chkmul := chkmul or divinsn;
bicc_hold := bicc_hold or ( icc_check and ( r.a.ctrl.wicc or r.e.ctrl.wicc ) );
IF ( ( ( r.a.ctrl.ld or chkmul ) and r.a.ctrl.wreg and ldchkra ) = '1' ) and ( ( ( ldcheck1 = '1' ) and ( r.a.ctrl.rd = rfa1 ) ) or ( ( ldcheck2 = '1' ) and ( r.a.ctrl.rd = rfa2 ) ) or ( ( ldcheck3 = '1' ) and ( r.a.ctrl.rd = rfrd ) ) ) THEN
ldlock := '1';
END IF;
IF ( ( ( r.e.ctrl.ld or r.e.mac ) and r.e.ctrl.wreg and ldchkex ) = '1' ) and ( ( ( ldcheck1 = '1' ) and ( r.e.ctrl.rd = rfa1 ) ) or ( ( ldcheck2 = '1' ) and ( r.e.ctrl.rd = rfa2 ) ) ) THEN
ldlock := '1';
END IF;
ldlock := ldlock or bicc_hold or fpc_lock;
lldcheck1 := ldcheck1;
lldcheck2 := ldcheck2;
lldlock := ldlock;
lldchkra := ldchkra;
lldchkex := ldchkex;
END;
PROCEDURE fpbranch (
inst : in word;
fcc : in std_logic_vector ( 1 downto 0 );
branch : out std_ulogic
) IS
VARIABLE cond : std_logic_vector ( 3 downto 0 );
VARIABLE fbres : std_ulogic;
BEGIN
cond := inst ( 28 downto 25 );
CASE cond ( 2 downto 0 ) IS
WHEN "000" =>
fbres := '0';
WHEN "001" =>
fbres := fcc ( 1 ) or fcc ( 0 );
WHEN "010" =>
fbres := fcc ( 1 ) xor fcc ( 0 );
WHEN "011" =>
fbres := fcc ( 0 );
WHEN "100" =>
fbres := ( not fcc ( 1 ) ) and fcc ( 0 );
WHEN "101" =>
fbres := fcc ( 1 );
WHEN "110" =>
fbres := fcc ( 1 ) and not fcc ( 0 );
WHEN OTHERS =>
fbres := fcc ( 1 ) and fcc ( 0 );
END CASE;
branch := cond ( 3 ) xor fbres;
END;
PROCEDURE ic_ctrl (
r : registers;
inst : word;
annul_all : in std_ulogic;
ldlock : in std_ulogic;
branch_true : in std_ulogic;
fbranch_true : in std_ulogic;
cbranch_true : in std_ulogic;
fccv : in std_ulogic;
cccv : in std_ulogic;
cnt : out std_logic_vector ( 1 downto 0 );
de_pc : out pctype;
de_branch : out std_ulogic;
ctrl_annul : out std_ulogic;
de_annul : out std_ulogic;
jmpl_inst : out std_ulogic;
inull : out std_ulogic;
de_pv : out std_ulogic;
ctrl_pv : out std_ulogic;
de_hold_pc : out std_ulogic;
ticc_exception : out std_ulogic;
rett_inst : out std_ulogic;
mulstart : out std_ulogic;
divstart : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op2 : std_logic_vector ( 2 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE cond : std_logic_vector ( 3 downto 0 );
VARIABLE hold_pc : std_ulogic;
VARIABLE annul_current : std_ulogic;
VARIABLE annul_next : std_ulogic;
VARIABLE branch : std_ulogic;
VARIABLE annul : std_ulogic;
VARIABLE pv : std_ulogic;
VARIABLE de_jmpl : std_ulogic;
BEGIN
branch := '0';
annul_next := '0';
annul_current := '0';
pv := '1';
hold_pc := '0';
ticc_exception := '0';
rett_inst := '0';
op := inst ( 31 downto 30 );
op3 := inst ( 24 downto 19 );
op2 := inst ( 24 downto 22 );
cond := inst ( 28 downto 25 );
annul := inst ( 29 );
de_jmpl := '0';
cnt := "00";
mulstart := '0';
divstart := '0';
IF r.d.annul = '0' THEN
CASE inst ( 31 downto 30 ) IS
WHEN CALL =>
branch := '1';
IF r.d.inull = '1' THEN
hold_pc := '1';
annul_current := '1';
END IF;
WHEN FMT2 =>
IF ( op2 = BICC ) THEN
branch := branch_true;
IF hold_pc = '0' THEN
IF ( branch = '1' ) THEN
IF ( cond = BA ) and ( annul = '1' ) THEN
annul_next := '1';
END IF;
ELSE
annul_next := annul;
END IF;
IF r.d.inull = '1' THEN
hold_pc := '1';
annul_current := '1';
annul_next := '0';
END IF;
END IF;
END IF;
WHEN FMT3 =>
CASE op3 IS
WHEN UMUL | SMUL | UMULCC | SMULCC =>
CASE r.d.cnt IS
WHEN "00" =>
cnt := "01";
hold_pc := '1';
pv := '0';
mulstart := '1';
WHEN "01" =>
IF mulo.nready = '1' THEN
cnt := "00";
ELSE
cnt := "01";
pv := '0';
hold_pc := '1';
END IF;
WHEN OTHERS =>
NULL;
END CASE;
WHEN UDIV | SDIV | UDIVCC | SDIVCC =>
CASE r.d.cnt IS
WHEN "00" =>
cnt := "01";
hold_pc := '1';
pv := '0';
divstart := '1';
WHEN "01" =>
IF divo.nready = '1' THEN
cnt := "00";
ELSE
cnt := "01";
pv := '0';
hold_pc := '1';
END IF;
WHEN OTHERS =>
NULL;
END CASE;
WHEN TICC =>
IF branch_true = '1' THEN
ticc_exception := '1';
END IF;
WHEN RETT =>
rett_inst := '1';
WHEN JMPL =>
de_jmpl := '1';
WHEN WRY =>
IF FALSE THEN
IF inst ( 29 downto 25 ) = "10011" THEN
CASE r.d.cnt IS
WHEN "00" =>
pv := '0';
cnt := "00";
hold_pc := '1';
IF r.x.ipend = '1' THEN
cnt := "01";
END IF;
WHEN "01" =>
cnt := "00";
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END IF;
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
CASE r.d.cnt IS
WHEN "00" =>
IF ( op3 ( 2 ) = '1' ) or ( op3 ( 1 downto 0 ) = "11" ) THEN
cnt := "01";
hold_pc := '1';
pv := '0';
END IF;
WHEN "01" =>
IF ( op3 ( 2 downto 0 ) = "111" ) or ( op3 ( 3 downto 0 ) = "1101" ) or ( ( ( 0 = 1 ) or ( 0 /= 0 ) ) and ( ( op3 ( 5 ) & op3 ( 2 downto 0 ) ) = "1110" ) ) THEN
cnt := "10";
pv := '0';
hold_pc := '1';
ELSE
cnt := "00";
END IF;
WHEN "10" =>
cnt := "00";
WHEN OTHERS =>
NULL;
END CASE;
END CASE;
END IF;
IF ldlock = '1' THEN
cnt := r.d.cnt;
annul_next := '0';
pv := '1';
END IF;
hold_pc := ( hold_pc or ldlock ) and not annul_all;
IF hold_pc = '1' THEN
de_pc := r.d.pc;
ELSE
de_pc := r.f.pc;
END IF;
annul_current := ( annul_current or ldlock or annul_all );
ctrl_annul := r.d.annul or annul_all or annul_current;
pv := pv and not ( ( r.d.inull and not hold_pc ) or annul_all );
jmpl_inst := de_jmpl and not annul_current;
annul_next := ( r.d.inull and not hold_pc ) or annul_next or annul_all;
IF ( annul_next = '1' ) or ( rstn = '0' ) THEN
cnt := ( OTHERS => '0' );
END IF;
de_hold_pc := hold_pc;
de_branch := branch;
de_annul := annul_next;
de_pv := pv;
ctrl_pv := r.d.pv and not ( ( r.d.annul and not r.d.pv ) or annul_all or annul_current );
inull := ( not rstn ) or r.d.inull or hold_pc or annul_all;
END;
PROCEDURE rd_gen (
r : registers;
inst : word;
wreg : out std_ulogic;
ld : out std_ulogic;
rdo : out std_logic_vector ( 4 downto 0 )
) IS
VARIABLE write_reg : std_ulogic;
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op2 : std_logic_vector ( 2 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE rd : std_logic_vector ( 4 downto 0 );
BEGIN
op := inst ( 31 downto 30 );
op2 := inst ( 24 downto 22 );
op3 := inst ( 24 downto 19 );
write_reg := '0';
rd := inst ( 29 downto 25 );
ld := '0';
CASE op IS
WHEN CALL =>
write_reg := '1';
rd := "01111";
WHEN FMT2 =>
IF ( op2 = SETHI ) THEN
write_reg := '1';
END IF;
WHEN FMT3 =>
CASE op3 IS
WHEN UMUL | SMUL | UMULCC | SMULCC =>
IF ( ( ( mulo.nready = '1' ) and ( r.d.cnt /= "00" ) ) ) THEN
write_reg := '1';
END IF;
WHEN UDIV | SDIV | UDIVCC | SDIVCC =>
IF ( divo.nready = '1' ) and ( r.d.cnt /= "00" ) THEN
write_reg := '1';
END IF;
WHEN RETT | WRPSR | WRY | WRWIM | WRTBR | TICC | FLUSH =>
NULL;
WHEN FPOP1 | FPOP2 =>
NULL;
WHEN CPOP1 | CPOP2 =>
NULL;
WHEN OTHERS =>
write_reg := '1';
END CASE;
WHEN OTHERS =>
ld := not op3 ( 2 );
IF ( op3 ( 2 ) = '0' ) and not ( ( ( 0 = 1 ) or ( 0 /= 0 ) ) and ( op3 ( 5 ) = '1' ) ) THEN
write_reg := '1';
END IF;
CASE op3 IS
WHEN SWAP | SWAPA | LDSTUB | LDSTUBA =>
IF r.d.cnt = "00" THEN
write_reg := '1';
ld := '1';
END IF;
WHEN OTHERS =>
NULL;
END CASE;
IF r.d.cnt = "01" THEN
CASE op3 IS
WHEN LDD | LDDA | LDDC | LDDF =>
rd ( 0 ) := '1';
WHEN OTHERS =>
NULL;
END CASE;
END IF;
END CASE;
IF ( rd = "00000" ) THEN
write_reg := '0';
END IF;
wreg := write_reg;
rdo := rd;
END;
FUNCTION imm_data (
r : registers;
insn : word
) RETURN word IS
VARIABLE immediate_data : word;
VARIABLE inst : word;
BEGIN
immediate_data := ( OTHERS => '0' );
inst := insn;
CASE inst ( 31 downto 30 ) IS
WHEN FMT2 =>
immediate_data := inst ( 21 downto 0 ) & "0000000000";
WHEN OTHERS =>
immediate_data ( 31 downto 13 ) := ( OTHERS => inst ( 12 ) );
immediate_data ( 12 downto 0 ) := inst ( 12 downto 0 );
END CASE;
RETURN ( immediate_data );
END;
FUNCTION get_spr (
r : registers
) RETURN word IS
VARIABLE spr : word;
BEGIN
spr := ( OTHERS => '0' );
CASE r.e.ctrl.inst ( 24 downto 19 ) IS
WHEN RDPSR =>
spr ( 31 downto 5 ) := conv_std_logic_vector ( 15 , 4 ) & conv_std_logic_vector ( 3 , 4 ) & r.m.icc & "000000" & r.w.s.ec & r.w.s.ef & r.w.s.pil & r.e.su & r.w.s.ps & r.e.et;
spr ( LOG2 ( 8 ) - 1 downto 0 ) := r.e.cwp;
WHEN RDTBR =>
spr ( 31 downto 4 ) := r.w.s.tba & r.w.s.tt;
WHEN RDWIM =>
spr ( 8 - 1 downto 0 ) := r.w.s.wim;
WHEN OTHERS =>
NULL;
END CASE;
RETURN ( spr );
END;
FUNCTION imm_select (
inst : word
) RETURN boolean IS
VARIABLE imm : boolean;
BEGIN
imm := false;
CASE inst ( 31 downto 30 ) IS
WHEN FMT2 =>
CASE inst ( 24 downto 22 ) IS
WHEN SETHI =>
imm := true;
WHEN OTHERS =>
NULL;
END CASE;
WHEN FMT3 =>
CASE inst ( 24 downto 19 ) IS
WHEN RDWIM | RDPSR | RDTBR =>
imm := true;
WHEN OTHERS =>
IF ( inst ( 13 ) = '1' ) THEN
imm := true;
END IF;
END CASE;
WHEN LDST =>
IF ( inst ( 13 ) = '1' ) THEN
imm := true;
END IF;
WHEN OTHERS =>
NULL;
END CASE;
RETURN ( imm );
END;
PROCEDURE alu_op (
r : in registers;
iop1 : in word;
iop2 : in word;
me_icc : std_logic_vector ( 3 downto 0 );
my : std_ulogic;
ldbp : std_ulogic;
aop1 : out word;
aop2 : out word;
aluop : out std_logic_vector ( 2 downto 0 );
alusel : out std_logic_vector ( 1 downto 0 );
aluadd : out std_ulogic;
shcnt : out std_logic_vector ( 4 downto 0 );
sari : out std_ulogic;
shleft : out std_ulogic;
ymsb : out std_ulogic;
mulins : out std_ulogic;
divins : out std_ulogic;
mulstep : out std_ulogic;
macins : out std_ulogic;
ldbp2 : out std_ulogic;
invop2 : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op2 : std_logic_vector ( 2 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE rd : std_logic_vector ( 4 downto 0 );
VARIABLE icc : std_logic_vector ( 3 downto 0 );
VARIABLE y0 : std_ulogic;
BEGIN
op := r.a.ctrl.inst ( 31 downto 30 );
op2 := r.a.ctrl.inst ( 24 downto 22 );
op3 := r.a.ctrl.inst ( 24 downto 19 );
aop1 := iop1;
aop2 := iop2;
ldbp2 := ldbp;
aluop := "000";
alusel := "11";
aluadd := '1';
shcnt := iop2 ( 4 downto 0 );
sari := '0';
shleft := '0';
invop2 := '0';
ymsb := iop1 ( 0 );
mulins := '0';
divins := '0';
mulstep := '0';
macins := '0';
IF r.e.ctrl.wy = '1' THEN
y0 := my;
ELSIF r.m.ctrl.wy = '1' THEN
y0 := r.m.y ( 0 );
ELSIF r.x.ctrl.wy = '1' THEN
y0 := r.x.y ( 0 );
ELSE
y0 := r.w.s.y ( 0 );
END IF;
IF r.e.ctrl.wicc = '1' THEN
icc := me_icc;
ELSIF r.m.ctrl.wicc = '1' THEN
icc := r.m.icc;
ELSIF r.x.ctrl.wicc = '1' THEN
icc := r.x.icc;
ELSE
icc := r.w.s.icc;
END IF;
CASE op IS
WHEN CALL =>
aluop := "111";
WHEN FMT2 =>
CASE op2 IS
WHEN SETHI =>
aluop := "001";
WHEN OTHERS =>
NULL;
END CASE;
WHEN FMT3 =>
CASE op3 IS
WHEN IADD | ADDX | ADDCC | ADDXCC | TADDCC | TADDCCTV | SAVE | RESTORE | TICC | JMPL | RETT =>
alusel := "00";
WHEN ISUB | SUBX | SUBCC | SUBXCC | TSUBCC | TSUBCCTV =>
alusel := "00";
aluadd := '0';
aop2 := not iop2;
invop2 := '1';
WHEN MULSCC =>
alusel := "00";
aop1 := ( icc ( 3 ) xor icc ( 1 ) ) & iop1 ( 31 downto 1 );
IF y0 = '0' THEN
aop2 := ( OTHERS => '0' );
ldbp2 := '0';
END IF;
mulstep := '1';
WHEN UMUL | UMULCC | SMUL | SMULCC =>
mulins := '1';
WHEN UMAC | SMAC =>
NULL;
WHEN UDIV | UDIVCC | SDIV | SDIVCC =>
aluop := "110";
alusel := "10";
divins := '1';
WHEN IAND | ANDCC =>
aluop := "000";
alusel := "10";
WHEN ANDN | ANDNCC =>
aluop := "100";
alusel := "10";
WHEN IOR | ORCC =>
aluop := "010";
alusel := "10";
WHEN ORN | ORNCC =>
aluop := "101";
alusel := "10";
WHEN IXNOR | XNORCC =>
aluop := "011";
alusel := "10";
WHEN XORCC | IXOR | WRPSR | WRWIM | WRTBR | WRY =>
aluop := "001";
alusel := "10";
WHEN RDPSR | RDTBR | RDWIM =>
aluop := "110";
WHEN RDY =>
aluop := "101";
WHEN ISLL =>
aluop := "001";
alusel := "01";
shleft := '1';
shcnt := not iop2 ( 4 downto 0 );
invop2 := '1';
WHEN ISRL =>
aluop := "010";
alusel := "01";
WHEN ISRA =>
aluop := "100";
alusel := "01";
sari := iop1 ( 31 );
WHEN FPOP1 | FPOP2 =>
NULL;
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
CASE r.a.ctrl.cnt IS
WHEN "00" =>
alusel := "00";
WHEN "01" =>
CASE op3 IS
WHEN LDD | LDDA | LDDC =>
alusel := "00";
WHEN LDDF =>
alusel := "00";
WHEN SWAP | SWAPA | LDSTUB | LDSTUBA =>
alusel := "00";
WHEN STF | STDF =>
NULL;
WHEN OTHERS =>
aluop := "000";
IF op3 ( 2 ) = '1' THEN
IF op3 ( 1 downto 0 ) = "01" THEN
aluop := "010";
ELSIF op3 ( 1 downto 0 ) = "10" THEN
aluop := "011";
END IF;
END IF;
END CASE;
WHEN "10" =>
aluop := "000";
IF op3 ( 2 ) = '1' THEN
IF ( op3 ( 3 ) and not op3 ( 1 ) ) = '1' THEN
aluop := "100";
END IF;
END IF;
WHEN OTHERS =>
NULL;
END CASE;
END CASE;
END;
FUNCTION ra_inull_gen (
r : registers;
v : registers
) RETURN std_ulogic IS
VARIABLE de_inull : std_ulogic;
BEGIN
de_inull := '0';
IF ( ( v.e.jmpl or v.e.ctrl.rett ) and not v.e.ctrl.annul and not ( r.e.jmpl and not r.e.ctrl.annul ) ) = '1' THEN
de_inull := '1';
END IF;
IF ( ( v.a.jmpl or v.a.ctrl.rett ) and not v.a.ctrl.annul and not ( r.a.jmpl and not r.a.ctrl.annul ) ) = '1' THEN
de_inull := '1';
END IF;
RETURN ( de_inull );
END;
PROCEDURE op_mux (
r : in registers;
rfd : in word;
ed : in word;
md : in word;
xd : in word;
im : in word;
rsel : in std_logic_vector ( 2 downto 0 );
ldbp : out std_ulogic;
d : out word
) IS
BEGIN
ldbp := '0';
CASE rsel IS
WHEN "000" =>
d := rfd;
WHEN "001" =>
d := ed;
WHEN "010" =>
d := md;
ldbp := r.m.ctrl.ld;
WHEN "011" =>
d := xd;
WHEN "100" =>
d := im;
WHEN "101" =>
d := ( OTHERS => '0' );
WHEN "110" =>
d := r.w.result;
WHEN OTHERS =>
d := ( OTHERS => '-' );
END CASE;
END;
PROCEDURE op_find (
r : in registers;
ldchkra : std_ulogic;
ldchkex : std_ulogic;
rs1 : std_logic_vector ( 4 downto 0 );
ra : rfatype;
im : boolean;
rfe : out std_ulogic;
osel : out std_logic_vector ( 2 downto 0 );
ldcheck : std_ulogic
) IS
BEGIN
rfe := '0';
IF im THEN
osel := "100";
ELSIF rs1 = "00000" THEN
osel := "101";
ELSIF ( ( r.a.ctrl.wreg and ldchkra ) = '1' ) and ( ra = r.a.ctrl.rd ) THEN
osel := "001";
ELSIF ( ( r.e.ctrl.wreg and ldchkex ) = '1' ) and ( ra = r.e.ctrl.rd ) THEN
osel := "010";
ELSIF r.m.ctrl.wreg = '1' and ( ra = r.m.ctrl.rd ) THEN
osel := "011";
ELSE
osel := "000";
rfe := ldcheck;
END IF;
END;
PROCEDURE cin_gen (
r : registers;
me_cin : in std_ulogic;
cin : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE ncin : std_ulogic;
BEGIN
op := r.a.ctrl.inst ( 31 downto 30 );
op3 := r.a.ctrl.inst ( 24 downto 19 );
IF r.e.ctrl.wicc = '1' THEN
ncin := me_cin;
ELSE
ncin := r.m.icc ( 0 );
END IF;
cin := '0';
CASE op IS
WHEN FMT3 =>
CASE op3 IS
WHEN ISUB | SUBCC | TSUBCC | TSUBCCTV =>
cin := '1';
WHEN ADDX | ADDXCC =>
cin := ncin;
WHEN SUBX | SUBXCC =>
cin := not ncin;
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
NULL;
END CASE;
END;
PROCEDURE logic_op (
r : registers;
aluin1 : word;
aluin2 : word;
mey : word;
ymsb : std_ulogic;
logicres : out word;
y : out word
) IS
VARIABLE logicout : word;
BEGIN
CASE r.e.aluop IS
WHEN "000" =>
logicout := aluin1 and aluin2;
WHEN "100" =>
logicout := aluin1 and not aluin2;
WHEN "010" =>
logicout := aluin1 or aluin2;
WHEN "101" =>
logicout := aluin1 or not aluin2;
WHEN "001" =>
logicout := aluin1 xor aluin2;
WHEN "011" =>
logicout := aluin1 xor not aluin2;
WHEN "110" =>
logicout := aluin2;
WHEN OTHERS =>
logicout := ( OTHERS => '-' );
END CASE;
IF ( r.e.ctrl.wy and r.e.mulstep ) = '1' THEN
y := ymsb & r.m.y ( 31 downto 1 );
ELSIF r.e.ctrl.wy = '1' THEN
y := logicout;
ELSIF r.m.ctrl.wy = '1' THEN
y := mey;
ELSIF r.x.ctrl.wy = '1' THEN
y := r.x.y;
ELSE
y := r.w.s.y;
END IF;
logicres := logicout;
END;
PROCEDURE misc_op (
r : registers;
wpr : watchpoint_registers;
aluin1 : word;
aluin2 : word;
ldata : word;
mey : word;
mout : out word;
edata : out word
) IS
VARIABLE miscout : word;
VARIABLE bpdata : word;
VARIABLE stdata : word;
VARIABLE wpi : integer;
BEGIN
wpi := 0;
miscout := r.e.ctrl.pc ( 31 downto 2 ) & "00";
edata := aluin1;
bpdata := aluin1;
IF ( ( r.x.ctrl.wreg and r.x.ctrl.ld and not r.x.ctrl.annul ) = '1' ) and ( r.x.ctrl.rd = r.e.ctrl.rd ) and ( r.e.ctrl.inst ( 31 downto 30 ) = LDST ) and ( r.e.ctrl.cnt /= "10" ) THEN
bpdata := ldata;
END IF;
CASE r.e.aluop IS
WHEN "010" =>
miscout := bpdata ( 7 downto 0 ) & bpdata ( 7 downto 0 ) & bpdata ( 7 downto 0 ) & bpdata ( 7 downto 0 );
edata := miscout;
WHEN "011" =>
miscout := bpdata ( 15 downto 0 ) & bpdata ( 15 downto 0 );
edata := miscout;
WHEN "000" =>
miscout := bpdata;
edata := miscout;
WHEN "001" =>
miscout := aluin2;
WHEN "100" =>
miscout := ( OTHERS => '1' );
edata := miscout;
WHEN "101" =>
IF ( r.m.ctrl.wy = '1' ) THEN
miscout := mey;
ELSE
miscout := r.m.y;
END IF;
IF ( r.e.ctrl.inst ( 18 downto 17 ) = "11" ) THEN
wpi := conv_integer ( r.e.ctrl.inst ( 16 downto 15 ) );
IF r.e.ctrl.inst ( 14 ) = '0' THEN
miscout := wpr ( wpi ).addr & '0' & wpr ( wpi ).exec;
ELSE
miscout := wpr ( wpi ).mask & wpr ( wpi ).load & wpr ( wpi ).store;
END IF;
END IF;
IF ( r.e.ctrl.inst ( 18 downto 17 ) = "10" ) and ( r.e.ctrl.inst ( 14 ) = '1' ) THEN
miscout := asr17_gen ( r );
END IF;
WHEN "110" =>
miscout := get_spr ( r );
WHEN OTHERS =>
NULL;
END CASE;
mout := miscout;
END;
PROCEDURE alu_select (
r : registers;
addout : std_logic_vector ( 32 downto 0 );
op1 : word;
op2 : word;
shiftout : word;
logicout : word;
miscout : word;
res : out word;
me_icc : std_logic_vector ( 3 downto 0 );
icco : out std_logic_vector ( 3 downto 0 );
divz : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE icc : std_logic_vector ( 3 downto 0 );
VARIABLE aluresult : word;
BEGIN
op := r.e.ctrl.inst ( 31 downto 30 );
op3 := r.e.ctrl.inst ( 24 downto 19 );
icc := ( OTHERS => '0' );
CASE r.e.alusel IS
WHEN "00" =>
aluresult := addout ( 32 downto 1 );
IF r.e.aluadd = '0' THEN
icc ( 0 ) := ( ( not op1 ( 31 ) ) and not op2 ( 31 ) ) or ( addout ( 32 ) and ( ( not op1 ( 31 ) ) or not op2 ( 31 ) ) );
icc ( 1 ) := ( op1 ( 31 ) and ( op2 ( 31 ) ) and not addout ( 32 ) ) or ( addout ( 32 ) and ( not op1 ( 31 ) ) and not op2 ( 31 ) );
ELSE
icc ( 0 ) := ( op1 ( 31 ) and op2 ( 31 ) ) or ( ( not addout ( 32 ) ) and ( op1 ( 31 ) or op2 ( 31 ) ) );
icc ( 1 ) := ( op1 ( 31 ) and op2 ( 31 ) and not addout ( 32 ) ) or ( addout ( 32 ) and ( not op1 ( 31 ) ) and ( not op2 ( 31 ) ) );
END IF;
CASE op IS
WHEN FMT3 =>
CASE op3 IS
WHEN TADDCC | TADDCCTV =>
icc ( 1 ) := op1 ( 0 ) or op1 ( 1 ) or op2 ( 0 ) or op2 ( 1 ) or icc ( 1 );
WHEN TSUBCC | TSUBCCTV =>
icc ( 1 ) := op1 ( 0 ) or op1 ( 1 ) or ( not op2 ( 0 ) ) or ( not op2 ( 1 ) ) or icc ( 1 );
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
NULL;
END CASE;
IF aluresult = zero32 THEN
icc ( 2 ) := '1';
END IF;
WHEN "01" =>
aluresult := shiftout;
WHEN "10" =>
aluresult := logicout;
IF aluresult = zero32 THEN
icc ( 2 ) := '1';
END IF;
WHEN OTHERS =>
aluresult := miscout;
END CASE;
IF r.e.jmpl = '1' THEN
aluresult := r.e.ctrl.pc ( 31 downto 2 ) & "00";
END IF;
icc ( 3 ) := aluresult ( 31 );
divz := icc ( 2 );
IF r.e.ctrl.wicc = '1' THEN
IF ( op = FMT3 ) and ( op3 = WRPSR ) THEN
icco := logicout ( 23 downto 20 );
ELSE
icco := icc;
END IF;
ELSIF r.m.ctrl.wicc = '1' THEN
icco := me_icc;
ELSIF r.x.ctrl.wicc = '1' THEN
icco := r.x.icc;
ELSE
icco := r.w.s.icc;
END IF;
res := aluresult;
END;
PROCEDURE dcache_gen (
r : registers;
v : registers;
dci : out dc_in_type;
link_pc : out std_ulogic;
jump : out std_ulogic;
force_a2 : out std_ulogic;
load : out std_ulogic
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE su : std_ulogic;
BEGIN
op := r.e.ctrl.inst ( 31 downto 30 );
op3 := r.e.ctrl.inst ( 24 downto 19 );
dci.signed := '0';
dci.lock := '0';
dci.dsuen := '0';
dci.size := "10";
IF op = LDST THEN
CASE op3 IS
WHEN LDUB | LDUBA =>
dci.size := "00";
WHEN LDSTUB | LDSTUBA =>
dci.size := "00";
dci.lock := '1';
WHEN LDUH | LDUHA =>
dci.size := "01";
WHEN LDSB | LDSBA =>
dci.size := "00";
dci.signed := '1';
WHEN LDSH | LDSHA =>
dci.size := "01";
dci.signed := '1';
WHEN LD | LDA | LDF | LDC =>
dci.size := "10";
WHEN SWAP | SWAPA =>
dci.size := "10";
dci.lock := '1';
WHEN LDD | LDDA | LDDF | LDDC =>
dci.size := "11";
WHEN STB | STBA =>
dci.size := "00";
WHEN STH | STHA =>
dci.size := "01";
WHEN ST | STA | STF =>
dci.size := "10";
WHEN ISTD | STDA =>
dci.size := "11";
WHEN STDF | STDFQ =>
NULL;
WHEN STDC | STDCQ =>
NULL;
WHEN OTHERS =>
dci.size := "10";
dci.lock := '0';
dci.signed := '0';
END CASE;
END IF;
link_pc := '0';
jump := '0';
force_a2 := '0';
load := '0';
dci.write := '0';
dci.enaddr := '0';
dci.read := not op3 ( 2 );
IF ( r.e.ctrl.annul = '0' ) THEN
CASE op IS
WHEN CALL =>
link_pc := '1';
WHEN FMT3 =>
CASE op3 IS
WHEN JMPL =>
jump := '1';
link_pc := '1';
WHEN RETT =>
jump := '1';
WHEN OTHERS =>
NULL;
END CASE;
WHEN LDST =>
CASE r.e.ctrl.cnt IS
WHEN "00" =>
dci.read := op3 ( 3 ) or not op3 ( 2 );
load := op3 ( 3 ) or not op3 ( 2 );
dci.enaddr := '1';
WHEN "01" =>
force_a2 := not op3 ( 2 );
load := not op3 ( 2 );
dci.enaddr := not op3 ( 2 );
IF op3 ( 3 downto 2 ) = "01" THEN
dci.write := '1';
END IF;
IF op3 ( 3 downto 2 ) = "11" THEN
dci.enaddr := '1';
END IF;
WHEN "10" =>
dci.write := '1';
WHEN OTHERS =>
NULL;
END CASE;
IF ( r.e.ctrl.trap or ( v.x.ctrl.trap and not v.x.ctrl.annul ) ) = '1' THEN
dci.enaddr := '0';
END IF;
WHEN OTHERS =>
NULL;
END CASE;
END IF;
IF ( ( r.x.ctrl.rett and not r.x.ctrl.annul ) = '1' ) THEN
su := r.w.s.ps;
ELSE
su := r.w.s.s;
END IF;
IF su = '1' THEN
dci.asi := "00001011";
ELSE
dci.asi := "00001010";
END IF;
IF ( op3 ( 4 ) = '1' ) and ( ( op3 ( 5 ) = '0' ) or not ( 0 = 1 ) ) THEN
dci.asi := r.e.ctrl.inst ( 12 downto 5 );
END IF;
END;
PROCEDURE fpstdata (
r : in registers;
edata : in word;
eres : in word;
fpstdata : in std_logic_vector ( 31 downto 0 );
edata2 : out word;
eres2 : out word
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
BEGIN
edata2 := edata;
eres2 := eres;
op := r.e.ctrl.inst ( 31 downto 30 );
op3 := r.e.ctrl.inst ( 24 downto 19 );
END;
FUNCTION ld_align (
data : dcdtype;
set : std_logic_vector ( LOG2X ( 2 ) - 1 downto 0 );
size : std_logic_vector ( 1 downto 0 );
laddr : std_logic_vector ( 1 downto 0 );
signed : std_ulogic
) RETURN word IS
VARIABLE align_data : word;
VARIABLE rdata : word;
BEGIN
align_data := data ( conv_integer ( set ) );
rdata := ( OTHERS => '0' );
CASE size IS
WHEN "00" =>
CASE laddr IS
WHEN "00" =>
rdata ( 7 downto 0 ) := align_data ( 31 downto 24 );
IF signed = '1' THEN
rdata ( 31 downto 8 ) := ( OTHERS => align_data ( 31 ) );
END IF;
WHEN "01" =>
rdata ( 7 downto 0 ) := align_data ( 23 downto 16 );
IF signed = '1' THEN
rdata ( 31 downto 8 ) := ( OTHERS => align_data ( 23 ) );
END IF;
WHEN "10" =>
rdata ( 7 downto 0 ) := align_data ( 15 downto 8 );
IF signed = '1' THEN
rdata ( 31 downto 8 ) := ( OTHERS => align_data ( 15 ) );
END IF;
WHEN OTHERS =>
rdata ( 7 downto 0 ) := align_data ( 7 downto 0 );
IF signed = '1' THEN
rdata ( 31 downto 8 ) := ( OTHERS => align_data ( 7 ) );
END IF;
END CASE;
WHEN "01" =>
IF laddr ( 1 ) = '1' THEN
rdata ( 15 downto 0 ) := align_data ( 15 downto 0 );
IF signed = '1' THEN
rdata ( 31 downto 15 ) := ( OTHERS => align_data ( 15 ) );
END IF;
ELSE
rdata ( 15 downto 0 ) := align_data ( 31 downto 16 );
IF signed = '1' THEN
rdata ( 31 downto 15 ) := ( OTHERS => align_data ( 31 ) );
END IF;
END IF;
WHEN OTHERS =>
rdata := align_data;
END CASE;
RETURN ( rdata );
END;
PROCEDURE mem_trap (
r : registers;
wpr : watchpoint_registers;
annul : in std_ulogic;
holdn : in std_ulogic;
trapout : out std_ulogic;
iflush : out std_ulogic;
nullify : out std_ulogic;
werrout : out std_ulogic;
tt : out std_logic_vector ( 5 downto 0 )
) IS
VARIABLE cwp : std_logic_vector ( LOG2 ( 8 ) - 1 downto 0 );
VARIABLE cwpx : std_logic_vector ( 5 downto LOG2 ( 8 ) );
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op2 : std_logic_vector ( 2 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE nalign_d : std_ulogic;
VARIABLE trap : std_ulogic;
VARIABLE werr : std_ulogic;
BEGIN
op := r.m.ctrl.inst ( 31 downto 30 );
op2 := r.m.ctrl.inst ( 24 downto 22 );
op3 := r.m.ctrl.inst ( 24 downto 19 );
cwpx := r.m.result ( 5 downto LOG2 ( 8 ) );
cwpx ( 5 ) := '0';
iflush := '0';
trap := r.m.ctrl.trap;
nullify := annul;
tt := r.m.ctrl.tt;
werr := ( dco.werr or r.m.werr ) and not r.w.s.dwt;
nalign_d := r.m.nalign or r.m.result ( 2 );
IF ( ( annul or trap ) /= '1' ) and ( r.m.ctrl.pv = '1' ) THEN
IF ( werr and holdn ) = '1' THEN
trap := '1';
tt := TT_DSEX;
werr := '0';
IF op = LDST THEN
nullify := '1';
END IF;
END IF;
END IF;
IF ( ( annul or trap ) /= '1' ) THEN
CASE op IS
WHEN FMT2 =>
CASE op2 IS
WHEN FBFCC =>
NULL;
WHEN CBCCC =>
NULL;
WHEN OTHERS =>
NULL;
END CASE;
WHEN FMT3 =>
CASE op3 IS
WHEN WRPSR =>
IF ( orv ( cwpx ) = '1' ) THEN
trap := '1';
tt := TT_IINST;
END IF;
WHEN UDIV | SDIV | UDIVCC | SDIVCC =>
IF r.m.divz = '1' THEN
trap := '1';
tt := TT_DIV;
END IF;
WHEN JMPL | RETT =>
IF r.m.nalign = '1' THEN
trap := '1';
tt := TT_UNALA;
END IF;
WHEN TADDCCTV | TSUBCCTV =>
IF ( r.m.icc ( 1 ) = '1' ) THEN
trap := '1';
tt := TT_TAG;
END IF;
WHEN FLUSH =>
iflush := '1';
WHEN FPOP1 | FPOP2 =>
NULL;
WHEN CPOP1 | CPOP2 =>
NULL;
WHEN OTHERS =>
NULL;
END CASE;
WHEN LDST =>
IF r.m.ctrl.cnt = "00" THEN
CASE op3 IS
WHEN LDDF | STDF | STDFQ =>
NULL;
WHEN LDDC | STDC | STDCQ =>
NULL;
WHEN LDD | ISTD | LDDA | STDA =>
IF r.m.result ( 2 downto 0 ) /= "000" THEN
trap := '1';
tt := TT_UNALA;
nullify := '1';
END IF;
WHEN LDF | LDFSR | STFSR | STF =>
NULL;
WHEN LDC | LDCSR | STCSR | STC =>
NULL;
WHEN LD | LDA | ST | STA | SWAP | SWAPA =>
IF r.m.result ( 1 downto 0 ) /= "00" THEN
trap := '1';
tt := TT_UNALA;
nullify := '1';
END IF;
WHEN LDUH | LDUHA | LDSH | LDSHA | STH | STHA =>
IF r.m.result ( 0 ) /= '0' THEN
trap := '1';
tt := TT_UNALA;
nullify := '1';
END IF;
WHEN OTHERS =>
NULL;
END CASE;
IF ( ( ( ( wpr ( 0 ).load and not op3 ( 2 ) ) or ( wpr ( 0 ).store and op3 ( 2 ) ) ) = '1' ) and ( ( ( wpr ( 0 ).addr xor r.m.result ( 31 downto 2 ) ) and wpr ( 0 ).mask ) = zero32 ( 31 downto 2 ) ) ) THEN
trap := '1';
tt := TT_WATCH;
nullify := '1';
END IF;
IF ( ( ( ( wpr ( 1 ).load and not op3 ( 2 ) ) or ( wpr ( 1 ).store and op3 ( 2 ) ) ) = '1' ) and ( ( ( wpr ( 1 ).addr xor r.m.result ( 31 downto 2 ) ) and wpr ( 1 ).mask ) = zero32 ( 31 downto 2 ) ) ) THEN
trap := '1';
tt := TT_WATCH;
nullify := '1';
END IF;
END IF;
WHEN OTHERS =>
NULL;
END CASE;
END IF;
IF ( rstn = '0' ) or ( r.x.rstate = dsu2 ) THEN
werr := '0';
END IF;
trapout := trap;
werrout := werr;
END;
PROCEDURE irq_trap (
r : in registers;
ir : in irestart_register;
irl : in std_logic_vector ( 3 downto 0 );
annul : in std_ulogic;
pv : in std_ulogic;
trap : in std_ulogic;
tt : in std_logic_vector ( 5 downto 0 );
nullify : in std_ulogic;
irqen : out std_ulogic;
irqen2 : out std_ulogic;
nullify2 : out std_ulogic;
trap2 : out std_ulogic;
ipend : out std_ulogic;
tt2 : out std_logic_vector ( 5 downto 0 )
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE pend : std_ulogic;
BEGIN
nullify2 := nullify;
trap2 := trap;
tt2 := tt;
op := r.m.ctrl.inst ( 31 downto 30 );
op3 := r.m.ctrl.inst ( 24 downto 19 );
irqen := '1';
irqen2 := r.m.irqen;
IF ( annul or trap ) = '0' THEN
IF ( ( op = FMT3 ) and ( op3 = WRPSR ) ) THEN
irqen := '0';
END IF;
END IF;
IF ( irl = "1111" ) or ( irl > r.w.s.pil ) THEN
pend := r.m.irqen and r.m.irqen2 and r.w.s.et and not ir.pwd;
ELSE
pend := '0';
END IF;
ipend := pend;
IF ( ( not annul ) and pv and ( not trap ) and pend ) = '1' THEN
trap2 := '1';
tt2 := "01" & irl;
IF op = LDST THEN
nullify2 := '1';
END IF;
END IF;
END;
PROCEDURE irq_intack (
r : in registers;
holdn : in std_ulogic;
intack : out std_ulogic
) IS
BEGIN
intack := '0';
IF r.x.rstate = trap THEN
IF r.w.s.tt ( 7 downto 4 ) = "0001" THEN
intack := '1';
END IF;
END IF;
END;
PROCEDURE sp_write (
r : registers;
wpr : watchpoint_registers;
s : out special_register_type;
vwpr : out watchpoint_registers
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op2 : std_logic_vector ( 2 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE rd : std_logic_vector ( 4 downto 0 );
VARIABLE i : integer RANGE 0 to 3;
BEGIN
op := r.x.ctrl.inst ( 31 downto 30 );
op2 := r.x.ctrl.inst ( 24 downto 22 );
op3 := r.x.ctrl.inst ( 24 downto 19 );
s := r.w.s;
rd := r.x.ctrl.inst ( 29 downto 25 );
vwpr := wpr;
CASE op IS
WHEN FMT3 =>
CASE op3 IS
WHEN WRY =>
IF rd = "00000" THEN
s.y := r.x.result;
ELSIF ( rd = "10001" ) THEN
s.dwt := r.x.result ( 14 );
s.svt := r.x.result ( 13 );
ELSIF rd ( 4 downto 3 ) = "11" THEN
CASE rd ( 2 downto 0 ) IS
WHEN "000" =>
vwpr ( 0 ).addr := r.x.result ( 31 downto 2 );
vwpr ( 0 ).imp := r.x.result ( 1 );
vwpr ( 0 ).exec := r.x.result ( 0 );
WHEN "001" =>
vwpr ( 0 ).mask := r.x.result ( 31 downto 2 );
vwpr ( 0 ).load := r.x.result ( 1 );
vwpr ( 0 ).store := r.x.result ( 0 );
WHEN "010" =>
vwpr ( 1 ).addr := r.x.result ( 31 downto 2 );
vwpr ( 1 ).imp := r.x.result ( 1 );
vwpr ( 1 ).exec := r.x.result ( 0 );
WHEN "011" =>
vwpr ( 1 ).mask := r.x.result ( 31 downto 2 );
vwpr ( 1 ).load := r.x.result ( 1 );
vwpr ( 1 ).store := r.x.result ( 0 );
WHEN "100" =>
vwpr ( 2 ).addr := r.x.result ( 31 downto 2 );
vwpr ( 2 ).imp := r.x.result ( 1 );
vwpr ( 2 ).exec := r.x.result ( 0 );
WHEN "101" =>
vwpr ( 2 ).mask := r.x.result ( 31 downto 2 );
vwpr ( 2 ).load := r.x.result ( 1 );
vwpr ( 2 ).store := r.x.result ( 0 );
WHEN "110" =>
vwpr ( 3 ).addr := r.x.result ( 31 downto 2 );
vwpr ( 3 ).imp := r.x.result ( 1 );
vwpr ( 3 ).exec := r.x.result ( 0 );
WHEN OTHERS =>
vwpr ( 3 ).mask := r.x.result ( 31 downto 2 );
vwpr ( 3 ).load := r.x.result ( 1 );
vwpr ( 3 ).store := r.x.result ( 0 );
END CASE;
END IF;
WHEN WRPSR =>
s.cwp := r.x.result ( LOG2 ( 8 ) - 1 downto 0 );
s.icc := r.x.result ( 23 downto 20 );
s.ec := r.x.result ( 13 );
s.pil := r.x.result ( 11 downto 8 );
s.s := r.x.result ( 7 );
s.ps := r.x.result ( 6 );
s.et := r.x.result ( 5 );
WHEN WRWIM =>
s.wim := r.x.result ( 8 - 1 downto 0 );
WHEN WRTBR =>
s.tba := r.x.result ( 31 downto 12 );
WHEN SAVE =>
s.cwp := r.w.s.cwp - 1;
WHEN RESTORE =>
s.cwp := r.w.s.cwp + 1;
WHEN RETT =>
s.cwp := r.w.s.cwp + 1;
s.s := r.w.s.ps;
s.et := '1';
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
NULL;
END CASE;
IF r.x.ctrl.wicc = '1' THEN
s.icc := r.x.icc;
END IF;
IF r.x.ctrl.wy = '1' THEN
s.y := r.x.y;
END IF;
END;
FUNCTION npc_find (
r : registers
) RETURN std_logic_vector IS
VARIABLE npc : std_logic_vector ( 2 downto 0 );
BEGIN
npc := "011";
IF r.m.ctrl.pv = '1' THEN
npc := "000";
ELSIF r.e.ctrl.pv = '1' THEN
npc := "001";
ELSIF r.a.ctrl.pv = '1' THEN
npc := "010";
ELSIF r.d.pv = '1' THEN
npc := "011";
ELSE
npc := "100";
END IF;
RETURN ( npc );
END;
FUNCTION npc_gen (
r : registers
) RETURN word IS
VARIABLE npc : std_logic_vector ( 31 downto 0 );
BEGIN
npc := r.a.ctrl.pc ( 31 downto 2 ) & "00";
CASE r.x.npc IS
WHEN "000" =>
npc ( 31 downto 2 ) := r.x.ctrl.pc ( 31 downto 2 );
WHEN "001" =>
npc ( 31 downto 2 ) := r.m.ctrl.pc ( 31 downto 2 );
WHEN "010" =>
npc ( 31 downto 2 ) := r.e.ctrl.pc ( 31 downto 2 );
WHEN "011" =>
npc ( 31 downto 2 ) := r.a.ctrl.pc ( 31 downto 2 );
WHEN OTHERS =>
npc ( 31 downto 2 ) := r.d.pc ( 31 downto 2 );
END CASE;
RETURN ( npc );
END;
PROCEDURE mul_res (
r : registers;
asr18in : word;
result : out word;
y : out word;
asr18 : out word;
icc : out std_logic_vector ( 3 downto 0 )
) IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
BEGIN
op := r.m.ctrl.inst ( 31 downto 30 );
op3 := r.m.ctrl.inst ( 24 downto 19 );
result := r.m.result;
y := r.m.y;
icc := r.m.icc;
asr18 := asr18in;
CASE op IS
WHEN FMT3 =>
CASE op3 IS
WHEN UMUL | SMUL =>
result := mulo.result ( 31 downto 0 );
y := mulo.result ( 63 downto 32 );
WHEN UMULCC | SMULCC =>
result := mulo.result ( 31 downto 0 );
icc := mulo.icc;
y := mulo.result ( 63 downto 32 );
WHEN UMAC | SMAC =>
NULL;
WHEN UDIV | SDIV =>
result := divo.result ( 31 downto 0 );
WHEN UDIVCC | SDIVCC =>
result := divo.result ( 31 downto 0 );
icc := divo.icc;
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
NULL;
END CASE;
END;
FUNCTION powerdwn (
r : registers;
trap : std_ulogic;
rp : pwd_register_type
) RETURN std_ulogic IS
VARIABLE op : std_logic_vector ( 1 downto 0 );
VARIABLE op3 : std_logic_vector ( 5 downto 0 );
VARIABLE rd : std_logic_vector ( 4 downto 0 );
VARIABLE pd : std_ulogic;
BEGIN
op := r.x.ctrl.inst ( 31 downto 30 );
op3 := r.x.ctrl.inst ( 24 downto 19 );
rd := r.x.ctrl.inst ( 29 downto 25 );
pd := '0';
IF ( not ( r.x.ctrl.annul or trap ) and r.x.ctrl.pv ) = '1' THEN
IF ( ( op = FMT3 ) and ( op3 = WRY ) and ( rd = "10011" ) ) THEN
pd := '1';
END IF;
pd := pd or rp.pwd;
END IF;
RETURN ( pd );
END;
SIGNAL dummy : std_ulogic;
SIGNAL cpu_index : std_logic_vector ( 3 downto 0 );
SIGNAL disasen : std_ulogic;
BEGIN
comb : PROCESS ( ico , dco , rfo , r , wpr , ir , dsur , rstn , holdn , irqi , dbgi , fpo , cpo , tbo , mulo , divo , dummy , rp )
VARIABLE v : registers;
VARIABLE vp : pwd_register_type;
VARIABLE vwpr : watchpoint_registers;
VARIABLE vdsu : dsu_registers;
VARIABLE npc : std_logic_vector ( 31 downto 2 );
VARIABLE de_raddr1 : std_logic_vector ( 9 downto 0 );
VARIABLE de_raddr2 : std_logic_vector ( 9 downto 0 );
VARIABLE de_rs2 : std_logic_vector ( 4 downto 0 );
VARIABLE de_rd : std_logic_vector ( 4 downto 0 );
VARIABLE de_hold_pc : std_ulogic;
VARIABLE de_branch : std_ulogic;
VARIABLE de_fpop : std_ulogic;
VARIABLE de_ldlock : std_ulogic;
VARIABLE de_cwp : cwptype;
VARIABLE de_cwp2 : cwptype;
VARIABLE de_inull : std_ulogic;
VARIABLE de_ren1 : std_ulogic;
VARIABLE de_ren2 : std_ulogic;
VARIABLE de_wcwp : std_ulogic;
VARIABLE de_inst : word;
VARIABLE de_branch_address : pctype;
VARIABLE de_icc : std_logic_vector ( 3 downto 0 );
VARIABLE de_fbranch : std_ulogic;
VARIABLE de_cbranch : std_ulogic;
VARIABLE de_rs1mod : std_ulogic;
VARIABLE ra_op1 : word;
VARIABLE ra_op2 : word;
VARIABLE ra_div : std_ulogic;
VARIABLE ex_jump : std_ulogic;
VARIABLE ex_link_pc : std_ulogic;
VARIABLE ex_jump_address : pctype;
VARIABLE ex_add_res : std_logic_vector ( 32 downto 0 );
VARIABLE ex_shift_res : word;
VARIABLE ex_logic_res : word;
VARIABLE ex_misc_res : word;
VARIABLE ex_edata : word;
VARIABLE ex_edata2 : word;
VARIABLE ex_dci : dc_in_type;
VARIABLE ex_force_a2 : std_ulogic;
VARIABLE ex_load : std_ulogic;
VARIABLE ex_ymsb : std_ulogic;
VARIABLE ex_op1 : word;
VARIABLE ex_op2 : word;
VARIABLE ex_result : word;
VARIABLE ex_result2 : word;
VARIABLE mul_op2 : word;
VARIABLE ex_shcnt : std_logic_vector ( 4 downto 0 );
VARIABLE ex_dsuen : std_ulogic;
VARIABLE ex_ldbp2 : std_ulogic;
VARIABLE ex_sari : std_ulogic;
VARIABLE me_inull : std_ulogic;
VARIABLE me_nullify : std_ulogic;
VARIABLE me_nullify2 : std_ulogic;
VARIABLE me_iflush : std_ulogic;
VARIABLE me_newtt : std_logic_vector ( 5 downto 0 );
VARIABLE me_asr18 : word;
VARIABLE me_signed : std_ulogic;
VARIABLE me_size : std_logic_vector ( 1 downto 0 );
VARIABLE me_laddr : std_logic_vector ( 1 downto 0 );
VARIABLE me_icc : std_logic_vector ( 3 downto 0 );
VARIABLE xc_result : word;
VARIABLE xc_df_result : word;
VARIABLE xc_waddr : std_logic_vector ( 9 downto 0 );
VARIABLE xc_exception : std_ulogic;
VARIABLE xc_wreg : std_ulogic;
VARIABLE xc_trap_address : pctype;
VARIABLE xc_vectt : std_logic_vector ( 7 downto 0 );
VARIABLE xc_trap : std_ulogic;
VARIABLE xc_fpexack : std_ulogic;
VARIABLE xc_rstn : std_ulogic;
VARIABLE xc_halt : std_ulogic;
VARIABLE diagdata : word;
VARIABLE tbufi : tracebuf_in_type;
VARIABLE dbgm : std_ulogic;
VARIABLE fpcdbgwr : std_ulogic;
VARIABLE vfpi : fpc_in_type;
VARIABLE dsign : std_ulogic;
VARIABLE pwrd : std_ulogic;
VARIABLE sidle : std_ulogic;
VARIABLE vir : irestart_register;
VARIABLE icnt : std_ulogic;
VARIABLE tbufcntx : std_logic_vector ( 10 + LOG2 ( 2 ) - 4 - 1 downto 0 );
BEGIN
v := r;
vwpr := wpr;
vdsu := dsur;
vp := rp;
xc_fpexack := '0';
sidle := '0';
fpcdbgwr := '0';
vir := ir;
xc_rstn := rstn;
xc_exception := '0';
xc_halt := '0';
icnt := '0';
xc_waddr := ( OTHERS => '0' );
xc_waddr ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) := r.x.ctrl.rd ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 );
xc_trap := r.x.mexc or r.x.ctrl.trap;
v.x.nerror := rp.error;
IF r.x.mexc = '1' THEN
xc_vectt := "00" & TT_DAEX;
ELSIF r.x.ctrl.tt = TT_TICC THEN
xc_vectt := '1' & r.x.result ( 6 downto 0 );
ELSE
xc_vectt := "00" & r.x.ctrl.tt;
END IF;
IF r.w.s.svt = '0' THEN
xc_trap_address ( 31 downto 4 ) := r.w.s.tba & xc_vectt;
ELSE
xc_trap_address ( 31 downto 4 ) := r.w.s.tba & "00000000";
END IF;
xc_trap_address ( 3 downto 2 ) := ( OTHERS => '0' );
xc_wreg := '0';
v.x.annul_all := '0';
IF ( r.x.ctrl.ld = '1' ) THEN
xc_result := r.x.data ( 0 );
ELSE
xc_result := r.x.result;
END IF;
xc_df_result := xc_result;
dbgm := dbgexc ( r , dbgi , xc_trap , xc_vectt );
IF ( dbgi.dsuen and dbgi.dbreak ) = '0' THEN
v.x.debug := '0';
END IF;
pwrd := '0';
CASE r.x.rstate IS
WHEN run =>
IF ( not r.x.ctrl.annul and r.x.ctrl.pv and not r.x.debug ) = '1' THEN
icnt := holdn;
END IF;
IF dbgm = '1' THEN
v.x.annul_all := '1';
vir.addr := r.x.ctrl.pc;
v.x.rstate := dsu1;
v.x.debug := '1';
v.x.npc := npc_find ( r );
vdsu.tt := xc_vectt;
vdsu.err := dbgerr ( r , dbgi , xc_vectt );
ELSIF ( pwrd = '1' ) and ( ir.pwd = '0' ) THEN
v.x.annul_all := '1';
vir.addr := r.x.ctrl.pc;
v.x.rstate := dsu1;
v.x.npc := npc_find ( r );
vp.pwd := '1';
ELSIF ( r.x.ctrl.annul or xc_trap ) = '0' THEN
xc_wreg := r.x.ctrl.wreg;
sp_write ( r , wpr , v.w.s , vwpr );
vir.pwd := '0';
ELSIF ( ( not r.x.ctrl.annul ) and xc_trap ) = '1' THEN
xc_exception := '1';
xc_result := r.x.ctrl.pc ( 31 downto 2 ) & "00";
xc_wreg := '1';
v.w.s.tt := xc_vectt;
v.w.s.ps := r.w.s.s;
v.w.s.s := '1';
v.x.annul_all := '1';
v.x.rstate := trap;
xc_waddr := ( OTHERS => '0' );
xc_waddr ( LOG2 ( 8 ) + 3 downto 0 ) := r.w.s.cwp & "0001";
v.x.npc := npc_find ( r );
fpexack ( r , xc_fpexack );
IF r.w.s.et = '0' THEN
xc_wreg := '0';
END IF;
END IF;
WHEN trap =>
xc_result := npc_gen ( r );
xc_wreg := '1';
xc_waddr := ( OTHERS => '0' );
xc_waddr ( LOG2 ( 8 ) + 3 downto 0 ) := r.w.s.cwp & "0010";
IF ( r.w.s.et = '1' ) THEN
v.w.s.et := '0';
v.x.rstate := run;
v.w.s.cwp := r.w.s.cwp - 1;
ELSE
v.x.rstate := dsu1;
xc_wreg := '0';
vp.error := '1';
END IF;
WHEN dsu1 =>
xc_exception := '1';
v.x.annul_all := '1';
xc_trap_address ( 31 downto 2 ) := r.f.pc;
xc_trap_address ( 31 downto 2 ) := ir.addr;
vir.addr := npc_gen ( r ) ( 31 downto 2 );
v.x.rstate := dsu2;
v.x.debug := r.x.debug;
WHEN dsu2 =>
xc_exception := '1';
v.x.annul_all := '1';
xc_trap_address ( 31 downto 2 ) := r.f.pc;
sidle := ( rp.pwd or rp.error ) and ico.idle and dco.idle and not r.x.debug;
IF dbgi.reset = '1' THEN
vp.pwd := '0';
vp.error := '0';
END IF;
IF ( dbgi.dsuen and dbgi.dbreak ) = '1' THEN
v.x.debug := '1';
END IF;
diagwr ( r , dsur , ir , dbgi , wpr , v.w.s , vwpr , vdsu.asi , xc_trap_address , vir.addr , vdsu.tbufcnt , xc_wreg , xc_waddr , xc_result , fpcdbgwr );
xc_halt := dbgi.halt;
IF r.x.ipend = '1' THEN
vp.pwd := '0';
END IF;
IF ( rp.error or rp.pwd or r.x.debug or xc_halt ) = '0' THEN
v.x.rstate := run;
v.x.annul_all := '0';
vp.error := '0';
xc_trap_address ( 31 downto 2 ) := ir.addr;
v.x.debug := '0';
vir.pwd := '1';
END IF;
WHEN OTHERS =>
NULL;
END CASE;
irq_intack ( r , holdn , v.x.intack );
itrace ( r , dsur , vdsu , xc_result , xc_exception , dbgi , rp.error , xc_trap , tbufcntx , tbufi );
vdsu.tbufcnt := tbufcntx;
v.w.except := xc_exception;
v.w.result := xc_result;
IF ( r.x.rstate = dsu2 ) THEN
v.w.except := '0';
END IF;
v.w.wa := xc_waddr ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 );
v.w.wreg := xc_wreg and holdn;
rfi.wdata <= xc_result;
rfi.waddr <= xc_waddr;
rfi.wren <= ( xc_wreg and holdn ) and not dco.scanen;
irqo.intack <= r.x.intack and holdn;
irqo.irl <= r.w.s.tt ( 3 downto 0 );
irqo.pwd <= rp.pwd;
dbgo.halt <= xc_halt;
dbgo.pwd <= rp.pwd;
dbgo.idle <= sidle;
dbgo.icnt <= icnt;
dci.intack <= r.x.intack and holdn;
IF ( xc_rstn = '0' ) THEN
v.w.except := '0';
v.w.s.et := '0';
v.w.s.svt := '0';
v.w.s.dwt := '0';
v.x.annul_all := '1';
v.x.rstate := run;
vir.pwd := '0';
vp.pwd := '0';
v.x.debug := '0';
v.x.nerror := '0';
v.w.s.tt := ( OTHERS => '0' );
IF ( dbgi.dsuen and dbgi.dbreak ) = '1' THEN
v.x.rstate := dsu1;
v.x.debug := '1';
END IF;
END IF;
v.w.s.ef := '0';
v.x.ctrl := r.m.ctrl;
v.x.dci := r.m.dci;
v.x.ctrl.rett := r.m.ctrl.rett and not r.m.ctrl.annul;
v.x.mac := r.m.mac;
v.x.laddr := r.m.result ( 1 downto 0 );
v.x.ctrl.annul := r.m.ctrl.annul or v.x.annul_all;
mul_res ( r , v.w.s.asr18 , v.x.result , v.x.y , me_asr18 , me_icc );
mem_trap ( r , wpr , v.x.ctrl.annul , holdn , v.x.ctrl.trap , me_iflush , me_nullify , v.m.werr , v.x.ctrl.tt );
me_newtt := v.x.ctrl.tt;
irq_trap ( r , ir , irqi.irl , v.x.ctrl.annul , v.x.ctrl.pv , v.x.ctrl.trap , me_newtt , me_nullify , v.m.irqen , v.m.irqen2 , me_nullify2 , v.x.ctrl.trap , v.x.ipend , v.x.ctrl.tt );
IF ( r.m.ctrl.ld or not dco.mds ) = '1' THEN
v.x.data ( 0 ) := dco.data ( 0 );
v.x.data ( 1 ) := dco.data ( 1 );
v.x.set := dco.set ( LOG2X ( 2 ) - 1 downto 0 );
IF dco.mds = '0' THEN
me_size := r.x.dci.size;
me_laddr := r.x.laddr;
me_signed := r.x.dci.signed;
ELSE
me_size := v.x.dci.size;
me_laddr := v.x.laddr;
me_signed := v.x.dci.signed;
END IF;
v.x.data ( 0 ) := ld_align ( v.x.data , v.x.set , me_size , me_laddr , me_signed );
END IF;
v.x.mexc := dco.mexc;
v.x.impwp := '0';
v.x.icc := me_icc;
v.x.ctrl.wicc := r.m.ctrl.wicc and not v.x.annul_all;
IF ( r.x.rstate = dsu2 ) THEN
me_nullify2 := '0';
v.x.set := dco.set ( LOG2X ( 2 ) - 1 downto 0 );
END IF;
dci.maddress <= r.m.result;
dci.enaddr <= r.m.dci.enaddr;
dci.asi <= r.m.dci.asi;
dci.size <= r.m.dci.size;
dci.nullify <= me_nullify2;
dci.lock <= r.m.dci.lock and not r.m.ctrl.annul;
dci.read <= r.m.dci.read;
dci.write <= r.m.dci.write;
dci.flush <= me_iflush;
dci.dsuen <= r.m.dci.dsuen;
dci.msu <= r.m.su;
dci.esu <= r.e.su;
dbgo.ipend <= v.x.ipend;
v.m.ctrl := r.e.ctrl;
ex_op1 := r.e.op1;
ex_op2 := r.e.op2;
v.m.ctrl.rett := r.e.ctrl.rett and not r.e.ctrl.annul;
v.m.ctrl.wreg := r.e.ctrl.wreg and not v.x.annul_all;
ex_ymsb := r.e.ymsb;
mul_op2 := ex_op2;
ex_shcnt := r.e.shcnt;
v.e.cwp := r.a.cwp;
ex_sari := r.e.sari;
v.m.su := r.e.su;
v.m.mul := '0';
IF r.e.ldbp1 = '1' THEN
ex_op1 := r.x.data ( 0 );
ex_sari := r.x.data ( 0 ) ( 31 ) and r.e.ctrl.inst ( 19 ) and r.e.ctrl.inst ( 20 );
END IF;
IF r.e.ldbp2 = '1' THEN
ex_op2 := r.x.data ( 0 );
ex_ymsb := r.x.data ( 0 ) ( 0 );
mul_op2 := ex_op2;
ex_shcnt := r.x.data ( 0 ) ( 4 downto 0 );
IF r.e.invop2 = '1' THEN
ex_op2 := not ex_op2;
ex_shcnt := not ex_shcnt;
END IF;
END IF;
ex_add_res := ( ex_op1 & '1' ) + ( ex_op2 & r.e.alucin );
IF ex_add_res ( 2 downto 1 ) = "00" THEN
v.m.nalign := '0';
ELSE
v.m.nalign := '1';
END IF;
dcache_gen ( r , v , ex_dci , ex_link_pc , ex_jump , ex_force_a2 , ex_load );
ex_jump_address := ex_add_res ( 32 downto 2 + 1 );
logic_op ( r , ex_op1 , ex_op2 , v.x.y , ex_ymsb , ex_logic_res , v.m.y );
ex_shift_res := shift ( r , ex_op1 , ex_op2 , ex_shcnt , ex_sari );
misc_op ( r , wpr , ex_op1 , ex_op2 , xc_df_result , v.x.y , ex_misc_res , ex_edata );
ex_add_res ( 3 ) := ex_add_res ( 3 ) or ex_force_a2;
alu_select ( r , ex_add_res , ex_op1 , ex_op2 , ex_shift_res , ex_logic_res , ex_misc_res , ex_result , me_icc , v.m.icc , v.m.divz );
dbg_cache ( holdn , dbgi , r , dsur , ex_result , ex_dci , ex_result2 , v.m.dci );
fpstdata ( r , ex_edata , ex_result2 , fpo.data , ex_edata2 , v.m.result );
cwp_ex ( r , v.m.wcwp );
v.m.ctrl.annul := v.m.ctrl.annul or v.x.annul_all;
v.m.ctrl.wicc := r.e.ctrl.wicc and not v.x.annul_all;
v.m.mac := r.e.mac;
IF ( r.x.rstate = dsu2 ) THEN
v.m.ctrl.ld := '1';
END IF;
dci.eenaddr <= v.m.dci.enaddr;
dci.eaddress <= ex_add_res ( 32 downto 1 );
dci.edata <= ex_edata2;
v.e.ctrl := r.a.ctrl;
v.e.jmpl := r.a.jmpl;
v.e.ctrl.annul := r.a.ctrl.annul or v.x.annul_all;
v.e.ctrl.rett := r.a.ctrl.rett and not r.a.ctrl.annul;
v.e.ctrl.wreg := r.a.ctrl.wreg and not v.x.annul_all;
v.e.su := r.a.su;
v.e.et := r.a.et;
v.e.ctrl.wicc := r.a.ctrl.wicc and not v.x.annul_all;
exception_detect ( r , wpr , dbgi , r.a.ctrl.trap , r.a.ctrl.tt , v.e.ctrl.trap , v.e.ctrl.tt );
op_mux ( r , rfo.data1 , v.m.result , v.x.result , xc_df_result , zero32 , r.a.rsel1 , v.e.ldbp1 , ra_op1 );
op_mux ( r , rfo.data2 , v.m.result , v.x.result , xc_df_result , r.a.imm , r.a.rsel2 , ex_ldbp2 , ra_op2 );
alu_op ( r , ra_op1 , ra_op2 , v.m.icc , v.m.y ( 0 ) , ex_ldbp2 , v.e.op1 , v.e.op2 , v.e.aluop , v.e.alusel , v.e.aluadd , v.e.shcnt , v.e.sari , v.e.shleft , v.e.ymsb , v.e.mul , ra_div , v.e.mulstep , v.e.mac , v.e.ldbp2 , v.e.invop2 );
cin_gen ( r , v.m.icc ( 0 ) , v.e.alucin );
de_inst := r.d.inst ( conv_integer ( r.d.set ) );
de_icc := r.m.icc;
v.a.cwp := r.d.cwp;
su_et_select ( r , v.w.s.ps , v.w.s.s , v.w.s.et , v.a.su , v.a.et );
wicc_y_gen ( de_inst , v.a.ctrl.wicc , v.a.ctrl.wy );
cwp_ctrl ( r , v.w.s.wim , de_inst , de_cwp , v.a.wovf , v.a.wunf , de_wcwp );
rs1_gen ( r , de_inst , v.a.rs1 , de_rs1mod );
de_rs2 := de_inst ( 4 downto 0 );
de_raddr1 := ( OTHERS => '0' );
de_raddr2 := ( OTHERS => '0' );
IF de_rs1mod = '1' THEN
regaddr ( r.d.cwp , de_inst ( 29 downto 26 ) & v.a.rs1 ( 0 ) , de_raddr1 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) );
ELSE
regaddr ( r.d.cwp , de_inst ( 18 downto 15 ) & v.a.rs1 ( 0 ) , de_raddr1 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) );
END IF;
regaddr ( r.d.cwp , de_rs2 , de_raddr2 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) );
v.a.rfa1 := de_raddr1 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 );
v.a.rfa2 := de_raddr2 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 );
rd_gen ( r , de_inst , v.a.ctrl.wreg , v.a.ctrl.ld , de_rd );
regaddr ( de_cwp , de_rd , v.a.ctrl.rd );
fpbranch ( de_inst , fpo.cc , de_fbranch );
fpbranch ( de_inst , cpo.cc , de_cbranch );
v.a.imm := imm_data ( r , de_inst );
lock_gen ( r , de_rs2 , de_rd , v.a.rfa1 , v.a.rfa2 , v.a.ctrl.rd , de_inst , fpo.ldlock , v.e.mul , ra_div , v.a.ldcheck1 , v.a.ldcheck2 , de_ldlock , v.a.ldchkra , v.a.ldchkex );
ic_ctrl ( r , de_inst , v.x.annul_all , de_ldlock , branch_true ( de_icc , de_inst ) , de_fbranch , de_cbranch , fpo.ccv , cpo.ccv , v.d.cnt , v.d.pc , de_branch , v.a.ctrl.annul , v.d.annul , v.a.jmpl , de_inull , v.d.pv , v.a.ctrl.pv , de_hold_pc , v.a.ticc , v.a.ctrl.rett , v.a.mulstart , v.a.divstart );
cwp_gen ( r , v , v.a.ctrl.annul , de_wcwp , de_cwp , v.d.cwp );
v.d.inull := ra_inull_gen ( r , v );
op_find ( r , v.a.ldchkra , v.a.ldchkex , v.a.rs1 , v.a.rfa1 , false , v.a.rfe1 , v.a.rsel1 , v.a.ldcheck1 );
op_find ( r , v.a.ldchkra , v.a.ldchkex , de_rs2 , v.a.rfa2 , imm_select ( de_inst ) , v.a.rfe2 , v.a.rsel2 , v.a.ldcheck2 );
de_branch_address := branch_address ( de_inst , r.d.pc );
v.a.ctrl.annul := v.a.ctrl.annul or v.x.annul_all;
v.a.ctrl.wicc := v.a.ctrl.wicc and not v.a.ctrl.annul;
v.a.ctrl.wreg := v.a.ctrl.wreg and not v.a.ctrl.annul;
v.a.ctrl.rett := v.a.ctrl.rett and not v.a.ctrl.annul;
v.a.ctrl.wy := v.a.ctrl.wy and not v.a.ctrl.annul;
v.a.ctrl.trap := r.d.mexc;
v.a.ctrl.tt := "000000";
v.a.ctrl.inst := de_inst;
v.a.ctrl.pc := r.d.pc;
v.a.ctrl.cnt := r.d.cnt;
v.a.step := r.d.step;
IF holdn = '0' THEN
de_raddr1 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) := r.a.rfa1;
de_raddr2 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) := r.a.rfa2;
de_ren1 := r.a.rfe1;
de_ren2 := r.a.rfe2;
ELSE
de_ren1 := v.a.rfe1;
de_ren2 := v.a.rfe2;
END IF;
IF ( ( dbgi.denable and not dbgi.dwrite ) = '1' ) and ( r.x.rstate = dsu2 ) THEN
de_raddr1 ( LOG2 ( 8 + 1 ) + 4 - 1 downto 0 ) := dbgi.daddr ( LOG2 ( 8 + 1 ) + 4 + 1 downto 2 );
de_ren1 := '1';
END IF;
v.d.step := dbgi.step and not r.d.annul;
rfi.raddr1 <= de_raddr1;
rfi.raddr2 <= de_raddr2;
rfi.ren1 <= de_ren1 and not dco.scanen;
rfi.ren2 <= de_ren2 and not dco.scanen;
rfi.diag <= dco.testen & "000";
ici.inull <= de_inull;
ici.flush <= me_iflush;
IF ( xc_rstn = '0' ) THEN
v.d.cnt := ( OTHERS => '0' );
END IF;
npc := r.f.pc;
IF ( xc_rstn = '0' ) THEN
v.f.pc := ( OTHERS => '0' );
v.f.branch := '0';
v.f.pc ( 31 downto 12 ) := conv_std_logic_vector ( 16#00000# , 20 );
ELSIF xc_exception = '1' THEN
v.f.branch := '1';
v.f.pc := xc_trap_address;
npc := v.f.pc;
ELSIF de_hold_pc = '1' THEN
v.f.pc := r.f.pc;
v.f.branch := r.f.branch;
IF ex_jump = '1' THEN
v.f.pc := ex_jump_address;
v.f.branch := '1';
npc := v.f.pc;
END IF;
ELSIF ex_jump = '1' THEN
v.f.pc := ex_jump_address;
v.f.branch := '1';
npc := v.f.pc;
ELSIF de_branch = '1' THEN
v.f.pc := branch_address ( de_inst , r.d.pc );
v.f.branch := '1';
npc := v.f.pc;
ELSE
v.f.branch := '0';
v.f.pc ( 31 downto 2 ) := r.f.pc ( 31 downto 2 ) + 1;
npc := v.f.pc;
END IF;
ici.dpc <= r.d.pc ( 31 downto 2 ) & "00";
ici.fpc <= r.f.pc ( 31 downto 2 ) & "00";
ici.rpc <= npc ( 31 downto 2 ) & "00";
ici.fbranch <= r.f.branch;
ici.rbranch <= v.f.branch;
ici.su <= v.a.su;
ici.fline <= ( OTHERS => '0' );
ici.flushl <= '0';
IF ( ico.mds and de_hold_pc ) = '0' THEN
v.d.inst ( 0 ) := ico.data ( 0 );
v.d.inst ( 1 ) := ico.data ( 1 );
v.d.set := ico.set ( LOG2X ( 2 ) - 1 downto 0 );
v.d.mexc := ico.mexc;
END IF;
diagread ( dbgi , r , dsur , ir , wpr , rfo.data1 , dco , tbo , diagdata );
diagrdy ( dbgi.denable , dsur , r.m.dci , dco.mds , ico , vdsu.crdy );
rin <= v;
wprin <= vwpr;
dsuin <= vdsu;
irin <= vir;
muli.start <= r.a.mulstart and not r.a.ctrl.annul;
muli.signed <= r.e.ctrl.inst ( 19 );
muli.op1 <= ( ex_op1 ( 31 ) and r.e.ctrl.inst ( 19 ) ) & ex_op1;
muli.op2 <= ( mul_op2 ( 31 ) and r.e.ctrl.inst ( 19 ) ) & mul_op2;
muli.mac <= r.e.ctrl.inst ( 24 );
muli.acc ( 39 downto 32 ) <= r.x.y ( 7 downto 0 );
muli.acc ( 31 downto 0 ) <= r.w.s.asr18;
muli.flush <= r.x.annul_all;
divi.start <= r.a.divstart and not r.a.ctrl.annul;
divi.signed <= r.e.ctrl.inst ( 19 );
divi.flush <= r.x.annul_all;
divi.op1 <= ( ex_op1 ( 31 ) and r.e.ctrl.inst ( 19 ) ) & ex_op1;
divi.op2 <= ( ex_op2 ( 31 ) and r.e.ctrl.inst ( 19 ) ) & ex_op2;
IF ( r.a.divstart and not r.a.ctrl.annul ) = '1' THEN
dsign := r.a.ctrl.inst ( 19 );
ELSE
dsign := r.e.ctrl.inst ( 19 );
END IF;
divi.y <= ( r.m.y ( 31 ) and dsign ) & r.m.y;
rpin <= vp;
dbgo.dsu <= '1';
dbgo.dsumode <= r.x.debug;
dbgo.crdy <= dsur.crdy ( 2 );
dbgo.data <= diagdata;
tbi <= tbufi;
dbgo.error <= dummy and not r.x.nerror;
END PROCESS;
preg : PROCESS ( sclk )
BEGIN
IF rising_edge ( sclk ) THEN
rp <= rpin;
IF rstn = '0' THEN
rp.error <= '0';
END IF;
END IF;
END PROCESS;
reg : PROCESS ( clk )
BEGIN
IF rising_edge ( clk ) THEN
IF ( holdn = '1' ) THEN
r <= rin;
ELSE
r.x.ipend <= rin.x.ipend;
r.m.werr <= rin.m.werr;
IF ( holdn or ico.mds ) = '0' THEN
r.d.inst <= rin.d.inst;
r.d.mexc <= rin.d.mexc;
r.d.set <= rin.d.set;
END IF;
IF ( holdn or dco.mds ) = '0' THEN
r.x.data <= rin.x.data;
r.x.mexc <= rin.x.mexc;
r.x.impwp <= rin.x.impwp;
r.x.set <= rin.x.set;
END IF;
END IF;
IF rstn = '0' THEN
r.x.error <= '0';
r.w.s.s <= '1';
END IF;
END IF;
END PROCESS;
dsureg : PROCESS ( clk )
BEGIN
IF rising_edge ( clk ) THEN
IF holdn = '1' THEN
dsur <= dsuin;
ELSE
dsur.crdy <= dsuin.crdy;
END IF;
END IF;
END PROCESS;
dsureg2 : PROCESS ( clk )
BEGIN
IF rising_edge ( clk ) THEN
IF holdn = '1' THEN
ir <= irin;
END IF;
END IF;
END PROCESS;
wpreg0 : PROCESS ( clk )
BEGIN
IF rising_edge ( clk ) THEN
IF holdn = '1' THEN
wpr ( 0 ) <= wprin ( 0 );
END IF;
IF rstn = '0' THEN
wpr ( 0 ).exec <= '0';
wpr ( 0 ).load <= '0';
wpr ( 0 ).store <= '0';
END IF;
END IF;
END PROCESS;
wpreg1 : PROCESS ( clk )
BEGIN
IF rising_edge ( clk ) THEN
IF holdn = '1' THEN
wpr ( 1 ) <= wprin ( 1 );
END IF;
IF rstn = '0' THEN
wpr ( 1 ).exec <= '0';
wpr ( 1 ).load <= '0';
wpr ( 1 ).store <= '0';
END IF;
END IF;
END PROCESS;
wpr ( 2 ) <= ( ZERO32 ( 31 DOWNTO 2 ) , ZERO32 ( 31 DOWNTO 2 ) , '0' , '0' , '0' , '0' );
wpr ( 3 ) <= ( ZERO32 ( 31 DOWNTO 2 ) , ZERO32 ( 31 DOWNTO 2 ) , '0' , '0' , '0' , '0' );
dummy <= '1';
END ARCHITECTURE;
|
--!
--! Copyright (C) 2010 - 2013 Creonic GmbH
--!
--! @file: non_periodic_stimuli.vhd
--! @brief:
--! @author: Antonio Gutierrez
--! @date: 2014-04-01
--!
--!
--------------------------------------
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pkg_support.all;
use work.pkg_types.all;
--------------------------------------
entity non_periodic_stimuli is
--generic declarations
end entity non_periodic_stimuli;
--------------------------------------
architecture circuit of non_periodic_stimuli is
signal sig1: std_logic := '1';
signal sig2: std_logic := '1';
signal sig3: std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(3, sig3'length));
begin
-- sig1
-- (i) concurrent
-- sig1 <= '0' after 25 ns, '1' after 75 ns, '0' after 100ns;
-- (ii) sequential
sig1_proc: process
--declarativepart
begin
sig1 <= '1';
wait for 25 ns;
sig1 <= '0';
wait for 50 ns;
sig1 <= '1';
wait for 25 ns;
sig1 <= '0';
wait;
end process sig1_proc;
-- sig2
-- (i) concurrent
-- sig2 <= '0' after 25 ns, '1' after 75 ns, '0' after 100 ns, '1' after 125 ns, '0' after 175 ns, '1' after 200 ns, '0' after 225 ns;
-- (ii) sequential
sig2_proc: process
--declarativepart
begin
sig2 <= '1';
wait for 25 ns;
sig2 <= '0';
wait for 50 ns;
sig2 <= '1';
wait for 25 ns;
sig2 <= '0';
wait for 25 ns;
sig2 <= '1';
wait for 50 ns;
sig2 <= '0';
wait for 25 ns;
sig2 <= '1';
wait for 25 ns;
sig2 <= '0';
wait;
end process sig2_proc;
-- sig3
-- (i) concurrent
-- sig3 <= std_logic_vector(to_unsigned(0, sig3'length)) after 25 ns,
-- std_logic_vector(to_unsigned(99, sig3'length)) after 50 ns,
-- std_logic_vector(to_unsigned(17, sig3'length)) after 100 ns,
-- std_logic_vector(to_unsigned(255, sig3'length)) after 175 ns;
--
-- (ii) sequential
sig3_proc: process
begin
sig3 <= std_logic_vector(to_unsigned(3, sig3'length));
wait for 25 ns;
sig3 <= std_logic_vector(to_unsigned(0, sig3'length));
wait for 25 ns;
sig3 <= std_logic_vector(to_unsigned(99, sig3'length));
wait for 50 ns;
sig3 <= std_logic_vector(to_unsigned(17, sig3'length));
wait for 75 ns;
sig3 <= std_logic_vector(to_unsigned(255, sig3'length));
wait;
end process sig3_proc;
end architecture circuit;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2537.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p14n01i02537ent IS
END c07s03b05x00p14n01i02537ent;
ARCHITECTURE c07s03b05x00p14n01i02537arch OF c07s03b05x00p14n01i02537ent IS
BEGIN
TESTING: PROCESS
type X1 is range 1.0 to 100.0 ;
type X2 is range 1.0 to 100.0 ;
type I1 is range 1 to 1000000;
type I2 is range 1 to 10000000 ;
variable RE1 : X1 ;
variable RE2 : X2 ;
variable IN1 : I1 ;
variable IN2 : I2 ;
BEGIN
RE1 := RE2 + RE2; -- Failure_here
-- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
-- UNIVERSAL INTEGER OR UNIVERSAL REAL.
assert FALSE
report "***FAILED TEST: c07s03b05x00p14n01i02537 - Type conversion can only occur on operand of universal real or integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p14n01i02537arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2537.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p14n01i02537ent IS
END c07s03b05x00p14n01i02537ent;
ARCHITECTURE c07s03b05x00p14n01i02537arch OF c07s03b05x00p14n01i02537ent IS
BEGIN
TESTING: PROCESS
type X1 is range 1.0 to 100.0 ;
type X2 is range 1.0 to 100.0 ;
type I1 is range 1 to 1000000;
type I2 is range 1 to 10000000 ;
variable RE1 : X1 ;
variable RE2 : X2 ;
variable IN1 : I1 ;
variable IN2 : I2 ;
BEGIN
RE1 := RE2 + RE2; -- Failure_here
-- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
-- UNIVERSAL INTEGER OR UNIVERSAL REAL.
assert FALSE
report "***FAILED TEST: c07s03b05x00p14n01i02537 - Type conversion can only occur on operand of universal real or integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p14n01i02537arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2537.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b05x00p14n01i02537ent IS
END c07s03b05x00p14n01i02537ent;
ARCHITECTURE c07s03b05x00p14n01i02537arch OF c07s03b05x00p14n01i02537ent IS
BEGIN
TESTING: PROCESS
type X1 is range 1.0 to 100.0 ;
type X2 is range 1.0 to 100.0 ;
type I1 is range 1 to 1000000;
type I2 is range 1 to 10000000 ;
variable RE1 : X1 ;
variable RE2 : X2 ;
variable IN1 : I1 ;
variable IN2 : I2 ;
BEGIN
RE1 := RE2 + RE2; -- Failure_here
-- ERROR: TYPE CONVERSION CANNOT OCCUR ON AN OPERAND OF ANY TYPE BUT
-- UNIVERSAL INTEGER OR UNIVERSAL REAL.
assert FALSE
report "***FAILED TEST: c07s03b05x00p14n01i02537 - Type conversion can only occur on operand of universal real or integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b05x00p14n01i02537arch;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library WORK;
use WORK.CONSTANTS.ALL;
use WORK.FUNCTIONS.ALL;
entity Calc is
Port ( y0 : in STD_LOGIC_VECTOR (XY_RANGE-1 downto 0);
x0 : in STD_LOGIC_VECTOR (XY_RANGE-1 downto 0);
yi : in STD_LOGIC_VECTOR (XY_RANGE-1 downto 0);
xi : in STD_LOGIC_VECTOR (XY_RANGE-1 downto 0);
yi1 : out STD_LOGIC_VECTOR (XY_RANGE-1 downto 0);
xi1 : out STD_LOGIC_VECTOR (XY_RANGE-1 downto 0));
end Calc;
architecture Behavioral of Calc is
signal temp : SIGNED (XY_RANGE-1 downto 0);
begin
temp <= SIGNED(mult(xi,yi,FIXED));
yi1 <= STD_LOGIC_VECTOR(temp(XY_RANGE-2 downto 0)&'0' + SIGNED(y0));
xi1 <= STD_LOGIC_VECTOR(SIGNED(mult(xi,xi,FIXED)) - SIGNED(mult(yi,yi,FIXED)) + SIGNED(x0));
end Behavioral;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj/hdlsrc/OFDM_transmitter/OFDM_transmitter_tc.vhd
-- Created: 2017-03-27 15:50:06
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: OFDM_transmitter_tc
-- Source Path: OFDM_transmitter_tc
-- Hierarchy Level: 1
--
-- Master clock enable input: clk_enable
--
-- enb : identical to clk_enable
-- enb_1_16_0 : 16x slower than clk_enable with last phase
-- enb_1_16_1 : 16x slower than clk_enable with phase 1
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY OFDM_transmitter_tc IS
PORT( clk : IN std_logic;
reset : IN std_logic;
clk_enable : IN std_logic;
enb : OUT std_logic;
enb_1_16_0 : OUT std_logic;
enb_1_16_1 : OUT std_logic
);
END OFDM_transmitter_tc;
ARCHITECTURE rtl OF OFDM_transmitter_tc IS
-- Signals
SIGNAL count16 : unsigned(3 DOWNTO 0); -- ufix4
SIGNAL phase_all : std_logic;
SIGNAL phase_0 : std_logic;
SIGNAL phase_0_tmp : std_logic;
SIGNAL phase_1 : std_logic;
SIGNAL phase_1_tmp : std_logic;
BEGIN
Counter16 : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
count16 <= to_unsigned(1, 4);
ELSIF clk'event AND clk = '1' THEN
IF clk_enable = '1' THEN
IF count16 = to_unsigned(15, 4) THEN
count16 <= to_unsigned(0, 4);
ELSE
count16 <= count16 + 1;
END IF;
END IF;
END IF;
END PROCESS Counter16;
phase_all <= '1' WHEN clk_enable = '1' ELSE '0';
temp_process1 : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
phase_0 <= '0';
ELSIF clk'event AND clk = '1' THEN
IF clk_enable = '1' THEN
phase_0 <= phase_0_tmp;
END IF;
END IF;
END PROCESS temp_process1;
phase_0_tmp <= '1' WHEN count16 = to_unsigned(15, 4) AND clk_enable = '1' ELSE '0';
temp_process2 : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
phase_1 <= '1';
ELSIF clk'event AND clk = '1' THEN
IF clk_enable = '1' THEN
phase_1 <= phase_1_tmp;
END IF;
END IF;
END PROCESS temp_process2;
phase_1_tmp <= '1' WHEN count16 = to_unsigned(0, 4) AND clk_enable = '1' ELSE '0';
enb <= phase_all AND clk_enable;
enb_1_16_0 <= phase_0 AND clk_enable;
enb_1_16_1 <= phase_1 AND clk_enable;
END rtl;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: RAM_B_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : kintex7
-- C_XDEVICEFAMILY : kintex7
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 0
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : RAM_B.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 32
-- C_READ_WIDTH_A : 32
-- C_WRITE_DEPTH_A : 1024
-- C_READ_DEPTH_A : 1024
-- C_ADDRA_WIDTH : 10
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 32
-- C_READ_WIDTH_B : 32
-- C_WRITE_DEPTH_B : 1024
-- C_READ_DEPTH_B : 1024
-- C_ADDRB_WIDTH : 10
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY RAM_B_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END RAM_B_prod;
ARCHITECTURE xilinx OF RAM_B_prod IS
COMPONENT RAM_B_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : RAM_B_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
-------------------------------------------------------------------------------
-- Title : Big Drive
-------------------------------------------------------------------------------
-- Author : strongly-typed
-- Company : Roboterclub Aachen e.V.
-- Platform : Spartan 6
-------------------------------------------------------------------------------
-- Description:
-- Main control board of the 2013 robot "big".
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.bus_pkg.all;
-- use work.fsmcslave_pkg.all;
use work.spislave_pkg.all;
use work.motor_control_pkg.all;
use work.utils_pkg.all;
use work.pwm_module_pkg.all;
use work.encoder_module_pkg.all;
use work.servo_module_pkg.all;
use work.imotor_module_pkg.all;
use work.adc_ad7266_pkg.all;
use work.reg_file_pkg.all;
-- Read the bus addresses from a file
use work.memory_map_pkg.all;
-------------------------------------------------------------------------------
entity toplevel is
port (
-- BLDC 0 & 1
bldc0_driver_st_p : out bldc_driver_stage_st_type;
bldc0_hall_p : in hall_sensor_type;
bldc0_encoder_p : in encoder_type;
encoder0_p : in encoder_type;
bldc1_driver_st_p : out bldc_driver_stage_st_type;
bldc1_hall_p : in hall_sensor_type;
bldc1_encoder_p : in encoder_type;
encoder1_p : in encoder_type;
-- DC Motors 0 & 1
dc0_driver_st_p : out dc_driver_stage_st_type;
dc1_driver_st_p : out dc_driver_stage_st_type;
dc2_driver_st_p : out dc_driver_stage_st_type;
-- Servos 2 and 3
servo_p : out std_logic_vector(3 downto 2);
-- iMotors 0 to 4
imotor_tx_p : out std_logic_vector(4 downto 0);
imotor_rx_p : in std_logic_vector(4 downto 0);
-- Pumps 0 to 3
pump_p : out std_logic_vector(3 downto 0);
-- Valves 0 to 3
valve_p : out std_logic_vector(3 downto 0);
-- FSMC Connections to the STM32F407
--fsmc_out_p : out fsmc_out_type;
--fsmc_in_p : in fsmc_in_type;
--fsmc_inout_p : inout fsmc_inout_type;
-- SPI Connection to the STM32F407
cs_np : in std_logic;
sck_p : in std_logic;
miso_p : out std_logic;
mosi_p : in std_logic;
load_p : in std_logic;
-- ADC AD7266 on loa v2b / v2c
adc_out_p : out adc_ad7266_spi_out_type;
adc_in_p : in adc_ad7266_spi_in_type;
clk : in std_logic
);
end toplevel;
architecture structural of toplevel is
-- Number of iMotors in design
constant IMOTOR_COUNT : positive := 5;
-- Number of motors (BLDC and DC, excluding iMotors) directly connected on the carrier board
constant MOTOR_COUNT : positive := 5;
-- Reuse pins for H-bridges for a debug connector
signal debug_output : std_logic_vector(7 downto 0) := (others => '0');
signal imotor_debug_data : reg_file_type(2**3 - 1 downto 0) := (others => (others => '0'));
-- Registers for asynchronous input
signal load_r : std_logic_vector(1 downto 0) := (others => '0');
signal load : std_logic;
type imotor_rx_sync_type is array (1 downto 0) of std_logic_vector(IMOTOR_COUNT - 1 downto 0);
signal imotor_rx_r : imotor_rx_sync_type := (others => (others => '0'));
signal imotor_rx_s : std_logic_vector(4 downto 0);
-- Non-inverted driver stages
signal bldc0_driver_stage_s : bldc_driver_stage_type;
signal bldc1_driver_stage_s : bldc_driver_stage_type;
signal dc_pwm1_s : std_logic_vector(0 to 2);
signal dc_pwm2_s : std_logic_vector(0 to 2);
signal dc_sd_s : std_logic_vector(0 to 2);
signal sw_1r : std_logic_vector(1 downto 0);
signal sw_2r : std_logic_vector(1 downto 0);
signal register_out : std_logic_vector(15 downto 0);
signal register_in : std_logic_vector(15 downto 0);
signal adc_values_out : adc_ad7266_values_type(11 downto 0);
signal comparator_values_in : comparator_values_type(MOTOR_COUNT-1 downto 0);
signal current_limit : std_logic_vector(MOTOR_COUNT-1 downto 0) := (others => '0');
signal current_limit_hold : std_logic_vector(MOTOR_COUNT-1 downto 0) := (others => '0');
signal current_next_period : std_logic := '1';
signal encoder_index : std_logic := '0';
signal servo_signals : std_logic_vector(3 downto 2);
signal pwm5v : std_logic; -- PWM for valves and pumps
signal pwm12v : std_logic; -- PWM for pumps
signal pumps_valves_s : std_logic_vector(15 downto 0) := (others => '0');
-- Connection to the Busmaster
signal bus_o : busmaster_out_type;
signal bus_i : busmaster_in_type;
-- Outputs form the Bus devices
signal bus_register_out : busdevice_out_type;
signal bus_register_check_out : busdevice_out_type;
signal bus_register_check_2_out : busdevice_out_type;
signal bus_adc_out : busdevice_out_type;
signal bus_bldc0_out : busdevice_out_type;
signal bus_bldc0_encoder_out : busdevice_out_type;
signal bus_bldc0_hall_sensor_encoder_out : busdevice_out_type;
signal bus_bldc1_out : busdevice_out_type;
signal bus_bldc1_encoder_out : busdevice_out_type;
signal bus_bldc1_hall_sensor_encoder_out : busdevice_out_type;
signal bus_encoder0_out : busdevice_out_type;
signal bus_encoder1_out : busdevice_out_type;
signal bus_dc0_pwm_out : busdevice_out_type;
signal bus_dc1_pwm_out : busdevice_out_type;
signal bus_dc2_pwm_out : busdevice_out_type;
signal bus_imotor_out : busdevice_out_type;
signal bus_imotor_debug_out : busdevice_out_type;
signal bus_comparator_out : busdevice_out_type;
signal bus_servo_out : busdevice_out_type;
-- Check STM to FPGA communication
signal preg_check_2_s : unsigned(15 downto 0) := (others => '0');
signal clk_out_check_p : std_logic;
signal clock_out_imotor_s : imotor_timer_type;
signal imotor_data_s : std_logic_vector(7 downto 0);
signal imotor_we_s : std_logic;
signal imotor_error_s : std_logic;
begin
-- synchronize asynchronous signals
process (clk)
begin
if rising_edge(clk) then
load_r <= load_r(0) & load_p;
imotor_rx_r <= imotor_rx_r(0) & imotor_rx_p;
end if;
end process;
-- Use synchronised signals in design
load <= load_r(1);
imotor_rx_s <= imotor_rx_r(1);
current_hold : for n in MOTOR_COUNT-1 downto 0 generate
event_hold_stage_1 : event_hold_stage
port map (
dout_p => current_limit_hold(n),
din_p => current_limit(n),
period_p => current_next_period,
clk => clk);
end generate;
process (clk) is
begin
if rising_edge(clk) then
if load_r = "01" then
-- rising edge of the load signal
current_next_period <= '1';
else
current_next_period <= '0';
end if;
end if;
end process;
----------------------------------------------------------------------------
-- FSMC connection to the STM32F4xx and Busmaster
-- for the internal bus
--fsmc_slave : entity work.fsmc_slave
-- port map (
-- bus_o => bus_o,
-- bus_i => bus_i,
-- fsmc_inout_p => fsmc_inout_p,
-- fsmc_in_p => fsmc_in_p,
-- fsmc_out_p => fsmc_out_p,
-- clk => clk);
-- SPI connection to STM32F4xx
spi_slave : entity work.spi_slave
port map (
miso_p => miso_p,
mosi_p => mosi_p,
sck_p => sck_p,
csn_p => cs_np,
bus_o => bus_o,
bus_i => bus_i,
clk => clk);
bus_i.data <= bus_register_out.data or
bus_register_check_out.data or
bus_register_check_2_out.data or
bus_adc_out.data or
bus_bldc0_out.data or bus_bldc0_encoder_out.data or bus_encoder0_out.data or bus_bldc0_hall_sensor_encoder_out.data or
bus_bldc1_out.data or bus_bldc1_encoder_out.data or bus_encoder1_out.data or bus_bldc1_hall_sensor_encoder_out.data or
bus_dc0_pwm_out.data or
bus_dc1_pwm_out.data or
bus_dc2_pwm_out.data or
bus_imotor_out.data or
bus_imotor_debug_out.data or
bus_comparator_out.data or
bus_servo_out.data;
----------------------------------------------------------------------------
-- Register
preg : peripheral_register
generic map (
BASE_ADDRESS => BASE_ADDRESS_REG)
port map (
dout_p => register_out,
din_p => register_in,
bus_o => bus_register_out,
bus_i => bus_o,
clk => clk);
register_in <= x"ff56";
-- FIXME
-- What does it do? 1 bit 3 bits 2 bits 2 bits
-- register_in <= x"46" & "0" & current_limit_hold & "00" & sw_2r;
peripheral_register_check : entity work.peripheral_register
generic map (
BASE_ADDRESS => 16#0100#)
port map (
dout_p => open,
din_p => x"5703",
bus_o => bus_register_check_out,
bus_i => bus_o,
clk => clk);
-------------------------------------------------------------------------------
-- Debugging Registers
-------------------------------------------------------------------------------
peripheral_register_check_2 : entity work.peripheral_register
generic map (
BASE_ADDRESS => 16#0101#)
port map (
dout_p => open,
din_p => std_logic_vector(preg_check_2_s),
bus_o => bus_register_check_2_out,
bus_i => bus_o,
clk => clk);
-- count preg_check_2_s
clock_divider_preg_check : entity work.clock_divider
generic map (
DIV => 50000000)
port map (
clk_out_p => clk_out_check_p,
clk => clk);
preg_cnt : process (clk) is
begin -- process preg_cnt
if rising_edge(clk) then
if clk_out_check_p = '1' then
preg_check_2_s <= preg_check_2_s + 1;
end if;
end if;
end process preg_cnt;
----------------------------------------------------------------------------
-- iMotor Debug
-- Receive serial data without error detection and store it to a register
-- that can be read from the STM32
----------------------------------------------------------------------------
reg_file_imotor_debug : entity work.reg_file
generic map (
BASE_ADDRESS => 16#0110#,
REG_ADDR_BIT => 3)
port map (
bus_o => bus_imotor_debug_out,
bus_i => bus_o,
reg_o => open,
reg_i => imotor_debug_data,
clk => clk);
imotor_timer_2 : entity work.imotor_timer
generic map (
CLOCK => 50000000,
BAUD => 1000000,
SEND_FREQUENCY => 1000)
port map (
clock_out_p => clock_out_imotor_s,
clk => clk);
uart_rx_1 : entity work.uart_rx
port map (
rxd_p => imotor_rx_s(0), -- Receive data from iMotor 0
disable_p => '0',
data_p => imotor_data_s, -- received data
we_p => imotor_we_s, -- enable when data received
error_p => imotor_error_s, -- high when error during reception
full_p => '0',
clk_rx_en => clock_out_imotor_s.rx,
clk => clk);
debug_output <= imotor_data_s;
-- purpose: Copy received serial data to register file
-- type : sequential
-- inputs : clk
-- outputs:
imotor_dbg : process (clk) is
variable counter : integer range 0 to 8 := 0;
begin -- process imotor_dbg
if rising_edge(clk) then
if imotor_we_s = '1' then
imotor_debug_data(counter) <= "00000000" & imotor_data_s;
counter := counter + 1;
if counter = 8 then
counter := 0;
end if;
end if;
end if;
end process imotor_dbg;
-- Reuse H-Bridge pins for a debug connector
-- Map debug_data to pins
--bldc0_driver_st_p.a.high <= '0';
--bldc0_driver_st_p.a.low_n <= debug_output(1);
--bldc0_driver_st_p.b.high <= '0';
--bldc0_driver_st_p.b.low_n <= '0';
--bldc0_driver_st_p.c.high <= '0';
--bldc0_driver_st_p.c.low_n <= debug_output(0);
--bldc1_driver_st_p.a.low_n <= debug_output(2);
--bldc1_driver_st_p.a.high <= '0';
--bldc1_driver_st_p.c.low_n <= debug_output(3);
--bldc1_driver_st_p.c.high <= '0';
--bldc1_driver_st_p.b.low_n <= debug_output(4);
--bldc1_driver_st_p.b.high <= debug_output(5);
--dc0_driver_st_p.a.low_n <= debug_output(6);
-- dc0_driver_st_p.a.high <= '0';
-- dc0_driver_st_p.b.low_n <= '0';
-- dc0_driver_st_p.b.high <= '0';
--dc1_driver_st_p.a.low_n <= debug_output(7);
-- dc1_driver_st_p.a.high <= '0';
--dc1_driver_st_p.b.low_n <= '0';
--dc1_driver_st_p.b.high <= '0';
--dc2_driver_st_p.a.low_n <= '0';
--dc2_driver_st_p.a.high <= '0';
--dc2_driver_st_p.b.low_n <= '0';
--dc2_driver_st_p.b.high <= '0';
--dc0_driver_st_p.b.low_n <= imotor_we_s; -- D8
--dc0_driver_st_p.a.high <= imotor_error_s; -- D9
--dc1_driver_st_p.a.high <= imotor_rx_s(0); -- D10
--dc0_driver_st_p.b.high <= clock_out_imotor_s.rx; -- D11
----------------------------------------------------------------------------
-- component instantiation
----------------------------------------------------------------------------
-- BLDC motors 0 & 1
bldc0 : bldc_motor_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_BLDC0,
WIDTH => 10,
PRESCALER => 1)
port map (
driver_stage_p => bldc0_driver_stage_s,
hall_p => bldc0_hall_p,
break_p => '0', -- TODO current_limit(1),
bus_o => bus_bldc0_out,
bus_i => bus_o,
clk => clk);
bldc0_driver_stage_converter : entity work.bldc_driver_stage_converter
port map (
bldc_driver_stage => bldc0_driver_stage_s,
bldc_driver_stage_st => bldc0_driver_st_p
);
-- Motor encoder
bldc0_encoder : entity work.encoder_module_extended
generic map (
BASE_ADDRESS => BASE_ADDRESS_BLDC0_ENCODER)
port map (
encoder_p => bldc0_encoder_p,
index_p => encoder_index,
load_p => load,
bus_o => bus_bldc0_encoder_out,
bus_i => bus_o,
clk => clk);
-- Hall Sensor as encoder
bldc0_hall_sensor_module_1 : entity work.encoder_hall_sensor_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_BLDC0_HALL_SENSOR_ENCODER)
port map (
hall_sensor_p => bldc0_hall_p,
load_p => load,
bus_o => bus_bldc0_hall_sensor_encoder_out,
bus_i => bus_o,
clk => clk);
odemetry0_encoder0 : entity work.encoder_module_extended
generic map (
BASE_ADDRESS => BASE_ADDRESS_ODOMETRY0_ENCODER)
port map (
encoder_p => encoder0_p,
index_p => encoder_index,
load_p => load,
bus_o => bus_encoder0_out,
bus_i => bus_o,
clk => clk);
bldc1 : bldc_motor_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_BLDC1,
WIDTH => 10,
PRESCALER => 1)
port map (
driver_stage_p => bldc1_driver_stage_s,
hall_p => bldc1_hall_p,
break_p => '0', -- TOOD current_limit(0),
bus_o => bus_bldc1_out,
bus_i => bus_o,
clk => clk);
bldc1_driver_stage_converter : entity work.bldc_driver_stage_converter
port map (
bldc_driver_stage => bldc1_driver_stage_s,
bldc_driver_stage_st => bldc1_driver_st_p
);
bldc1_encoder : encoder_module_extended
generic map (
BASE_ADDRESS => BASE_ADDRESS_BLDC1_ENCODER)
port map (
encoder_p => bldc1_encoder_p,
index_p => encoder_index,
load_p => load,
bus_o => bus_bldc1_encoder_out,
bus_i => bus_o,
clk => clk);
-- Hall Sensor as encoder
bldc1_hall_sensor_module_1 : entity work.encoder_hall_sensor_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_BLDC1_HALL_SENSOR_ENCODER)
port map (
hall_sensor_p => bldc1_hall_p,
load_p => load,
bus_o => bus_bldc1_hall_sensor_encoder_out,
bus_i => bus_o,
clk => clk);
odometry1_encoder : entity work.encoder_module_extended
generic map (
BASE_ADDRESS => BASE_ADDRESS_ODOMETRY1_ENCODER)
port map (
encoder_p => encoder1_p,
index_p => encoder_index,
load_p => load,
bus_o => bus_encoder1_out,
bus_i => bus_o,
clk => clk);
-- As 2012 but low-side inverted
----------------------------------------------------------------------------
-- DC Motors 0 to 2
dc0_pwm_module_extended : entity work.dc_motor_module_extended
generic map (
BASE_ADDRESS => BASE_ADDRESS_DC0,
WIDTH => 10,
PRESCALER => 1)
port map (
pwm1_p => dc_pwm1_s(0), -- First halfbridge
pwm2_p => dc_pwm2_s(0), -- Second halfbride
sd_p => dc_sd_s(0), -- shutdown
break_p => '0',
bus_o => bus_dc0_pwm_out,
bus_i => bus_o,
clk => clk);
dc1_pwm_module_extended : entity work.dc_motor_module_extended
generic map (
BASE_ADDRESS => BASE_ADDRESS_DC1,
WIDTH => 10,
PRESCALER => 1)
port map (
pwm1_p => dc_pwm1_s(1), -- First halfbridge
pwm2_p => dc_pwm2_s(1), -- Second halfbride
sd_p => dc_sd_s(1), -- shutdown
break_p => '0',
bus_o => bus_dc1_pwm_out,
bus_i => bus_o,
clk => clk);
dc2_pwm_module_extended : entity work.dc_motor_module_extended
generic map (
BASE_ADDRESS => BASE_ADDRESS_DC2,
WIDTH => 10,
PRESCALER => 1)
port map (
pwm1_p => dc_pwm1_s(2), -- First halfbridge
pwm2_p => dc_pwm2_s(2), -- Second halfbride
sd_p => dc_sd_s(2), -- shutdown
break_p => '0', -- current_limit(2),
bus_o => bus_dc2_pwm_out,
bus_i => bus_o,
clk => clk);
-- convert from 2012-style motor-bridge to 2013-style
dc0_driver_stage_converter : entity work.dc_driver_stage_converter
port map (
pwm1_in_p => dc_pwm1_s(0),
pwm2_in_p => dc_pwm2_s(0),
sd_in_p => dc_sd_s(0),
dc_driver_stage_st_out_p => dc0_driver_st_p
);
dc1_driver_stage_converter : entity work.dc_driver_stage_converter
port map (
pwm1_in_p => dc_pwm1_s(1),
pwm2_in_p => dc_pwm2_s(1),
sd_in_p => dc_sd_s(1),
dc_driver_stage_st_out_p => dc1_driver_st_p
);
dc2_driver_stage_converter : entity work.dc_driver_stage_converter
port map (
pwm1_in_p => dc_pwm1_s(2),
pwm2_in_p => dc_pwm2_s(2),
sd_in_p => dc_sd_s(2),
dc_driver_stage_st_out_p => dc2_driver_st_p
);
----------------------------------------------------------------------------
-- All iMotors with one module
imotor_module : entity work.imotor_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_IMOTOR,
MOTORS => 5,
DATA_WORDS_SEND => 2,
DATA_WORDS_READ => 3)
port map (
tx_out_p => imotor_tx_p,
rx_in_p => imotor_rx_s,
bus_o => bus_imotor_out,
bus_i => bus_o,
clk => clk);
----------------------------------------------------------------------------
-- Pumps and Valves
-- Do PWM for pumps because battery voltage is higher than nominal voltage
-- of pumps
pumps_valves_register : entity work.peripheral_register
generic map (
BASE_ADDRESS => BASE_ADDRESS_PUMPS_VALVES)
port map (
dout_p => pumps_valves_s,
din_p => (others => '0'),
bus_o => open,
bus_i => bus_o,
clk => clk);
-- PWM for 12 Volt pumps at 22 Volt Cell Voltage
pwm_12v : entity work.pwm
generic map (
WIDTH => 12)
port map (
clk_en_p => '1', -- no prescaler
value_p => x"800",
output_p => pwm12v,
reset => '0',
clk => clk);
-- PWM for 5 Volt pumps at 22 Volt Cell Voltage
pwm_5v : entity work.pwm
generic map (
WIDTH => 12)
port map (
clk_en_p => '1', -- no prescaler
value_p => x"555",
output_p => pwm5v,
reset => '0',
clk => clk);
valve_p <= pumps_valves_s(3 downto 0) when pwm12v = '1' else (others => '0');
pump_p(1 downto 0) <= pumps_valves_s(5 downto 4) when pwm12v = '1' else (others => '0');
pump_p(3 downto 2) <= pumps_valves_s(7 downto 6) when pwm5v = '1' else (others => '0');
----------------------------------------------------------------------------
-- Servos
servo_module_1 : servo_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_SERVO,
SERVO_COUNT => 2)
port map (
servo_p => servo_signals,
bus_o => bus_servo_out,
bus_i => bus_o,
clk => clk);
servo_p <= not servo_signals;
----------------------------------------------------------------------------
-- Current limiter
-- 0x0080/1 -> BLDC1 (upper, lower)
-- 0x0082/3 -> BLDC2 (upper, lower)
-- 0x0084/5 -> DC0 (upper, lower)
-- 0x0086/7 -> DC1 (upper, lower)
-- 0x0088/9 -> DC2 (upper, lower)
comparator_module_1 : comparator_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_COMPARATOR,
CHANNELS => MOTOR_COUNT)
port map (
value_p => comparator_values_in,
overflow_p => current_limit,
bus_o => bus_comparator_out,
bus_i => bus_o,
clk => clk);
convert : for n in MOTOR_COUNT-1 downto 0 generate
comparator_values_in(n) <= adc_values_out(n)(11 downto 2);
end generate;
----------------------------------------------------------------------------
-- ADC
adc_ad7266_single_ended_module : entity work.adc_ad7266_single_ended_module
generic map (
BASE_ADDRESS => BASE_ADDRESS_ADC,
CHANNELS => 12)
port map (
adc_out_p => adc_out_p,
adc_in_p => adc_in_p,
bus_o => bus_adc_out,
bus_i => bus_o,
adc_values_o => adc_values_out,
clk => clk);
adc_out_p.sgl_diff <= '0';
end structural;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FJjjreNSAqoGMUHbyxtFDxN3F3t7a0GH8U9GDkdBG5T2IcrNr4vkRmZxQj/hWnSotKOpFWNYUwQf
GJCsii/gaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TYsMQOb2Z1kLOvmQx4yykkrFL5yCTvrbRGCRv8djbYO5wGft8NYubxycq8xpvhquLz8FbL/WBb71
4JZb0FaUIC77p3oprhbdejx0bKOWnlGMKhjsJnlDpXs/EZhoUfphEbYrpjKMZ+vedOhV4GDGQA2J
Iurg2BFp1r9r5n2+Rvc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wMQs35vWM8BJ8QysKnI+jxS/3eBIrZEwsvIxgTH0j+8X6admGACSk+RooU47RfkUMt5G6Nibvfbb
x+bKAwzzyAMFfcNHA0I1quh3EDSXPpPc6V/KZaiGWUBq/1NrvVQ2GLbHRG2tbtS8T9pijdb/X81B
UA5QdJ11ybCejkgcNJ1Qk8IkeqyAcXKlP6BhXl1k0opnYsPyHU67brKZjrhO741DGjdjbkNKyHXJ
QvG7SH457gaoI308ZNw+VnBBaPNuZoPiy6bIDeiB+6GiqMEWHqfZV+0VEARB7Kha6SqP2oaXinSv
2BC9rrHxPMQfzCNKGgeW53R28iH7jPAYswz+HQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2XUKDqCUpczlHNJb+iPCKwLgmaNwV3bCBDrXf/tsh79tYhjfVlZetMPxnzqf8mrEJxRAEkfhoO9O
5b4fNiOXBxBMKFV6CtawL2Um0KKwPgS0AXWTyfcZ/Z6bKpkqDInROWm8i26hnpZMk1EEcvDp2PHB
01kAtt8ayC3XW6jAjN4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WY5GfuGYs2Kf3nfBTapcxUSI0xosH7x3BSu9qyYApVdRKSWSbcne4Y5pDagzUr44sr/o3b40EdwU
qVghrHL+ClCWJTbCirNQpwF6ppxDAZiTnys1wLJX/5TPxfN5yC1rNWOiUyiCWbRF7ksVJDGXP/rY
93sWdIGKLiLYAqt6n00BT8LgKGOBe5GF1mKpilS2g+wnj0jEN8kW4q4vuUtO/5XFrY+jt1QPFYR/
CVZw47TWbs44nZ3iHNMBov9yfJr9HbFynbUcsi5lMwN124/TcSzRIuVIK8lyg63hiN0G7G5FO1fS
iRrvBHnGSDBFi3c/oPjhTsxByktSdbGK/J3u0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FJjjreNSAqoGMUHbyxtFDxN3F3t7a0GH8U9GDkdBG5T2IcrNr4vkRmZxQj/hWnSotKOpFWNYUwQf
GJCsii/gaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TYsMQOb2Z1kLOvmQx4yykkrFL5yCTvrbRGCRv8djbYO5wGft8NYubxycq8xpvhquLz8FbL/WBb71
4JZb0FaUIC77p3oprhbdejx0bKOWnlGMKhjsJnlDpXs/EZhoUfphEbYrpjKMZ+vedOhV4GDGQA2J
Iurg2BFp1r9r5n2+Rvc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wMQs35vWM8BJ8QysKnI+jxS/3eBIrZEwsvIxgTH0j+8X6admGACSk+RooU47RfkUMt5G6Nibvfbb
x+bKAwzzyAMFfcNHA0I1quh3EDSXPpPc6V/KZaiGWUBq/1NrvVQ2GLbHRG2tbtS8T9pijdb/X81B
UA5QdJ11ybCejkgcNJ1Qk8IkeqyAcXKlP6BhXl1k0opnYsPyHU67brKZjrhO741DGjdjbkNKyHXJ
QvG7SH457gaoI308ZNw+VnBBaPNuZoPiy6bIDeiB+6GiqMEWHqfZV+0VEARB7Kha6SqP2oaXinSv
2BC9rrHxPMQfzCNKGgeW53R28iH7jPAYswz+HQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2XUKDqCUpczlHNJb+iPCKwLgmaNwV3bCBDrXf/tsh79tYhjfVlZetMPxnzqf8mrEJxRAEkfhoO9O
5b4fNiOXBxBMKFV6CtawL2Um0KKwPgS0AXWTyfcZ/Z6bKpkqDInROWm8i26hnpZMk1EEcvDp2PHB
01kAtt8ayC3XW6jAjN4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WY5GfuGYs2Kf3nfBTapcxUSI0xosH7x3BSu9qyYApVdRKSWSbcne4Y5pDagzUr44sr/o3b40EdwU
qVghrHL+ClCWJTbCirNQpwF6ppxDAZiTnys1wLJX/5TPxfN5yC1rNWOiUyiCWbRF7ksVJDGXP/rY
93sWdIGKLiLYAqt6n00BT8LgKGOBe5GF1mKpilS2g+wnj0jEN8kW4q4vuUtO/5XFrY+jt1QPFYR/
CVZw47TWbs44nZ3iHNMBov9yfJr9HbFynbUcsi5lMwN124/TcSzRIuVIK8lyg63hiN0G7G5FO1fS
iRrvBHnGSDBFi3c/oPjhTsxByktSdbGK/J3u0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block
zEoXI+GLKxL5rLdaPtlr7LKDS7I65Rbp8RTraBvBTst9mvh91w0bkeXB25nn4jAnNxIjZwvYNDlk
KgCSlz5LaXeKBolHsLUswACuByWbKIF0B1yQh5Uhl/DhmHaAs4Mcp2lOZrBk7vcJ4PSc39iuE5yx
B6oE0+Wa1B/9WUwqzxb/racz3y/2nn5dTEDU8wQurlU1m116GZyoV00Ja7aqllNwI7rz5kUg/qDt
PoJFYtc4sAi/CHpGauALoMpOnDwYiqr1gBaBPMYxDixx9S0vCmCtAEo6D42kp2i90My2IRUDB44j
Lq5/KDJlf+skcGmuVvj32Gbll114bPptRBs5LWFYcH09TrAMXAvb9PgjAawqgk75WSucGsxXe2za
q2Obd3wq283XG5s4aI0pt+nDh892wTndGRU8gkIZqc784xfy9TRW9X3vYxb/nHcxws+iLjvnU5xh
dEB0WEUBhfxEOKbrMRDcBc+NrHdoMwKUHP+nHW+Idm+pdeaVCJ/xhP54G3rfeublVBgz2WC/VZXk
F1hfTrO+WkouNTacRSQcidi/XcgdENVGag5GhnkpIYSoBrtcgof/WjPqI924rzoFO2kh9xYt3T+1
vsrSRQ5Q6BvtDCJtS8o/5sV4U+Thf+4db0UDgsbNI6S0JBHhkgpIKIOSUcyAMAlKLVZrLd35OURD
FHeLKN7M7ISysHY1IAdM4iDGZxZD6KmWry7EW9sDkz96OzA1H2SZMhwL7NfY6SMcn9Apn4YfSyxN
wdvaFrXbVNwnAtqZlbmxc7XhwsiyHTOLgTMvYgy5L3YhUI5kXPKbSLoZpi41cxVOLGnmPZS1hfUX
XeFDkjZkAASpcCgDwIJ+cyQ3JVaI5K05fa1e2Gq5MOYSvYlsdoP9DQe6dDcS9EWcWwLsvpFRr5yS
FI29EfAjqQPzQf+WHaq/4LRMAeNBSus7HZaH50/NWMYlOievpoW666Yhjlf4YW2JSUkSE/5jplPP
9zXl1B+DRx7qw23Ej8cza0lxuYx1iEfsckPzGDn60Hdz89wMn4XAl+Ww0m71vIxhrc+GYe07kjgi
1ScstterZ1yNJz0UpSRJQGmb087QwP+gmjufUEZbrLDqSs3ughA9p8RR4BIL33tWVIaF9RX656pi
GwDaz3zfP/lj9BZjE3wP01ubZTQ12v+FnNDFFDvqDb9eezpyPnhC9myVwhVCbG/ghYoxFkUidxR+
zYDCqE86olBX+nAc2zNeY8QxNtNk3gz2YEo/cFj3GsHe6sdRGIKJtJhOh1iiYrIrYij9zeUI7J23
Aef14OpckcDdZtwTwGBOMIT3P6MyNqmYFXMFZ6LHt5Dy0lFR3uQVp7wjCkUfb43Jjo2MRomXA9Ui
/sKaFXZUeg0zmWaAJWuUyGUyQpnlNgoGT4SgAnQLC1vkBpUhpl39W32U9xBVqVpK3I/i5mcSxZlF
yUpEdnHAiwBirdiWVzuLGwbdQuj0C4vf1dXRU3kD1vzAss/OP0d/8pnzzvgUVD6yYrytFYUcMFsy
9Yl0COqLVqRaquYQdWTTUnoPnxwJk7NJidilsnYy7mAt/rLCT1+XXhZ/h4z8waJqMJzwTfENNAMO
cHjaMEECsSGGEzQPMxrFJ8rgmJHeY22rcOAZdOuwbLtn1p9poDOFMq9hneFQ+pW6uBTp8T2h8ag8
eph0zVt0kDExHsSPvR6qQmWm/WUSIZrmIG7kw6Gt63PLzookGbot/AC0G7nZJLwwRpWilmO27t1L
24XfuZAeTbod1UP11dLYq5Tg+j76BHk7+veNUQoiU+c3PJCn17x+OHnO97yhsTOaeSDg1b3Vs5su
BYwJieR1kIfEvla5Lfp7GE+3GZ6orM8TK1GTM0Q1p0ESCAZKDNMwx0KyfOnpUPTKWLaYbLSHNECp
Hft9ryoidUFaJ2wgEJZ5Rk5AYAl7axscnO/0OtbRd6oojmvEuqCGeuGT2e3MIUGDpVkw9Esofh/P
SHTmnJCUM6uk2t5ujq3lhaydi2/3tJq1ccy3Sb2gT6UemD1tPbZsiiXAv7XO+fiNIrP9prrT4Pze
92lDPYpnz3yENcRPHbaKzZb3aAeTjRDoUnf5lIjCnyvXt9IeQlXfLCwS85LZ28v9fknX3remJM7S
BjkFlCrKR/4GxwKDoumvOlvUJ0XfC/ffWrzmWnkZwb+QhF8TKWkpK3ZxNuHh6uiO8mwHx0+TzT9d
DuVC6E5gt7OnV4ZP5cenwQ4G+J3IQEWWXOADCM3Hjw1yPPABCWmWuAdT3CO8f9gcG4dx4U2PSRXm
YgTWNeYqJ0OqqpSyrWwqNX8bb71Xh9jPcpUBSe2NQCii3AbyyJDTjI4oY4/AeDbvu4gbFfCy78jO
6wUDBsoE6j9NDJIFKQ5CflgwanudUkYc9GikqpNoMAx28Yix0TBYddGaT8eY6vh/V7QIUrIax1Uu
2SpNcetxoaBuPa7KRfggbvj1zX2XeXQk0NHJIykjdcWQQ1xV8oc5CyfpoyAJsKPCdY41v3SU+pFL
O8y6YOBcYuDB90/unLPFl5in+YSwGYJuXBC7iPqTUcPBjYO/T/9i3nqUydPHyBfv4IOBQBP5X8ZY
NC8Sn3OCrtSt5kXf2686GsUm+U1JprCx1MR8Dk/jEodovPVpt27KQjO9ZfyAhSNGGD1/DhY/FMIT
2Ws9jIJok+7mAoMDLyO+dORca3gSgWK15oCl2Pmz22OdlMterK3T1gEcTTKpOzbWfLlh5ufeM0yg
mr5IAT03NhJosTUhwkGEjtm15A3SJ4PO8qcGPUTGxnVcYSbjVQOIWiEt83sQBcHbY5Bdt43m5IBf
BBNJg9yH6ySr8Ct51r9ipzn07pjFaqmYI7+l54tjEC5oUo8J2iuC1sPWoc04DjdnkAtrgOP/p0nP
s+s638Fp30lIIvdrDJrysTBafESTvry2ygopalQq09RcOYUzYWZtpDNPG5SA66IdYzkO+Sw7e2Uu
vuIF2aL+JZ7jMg/EdB6dzprQosL65i58PjVKXnCoh6ehe78b5Sp3mgQsK8K1ELsyTmvOVx23w3Hd
HKE+XCmDlEDcUOv7xleZutmiq2S1nCorisFs2SO2YrF+Thh0Y3bSRAcYy3ICF5mSM+k8lkMEJCfZ
5eSkMU55SOX84Y5XjcbltQXinupiL2+Gr9bfPaHtaSahyjyw32iWKXC7SciT1+nYvbBoon+PEbnR
KIFmhMztcA/rHR76eCEK5Hg1QdbmcX+oGz6L4oiNdN2mR7IWinXJbnfM/D8RomQcbx+2Jsm54P/7
TybtdRDt4p2xTCQezxS2we+mYgmNCQ+c5sn/s+Y3CjnTGnbJO1O37lHItjLvNdkoP1TZtGt8mVF8
tUObOHerm6MJKnoIp8QbEc8vC68d17jyr6kAswovXwIwm9sO/imPcMT5QoBJdA4eWRWE82UeYIWb
Oyr4ss7GoydR+Mtti+uWWU1i5SQPcdMmORm6COfZAQoTEeneePfXwZsHEUyExx3tx+uBMpeC7vWr
Q3ukXtYfMphHlJZmZw1UC5TVAErF4b95iY3N7eg2AmzyTwgkjYo7q4kte8lMXE6phpW3+qSSzOBe
weN4dBojpiE+loVELQI1/UkEDnyuHQvgFR8wNTWmqySHEM497GFC3JUwnYg45dDU8u61PpAfQtLA
WjH8gh/dL+dB9Qni9yxy1bl5pVVVJrCPXlE+vGiBjhZzoCkRasnUqonq8DvAPhVh7o2H8GBjHJMr
0/azlApr+losmvCVfYAqKrKnjjlKPKgc5UEK7eDF+uhxGDEAUrExkvTzRmt5RRQ8w4fFskzJnUlv
gn+crWTG7cf3w7zMTu8Sh5mnfeEy972Le/2+iJvSen2MXOzQaVe3WfHTHU9lVtVZQr7wsLbwvV+4
RRsLlxUbllrwUV+oCyBy5P1zpk1JaIv2LdBQnO0kXpsCujWJhXim42n3ywkDqufvd6uxmZDo+Kye
OWaEar3XORF5KlXDruR4V4O1SrbwJLW13xtuaUio2V25Qvv0AQCpj4h9qrx5pxCEJKjZtIzfI5x/
/T9vlTv+9PuoegId5g+fVYqoDl8I3hWcr/QiHtJgZ40WRHCgHjvnm3PtMpflzDdhO2ZvnsNF2IwX
5RgkIIbze8hMw09NYXz0OcN1yz4+XZ9RJR8EikVTtMj3LzOHzjNzrS54bbDoXaJKu7Hrlm9/ew8O
hgNPRNcF1XDPiYJeZ/4BTuzENgUi8Cy3c935uZzn2OqdjIL0gyXoOd6+Mro2z0N58etX7k0BfWts
xQ6Hsv1sC5ROhOVNdE9ffQW5YYzFVuEEVYE8dobQV6hSAkfFdm/yWCZSM4usWnf2U9sRtjM+Kw+J
RchDDk6nbs6ufNkiKwgYBX3yDcF14GMiychidEeGO5JKf1ZYy/bTO57EpnMCr3hYsqnq6eyTrSun
Vz/cAfUAIfU0KInaqlWwGO3Tckr9FTeV6Y1PTd/LfZqMRNbzUAgvsVbzXDTH/ty6yY9k3BSyeeB9
OhrNjISCHCD62MQ4rFF6KUWANUJDjC9fBEtOqSNHAdcyDQEHVXhiQjk0BsMfe5v3BlEnVqU0y7sx
iuZR7nDZBytrFD8ESdZZ5Z1WaqBlVtgsuW+l5WUBkCmVhz1TKzgYhhUhVgJ7LOVoDj/xgkyCo/W3
JsJc/vQlsnSK4bXrDDygAzZSVfSgpxxUc5qvGGTuSz/ZFtmCyEqwzAZ97e7QBIJnyU3x2M2ZE8Ml
rAO32c1ulbm9GS4Ff8avZ/ivnm1meGhaC/o3vBHMtCJcwgzwC9Z9nhh19yvidwARCGlTkfwf31PV
zn7hJSaNURBSJidJmHBmmdOGI1dGHAiKMsfceW6UHGg1OzYMElB++ddPbgl7PXkLZRzhcAlGUPUA
d1PyJjcgvvA9tvFm+fieDco907pPMjop+Kin61Ng6Yjmk/z9E3xzgF+UwmFyZkGB3BcVFSRSGbEU
EIGXrXVsK3ubmjf3HbqcGJqsSAMuWyxTuRG+NjdWvHeP/TbMsZfyd1LtLKotUH7T9IQaF/vJTnOl
nwNgN27ju94RyyA3LQ0LcXCz5S3cOwKShPA/BN0quQnIvtAQaoubPfOtsBeIVG2Zn9gca4Pf0Sss
mK5ydC0sAKPZ1D/HevMBodf/dqMWLOkGgCY73UnIp/HnIcFwKqVjTIEYgpC1a02cm4RQ0b6A8nUn
fMWFGQt35/8Amsk64C3y4r17c+M3w9E5kWVBRjHs3Cbnf4ZFCbCfINgIHsaZRVIazETr4RDFoVYh
MU0y5BJK3ovX8RVPLk6ATMnBQGOZkRqJFLnMlcSqRcebfa5Dvc84D3GBQK4jNRQdTm+8EPWGS2Nr
XPFdd4Ique3TMLdXM/nonKh/kg0u1PZ7bBr2x0ptaYJZss5/jGYr1FSch8ubQ7VUld2jy9DvEL6i
2VUZkqmgq9VoowD/rswsNPthVBWWtpj94Il0+v2+WYgC2CXyUVkZ3vIfcMZ6Koic2te/IYR4QCBL
Cpraj14lN5iMjQpR4Df6dVAz2Qk1KNO6u0Su/N7ecKmo6gFz9FSbBmqcpm2gdsxnV6TQErDDOsIq
WmRW+7lfsMmCXRTfLxznKlprVhSTDMkVj2SwpGtWyc284YtzcbWzktZVp7TI5KB/w34ZhLf76hgU
jOlcVFlwiwKwKYDT0Qe29RxuohgIH40Jw8VZgy31LfzjC/NWwL6Tq9EbB9U3LswnxFaZRdgavIpL
2xHgxDRHS2xLZye2KGt+ybSGOGDhWdFcEKFEE1Q+lJ3DRnD/qgkNbku2hs8ciLfD0V4gZDWVM3TK
OogHiLbChn8ck4gFm/cWiV2o85CaomIzAYtr6GMr7SYzPnsMg7jql20vT3KD0Rkl2SPcXi3TIs1V
owS0u2ufjhT1FhbmwwwxuKEsOfiACefM8eVyTsi/kFV0+46RrTfL6vHh9YXpgqOSRIKBOjWsGNpQ
AgEoAX0vIM/wQl8MK0+S7crE4H1ZVJ3KviBKHEvxM+uKCOA+yBlgVScP4QyM6friEno8cp4SnvqE
YnOwIcpIIVbJcbet07MyPrKwIz5jj0rsIx2aU5IFgS7RtyXJ2H1LHqKHtrtx1W6zxgPfFcSZT2R/
TUCBAcxNqNc1MeZG/ArF05VCNtT7VBnnM7g1oFqZPrr33KviUZF7aw+jvHjIHzS19t5fZPBxi73o
N0KJCbse7GfG28y0UsiTUWiaIZDAlQpeK1oIhj9fqBCZb92P7oKIpgG/I+rTL9n2mYevHT8FSTQ1
ZDCCk8U7ndn+f8b1P1FKFk3WHrc0msibgblR3siN94HzJ0SeN8pLTsTuEiHs9Nw0o+PqKfyEB3JW
wV3y51296dipg7mec64YMHa+F9MxVxlvZ1ckZ/JE3Zz8OGFIfwHDt+/eCK6Kga58LFvQrAs3ryW3
XK/JJZVmoyelkf/dTvwPzH8FxUFkIw2ydtyBadWBGlnc9SVkmz6VjFd1swmSBsSCc/xNI+z/96cH
tKNFMAjhhvdCNE/MGQTX/51bIC1rjNiKcmNWzJ8ZYvZKi4iDHTCD2lJcZ/d4rV4jk5pkksmTO0uR
nMtXrU32SpNud27bs8aMro8ZQmVG8HIU639SrvoV5HOSXXvvr6iAGMRdxkSihU71MQlT/+bctc5s
JGL8QibQL6s0BGXJf6ynA4xIrf9aT6zEs8BVYsaRFz3GgPlrTzpAvnIRVDW2CkPYWOefBiuMBmDv
khHhRDrawYqwFR6u/ya0dx5nb2nVxOt7Vvf7zz7BD0KFoGQiKTt6ebxwxEWP1ByujlO+NqYBLuyH
Hq17R3gx0wvFOsoLVCib5FaLDL6PEW5WkZos5LysyeXFUBuKi2O3ZuQieuiMH9xMM5fa2b22jL25
Xl+GFLqZgMFdYSmKyAgTnlZxrsANvUffxshUw2KQEM3W0RA0KjPcjhtkk+pHF/SZsmfYz0iTEYKR
n3aUzHMBdvpuuc/Vqgb2gWNSqyfuYInQI1GKQxk2ipUrgHQxOcPmy0mFosum3aDD+gWLNMDRNZRD
INIvnIWsezegEhJoIi+1K320eFGIbQfTOBZ3Qn0DYtmErOZByQGpwB4Qh037ycXPQEY+WoOA89ck
jbTS9uiRaR0Qu/el6Tu0L0dlQ3Z1A5vu18IOEt2CpJB4zQjCuDGCuLjxTMZv07+2v1+Do1ig/Y6h
ygi7fBqPUEniXkXkFbOrsTGpvqz3+OirxD+Mkt/nq6alU0jslGOOtUzTi6oN7sCkt9nIQF6qVcGr
VqsQaXpzsKFUFDR+/RpEzn235Ad+O/sHbrrTi51dWOto/OVxGX7RgFnylCRrlk4nQ1e0oxxTkT6O
LMyysElu8IUgVeG/XUf+rldmckvzCB15daP1oBtApygE28id9Ruc3LWL1I74xJ43ZlFhcTMKWB9M
T1so1oVQjisomfv/fyEBV5iTjZtB2wC9slB9qpJHqUrR1wvtsrHQN9FxLihyYFtmUile65XzzhEY
iDV+hmXf3KZbyO3wztIwLMMgIGR8mDnZIAIwYsulTzt5xLjOFTteYGhfcQAEMvGJhcQWPw/hRxsg
uVpjM+DPR5f38wnnwd4spREostrfHBSPGYzvLz9Xgg5mPj2Fb5fDUiKsGpJ1zf6bMjyf0rr89IbS
S3Nnf/mAZVP6E+/9hdE1d/ymt2bdyDnzHAEovHlNklLgXrEc2CfHI/D5lBniceO/2Na8mnBPfgWH
0Tlvj4P5eZ6lmAUWAABCOBWUx60tMDNqlOpIP5sdmFvRDZ5bX5mLOIbzo3ERYteUxHzS/ytzTK6N
RgWKWS18xN9xOaGfjSe4tVWry0WZcOABZpzj6mMXR8odnuiqjBgS/668k5P9hQNKId6lzOzVrfIM
MN8hG/Aen92DtP4JsYE7p0iGrUad74eICkQ+RzAzJRJnj20MuM43f/As6FfQEn03vDO5rP1202B5
HQISu1MP8R3gZ+ZnFyE5I7Ale0jykZEp8rAOwVyNWPkIEf2uI7W8PKQKZgg2s91oJUlUBw5I3RYO
mo5Bvevr1LU+zZK+ozHwne+E9V1GkkHmzXRH7FeU3g82KdnmVvFtWt8DjMhF1coT6R5Pnh6J1nnR
keK4dOxtHxYYab7BfCErHzTBx9RUEhYinmtt44V5Jqwd+xOugSyGEJtuAsMcgmaV9F4O4Vc9PWvh
2bsvPSId2M7PPqzFphCS7+ae17+sH39cJXwWPEzP+1mvJ9usf6gM671WSwegZN2wZaaa9QEtgyMX
TCddg2SgTAUzHh47PN7ulOiz4nM+SRMQxFyNNk289ayn7XWbtvSgSDCNl+Ak42dfatOpIJVPyeFc
EM4lcNIestbAcAtuhYHER0xZ806z2IVPo4yQKQwL/amcRJsmoQ4PEvm9ioQH7jUMoDSLiCcCJEqx
R1Mp3EtTfoVZZpXUaNmaGI1iekFowxToySthG4jzxSTNH/j+kHjOhFFTiU+z89N5TOO2UHoylqc0
Wz2WM1IJmjzV2c0YbkIuwjZfXG8DsWRM+sMPR48NIEhdNF90Rajq6eQA8c2t8zFSG1cxz+WAPrvm
LOhkcs+6HkiKx+6FtYnmk9l/Ki8RMuAzNiBycPYh30enGN3TAG9uFMDzOcpErr1C4uQ1qrZSkQ+0
cVLwTe2SLe3T3UpH0K9ATOsmqDsPYf93jGHrwA7j/kikwHtPPRRfqcPl96Sr42XfyfCQZXLyeze0
DdT624Y4D2QnOYcTJyA6W6vmriBk93lBRJGfIBaZ+42/0HrZ7HIvGmVGlcB3mkX2Jw+VYBFcZ2GW
bjd9a22oo9OxHRWBAlmXsg/qZ0hHvht7wRBeeci6GDDxQtav791h0k/Ne53esPbpS/sK6BsHZF9k
klgwu8R9JT0r2RLP+/bFk2FPVPXrHZray4H4o9/bLm0b9mvW5XJWmPVBGPnDrl77uqNphQ4yCOUl
3u4okiGBSCGcg/X14l9tA43eUV+d5Jwq1JGFESgShlK0k7EjlTueMIxSyAFcYMT1ejCSMz3MF8Ix
zNA487UTaStxYBFD3QIA8DnVIoYJGKnzWuMb6MS4MqdW980GyMKr3BUj8+nLizGHwIaiPt6WSQP1
oXVb/jC/B8E5+8CUp6NHpv5/3VZXsZ5yG3bMVgTVEhcTjKpbz5lf5iwKz2ObTZPVvJ1QkaKAve6I
DKsOBv8m/zIuio5z9Z2RcFJs9rwXHq6+V+ebyVGFGG3R0PlcQQgMRUwpJcBZtru7E0SmqhJMgf7e
s67WdQM+9ijRLYMI5weLkfe5pU3Wv7rBbH7TrLlgksJv6dLRxC0+CHKPHvyTxTCLxnCh5KxUM6q7
Su11nqGrlEtNoj8bGOLHScbrNWzMujDI5KQTk/wTRY3dF0fumG+1u4P0fxHCMVZexI4nE+O3HSY1
/cZldRQ1hXt63k00kazVPbf/LWe9Wc3veTks6KWTcvUbTId9jtoo0d3rIT8HveXZqUqDoJ9MLva8
0pv6tGjNEoE9vJUJWaccvqAUnIlAV+DHfIHcBucV9DfNqerqyjNkLVpg9v7ErHmlmSExP5uQRp+B
EjhsvACwyeEOuIdzL/EC7m0JPwa77dUDSIJbQM2xvIMvwshy7RlaZxSd2UcbtpJqiAt55PF8SIRF
LjEHl1gfbl8GEVeRu7mxVI5yCBUxETOg9zYNvk6/RV4Ej1+lYDIzy1WWdFTe1A8VM9qdfY2wmCZC
5/Rl5/6SNNaxasgb/PUZALpE51ztO7jVgYfK8JVO5S5iiM1e1CqmTHTCMQ5gDm7tez08zeiCck3O
ws41G7XB3c2eerUpifAH6uy9fDTIR6FtyLNnVN9YDM0ZTsYUvwk4/sUICOkb+EFoC1L6S0bPlYaI
6YeWa37+Zk+DvZ9LtWaex1k8N/6ZR5zJXP5XKkXoOl9zCSFxmV9pr+uBptKu8czIR6qUyyBqyMm+
LMOPD0u+DZf7Q/o7YYe3ziWGwd9T21P2sk9EQL+alQCaFUcg2nMe3N7uCzsXYEV6lJdwrTwskfVA
GFw5sNbK9u1Y6moPSAwdTGo8RkmjbX9B2S02iGXL7Pp59ycC0IGyFSpQcPAALH4Wzjnfvnagbhzw
qRX6+B0ngSBvrLyDOs4e9L0vt12//QeRxvckkJLJTWpHWogDnnYHOdQN0kw8L82gaGn6km8ukQ1x
sS0hD25FCMRWBXSVagCxsw+CzAuGJDVXyGZdtgjIP+5BjnMYnZcjMT0lI/RC1skVE1gWeu4UofxN
BbS7HxTkKXnnQdk6WusXWOcI4Wf++M/ZhMeHX8TjdSaz5/BI4a0bKVOnmlyIHKi9frN/fFeIeels
fIidBdqlo1PTMMvJnUPnfxfy/dhS+epUA5GnMEECrt8aZajhp3zc8CKK4+ZZr2qGRutNeaw32qQq
M98iqQjYfJLQEW3M5O/wI1FKf1G81OpJ+lG4g3B98f05SxmW5S3WAW9kY9Q2mmT/6pj8NTBn6Ocw
SJk1Ht3adTPsy7pFr9p7zLoj1SJJZHjS8q/EvjTJS+1146zwqVqGdQP0ruO8KaMXl5fkAmvXBa1s
g/Uc88CHbulUhH/oqHn1+K4SXDfNQDws0azULQVN3AEqA2HJVyk3WYmSkqV8NZxLGMmJg7mARUfF
KXsh2OlaI4mvAur/EQfAtAbWwXtL32qoCphZjr7bVba6+vRpV76UhZEPc08YQZqRATmromc0KbEa
fhw2w6EIZ7+s0RBVSGq+DmaOxDy+oe9PAra/JLiebHm/0hsOjGG+F79eGZyHiaYs6BaiY0/EkP9o
A58lfCG6XH9Pb4/wh0RBLGKaPgvnuGuuijo8obN67GhxFKTzgDQcNtlY6ziyN2L+NKVJG43LFQPC
L3bvniaKF2wflgS5KHg1BESMEpAIScIhtULiOGJKXh11iC0napwGarlBRt8++dsdVTQ6Zd34jjmM
8jqFpjpSBvMGKqN5Zysyq+aT0CmtM5YT6uK4QbD315wNYUsXWw7tqr7ElrTB6LJpGiff7G46R/2f
vSSdiXzb4PSHmCbHi/kEeIYTpsgnjF3pYhvWpiFbFijzwMhajRyu+F2yOTr8+PzZSlQvpUO9EQ8u
07nHdg8NjbwIIiW9G7vYclJ/2KTs1jZ3zkrblG6+cJq3Ir3zutCKYdLcmebQVUvQONfcBLikuZlK
TUbrWZk0thUGfrCxnjUBwjoKW5uOTEf0+QkalnuhsFETFPfHjlOlzmDnmbe6YvfM8Tep7ukebtp0
WI8/Fa/CPvjzdP7bqIOF05jegCW77m6NOfPgQi0Fg8LGEiquX20SneEf4Nzy8Pv+j0Xr24/789Hw
AzLsJPvZmMcqAgY921xtdxGSazodhC2xMI013PsY71kgEjLmiLlf/Ctr+oLybnf5nJHpFgQ5/biX
kc1qsimuRFnJnAuE+7gfcPIz3pHBQi45RV+PJI4vXWrVevPVjQKLMTgixwXblY+jXYJeqcG90Fwo
YRDAFmDVqPp/v7Mw7cjc/Y6KtDXTS7MPUi7xniiL+eRnIgrilR2bzqPZPBo9rRNWd93sWWvSi3bc
mqyyVjPZS7ywLS3RtD1BRxuSZ9Z9F7C7hZNNUbzO6N9p5bZa2BbIju9J4YVgEs6czNdX3RGN98wN
qmG8njwiUcpyttR5UI1xiDifk3y/RRzYJY/Zfxwuf1o0UN6nIYXAEYBELiDBIEaddudjlyXTvFB2
um30k2A1bMPT9CVfFUAhSxGGY3CizNLoHQ9oX9ctEGbzkxi5s07b8Zh94nz71fcZne4uYSXsJua9
+VH4Zz7wB8Pq9j+DxY+BfW6bkUfJdebFZRjgH0ySaZ90sHlkYMViZumhzUAfKOvVblfFMtr+5Rs4
QDqJ6Rh93VQMp1uWcCSnQpM6jrOA6e+infWAFDcBnEdQrZZq7AN3wm63mjLo4vRPcKDAQW0j+dJj
HPOBl7DRXhSR3Tyrn4p0i5yBzMbRXdJs1P+8toWkggsF2l1EBqDqvuzAMt8YWuIcuAw62HDS4lRd
1Jz1+xwOxhswu2SSzxBb6N1j18n0IblBZhlLyb+UrQKWsoyzs7FS2nxSY7iOiGE8ILFz04rwt4r/
8pG9/HvhfR2rhgKzv2S9sAyPMXKYc3bApxKOs5gUqPVAuzeDz+uEFDKmRGlYSc+n9glXAPrEvIAy
ISrXKoOh60swk4p4D7/ytEFVFJqRhGvm7kPZRXrSgJ9ZeaP/hYdUWmMU/uu/nuHZvEj6eHJcxXXl
4dCetfWhOC3DcjRlV6e/OzSl4aFZiQSdVzJ9IRyLFIzgOsk2V+r4IJvYtU592NyEgTffOotBLJ1o
0fcSXPmqE6JHsk9eT1pGybXWrSLM/pEcvkQ+8y4HzPlmDunAZK4UjR57Pbe1VoLgGNz9nnOV8+Ta
5uI6Y8yr0vzM2rHmZRTDuGX0ZSUbMSpkWdpEP1ooFhVt8CmZPJx2PW+vc5Uz6r4FoShjP8b/FlmZ
fmH4lS3xfPFsPHxocsDjidt2eP28f8zJsQL81zDJ0DZwEhd8AiOAAO2+7I1a+DrPn/ESNpAt24gm
MC11/pHak0VuedWOj+Tiuce3f0JbcdjtApHMVVFgcMnd3SFu8EEIsQOjItrb6AhfaHEwrQjuAZg8
JoUbHWz2MrD3oS7S4oBZFTgP/2FzUgr68UJg/hr+2aahnyrLn4PAZfRZxI6Eh+SIm2PbvlWiCo/d
uDPp/1/o9FP22fMlJv+TIslRusoN+Vul2yq+Luc0KfNAdNRTxQ3KIuhDcjMFwVZtRe4uus/sKFLA
Aq6g6VYS5dQ34+hNmET+ARvBD/vM73xkd/HYp5SXSI5WflxV2PhV3kZHlXuNK7ZUarrFpMwIfRph
F4/LdVSg3ArE3uE2SK/iUMZHE46nOQuz80jGsxIXaW0Xx1Gh7yfHLMDgyPg5010h7rij8rEVpRUv
jsvnc8xhXZsd5tVNmv9Rw2fD5tQVKqyS/awG9QY7VgTchQg0boMSx3zrGBrs6MYTgrIpD4kbpgK0
DNyK/4avva8atksF8e4PEQxeq0R+xEAw0kLJQeEj4byU1v97KeI/n6fA0jD9DHmm7lq/XH5SaXM/
S5xy6tq187LM51aGy6yI0JPr1bZAeZj7cuBiezcaw/bfJAjRWS3UocsscmCgWXXb4Pe1HxFdXIXh
BFTpOKlT5NLXVyvZ5ub/5qxHjh8Z8QsJs3xwq06uOiXC0EnC4zi5MaPAmVhUWrhQA1CaOyYvdkeZ
WhMC6JdLizYR/NoqIkzKqBD1FD0zJzwBLF9k/kYB9LxUELwI0RhEupgHrUw8EoGv1T7N8GrrhiZ7
dtlV/dfMatBAw7okiK0T+HD8BAW12Cck1EYLOW2u1tRU/RKMfM1q6ID+f4eNld7PrG2ku8MeV06z
Tt0RSSJAaRcxzsUYpte8rLfL1Kdi5thccCFka+IWJFogiz1GkvDdogXLgYSHHxqsa6jlnr7PfzH0
qypyALDtwdWY0lcdRIRzL3wpNmdUDrksU6vZpKeClEhYOZBn6VC+XIKIF12ma81N4IH3NbY+HqzY
zCCxT/DesBvCyoBMcpG8/iUZKtScj7i9qN/gitlm+NDZ4zpjgVUouEbXulsqOM2TZFgy+Y771UGb
tuYPY7ttCQmrbX9bufWdb6d53IA1t1DYUoZdP0FXjNWFXSgh8EB3PndVD8SlDAVx9W+OgQxbP6TY
Mfz40y8v/886JCFjTPuKJEhv4X9eK84pcg7SVDMJuLdyBhd5RHJq/YGYnXH8gcckt4Do2UjL82+h
rFGo5amOIyMYJGRG6BWnZnqD3KjUWWsV482/zsbZTgI9ryxqDfpKijyoFA5S/HAIKaFxlXSg1YR+
C1nk/Zfzenc6lqAUtxeEqROVtOwq571aLUNEpHgGoh/RiAhbMQU9TBb61Ybf/gezoz9qhvq+Wr1U
NgTn3R7LdSKNp/HefA22H1EoP2+E1YqWO2Qr8KcukLaccjPQKXeXIqVbH0xTRqqCJWsbkYoamRvc
NKi/XFqwk3KjQv4XZhBm7xhstwvPudjg0QyII4npL2eSAk+hG2b/edHwMeoVKFRdp9Eb3sIVZ92a
aZNf7DMROu2HKR5D/c2iY8N6TZ61MBV4lAGu6pYXGagBy+0wY35ahmTj4CCUscH1g787CYkVvB2Z
D9tn5Jltn5ZCrhKDaTivXgBriwtBuGQrRIOhiL74gRjQsncv+kAasaDHOUkb7D+4Cof9HGzelWL4
2torUDfefPy7WC6BdFvl7J6tVbZk/U63anvYbpEXRES4/RjNjQW1mVbIHsIzDw6N7hNbHWiAGNla
fruuvIZ7/wSi1fA5Ojd51craWX5WFpIEhS4Z/eDLJe4I35GpyKzfNk4l+dC4jE50NsDQEh/9rzFo
4iCA7GavaQ3q+1MIhhtFxbZbS41WZIjkIhaDZXDVxYpmy3Jv7lCRE93Oryiu1K8bAyEJbOqPzyxa
pf/3u4AjfNQlwGLZPG22rq5RqZT6HBzqHZSy9wX699CiT90Vc7Jr6y0dOYYHVbsd7PJxFo1mo3Af
gsQ3+dCzfo0Fh3QhKu6pGcyXDm4gHfcW2Q5JIAg4zNzXBm5ub2LUStrRdhPrDtcRIFwgUvgZ0/Bo
LAECQcd98e6Ribo35zD/4RjlQrQMJw19I9So5q0Coap8anL9YR4AjmgjrYjr82lITAF0LAvgX0pt
WXA1U5EdvxrYtV6Dl3Q9i+BsvNdBopgC3QWN3/TT/0ncECtbBOMLpkSnqGjIh4tiaF/svRx7Wg40
auX88gWubv1Jh3Pzua19letaOeawdp1DdEo0Mf36ANTo67nEd8W1aitdLBFi/PwJ442woFsO4kpH
urLqjCOfnj12CaKsnJVuN/FYoeH9M56RcvUOBtpIuS83+577tTNxpkaSz6T1rTn7M0QtYfxX9n9J
/1DyqW/Gk1+aoImJ3MGYDCmX6V3MHM7nx83mh9vUxyiPT4Wh88t6lL4/rj4LJflRASG6F9inKN0c
Z0QDd2AbwfIe3MaewyOf2IAbZex1+mNgKlGt3jGs+HCuQijYXpe1iaGiEVJiuxcCvOmp8rCsdRTn
jLJBpsqhXU8xIcjA7N/Oiu2ko2fkjKjA1RxOrw2sA6CubH/oXqjiMZ0lY5WDxnEwmZLsoy1vOWH2
I40dhUC6lJW2pAKkn24dKa4wOfFrO2LVsesHfGU8ccyHJeXwg+m9sTLVJToD1tUXzELg9DdgDx8U
Z1RTOzYFqRQ4PqA647MjkWshMM6U+VkkuNnlkmuerjDR+Egl31kBP9BmRS4oe1i9i2Akh49/wK34
dKLoclDsQXdrK9OxMRZxzKv2NeKqfiy72cbCO5N+MEhLKKgN2pS5DhVoTJCuATNCYgAJdGGxfN9F
dk52Hc0UXl/lVMPLUqc96OuLrgpaaT2RrHMfNXRkLDrV/5pPVp3DepPFo2bvpm79EISwUzCEGA3F
nkJdQxdckTai+ZxMblmsZPAy/BSrUdlVdBzVJyvmh1Ys0D7LcnD1SLbrD8kbmKzdY79Aoy+RtE6o
f+JXRuD42NvRhtfoM71U68ifJZ9o97325o5SfmUXEa85t7DZK6UeFpGwnAgc/RWIF440FgdXee3U
okOViasqfMzCj/el0tXqGBQIZmSoBkKQkmDuzOgpu7PZ+ndp7pVjGwL/8pFw1H8IQMMGJMy0zPY5
pmcBcufMC5TJKa3xvHpvFncohS373sH/c+QU/e7AW2/BsuOs2pC7FI1RDd76Wfq8tnMvg5Bqijny
en/t2ULVMe9IVyLO0FqrKHjQieM9T++0ns6aKf78FoFegCbCywCJYx2xu3kHe7KdUFzslnEK0GzX
rXdzbDUtGAhrG93jpppBqhL7l9LmbFyqGXmporpXNIDtlpeAa8GwppkvhiI6mKfuLtff50c8fDiS
8rpsTHte/BEv8fOUZydI6TKqWNRTvjQvPPTh+7hwBYEvCs1hncSHjeNyI2vKx+nX6sVmt0nLY+2V
AZEXM97wUIDIKtWwSEbPPGAEqkxY1Tm1EBedaJvp6Ryc7bt5UCYq0Ehv6MlpNtdYTuPAPSBBGFTv
+ykDHfEGnHbKD51UKAAe9Cm9yKmib2YHUDVWaZ0kYuAr+T+U4wRwgjNY3MbyO2ICk3mPDe4WichP
Ky22wVnu5VY3p3COXFrKdadtQQmfvcIPuhcWE3Df0d2JwQAbVICCX3ga9bbmKqcsuYiNKDaO9UeV
kLdy+rVvl3exw/s40ZnVVzvpGbIV1PTr82+abD6EdqXlogGkkl7UC0QQkWvThwYL+winxPgNG9a3
4k/Xuw3CX6fVmKOhVTfIPWHY1YAEejwOolQc5freejfKGSfytB9GQgI4/xQzQjLtbLFbDFnR61Hk
UEF/d0EZKrM42XIhIZRKcM60gXgfjJdLjeY=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FJjjreNSAqoGMUHbyxtFDxN3F3t7a0GH8U9GDkdBG5T2IcrNr4vkRmZxQj/hWnSotKOpFWNYUwQf
GJCsii/gaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TYsMQOb2Z1kLOvmQx4yykkrFL5yCTvrbRGCRv8djbYO5wGft8NYubxycq8xpvhquLz8FbL/WBb71
4JZb0FaUIC77p3oprhbdejx0bKOWnlGMKhjsJnlDpXs/EZhoUfphEbYrpjKMZ+vedOhV4GDGQA2J
Iurg2BFp1r9r5n2+Rvc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wMQs35vWM8BJ8QysKnI+jxS/3eBIrZEwsvIxgTH0j+8X6admGACSk+RooU47RfkUMt5G6Nibvfbb
x+bKAwzzyAMFfcNHA0I1quh3EDSXPpPc6V/KZaiGWUBq/1NrvVQ2GLbHRG2tbtS8T9pijdb/X81B
UA5QdJ11ybCejkgcNJ1Qk8IkeqyAcXKlP6BhXl1k0opnYsPyHU67brKZjrhO741DGjdjbkNKyHXJ
QvG7SH457gaoI308ZNw+VnBBaPNuZoPiy6bIDeiB+6GiqMEWHqfZV+0VEARB7Kha6SqP2oaXinSv
2BC9rrHxPMQfzCNKGgeW53R28iH7jPAYswz+HQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2XUKDqCUpczlHNJb+iPCKwLgmaNwV3bCBDrXf/tsh79tYhjfVlZetMPxnzqf8mrEJxRAEkfhoO9O
5b4fNiOXBxBMKFV6CtawL2Um0KKwPgS0AXWTyfcZ/Z6bKpkqDInROWm8i26hnpZMk1EEcvDp2PHB
01kAtt8ayC3XW6jAjN4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WY5GfuGYs2Kf3nfBTapcxUSI0xosH7x3BSu9qyYApVdRKSWSbcne4Y5pDagzUr44sr/o3b40EdwU
qVghrHL+ClCWJTbCirNQpwF6ppxDAZiTnys1wLJX/5TPxfN5yC1rNWOiUyiCWbRF7ksVJDGXP/rY
93sWdIGKLiLYAqt6n00BT8LgKGOBe5GF1mKpilS2g+wnj0jEN8kW4q4vuUtO/5XFrY+jt1QPFYR/
CVZw47TWbs44nZ3iHNMBov9yfJr9HbFynbUcsi5lMwN124/TcSzRIuVIK8lyg63hiN0G7G5FO1fS
iRrvBHnGSDBFi3c/oPjhTsxByktSdbGK/J3u0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FJjjreNSAqoGMUHbyxtFDxN3F3t7a0GH8U9GDkdBG5T2IcrNr4vkRmZxQj/hWnSotKOpFWNYUwQf
GJCsii/gaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TYsMQOb2Z1kLOvmQx4yykkrFL5yCTvrbRGCRv8djbYO5wGft8NYubxycq8xpvhquLz8FbL/WBb71
4JZb0FaUIC77p3oprhbdejx0bKOWnlGMKhjsJnlDpXs/EZhoUfphEbYrpjKMZ+vedOhV4GDGQA2J
Iurg2BFp1r9r5n2+Rvc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wMQs35vWM8BJ8QysKnI+jxS/3eBIrZEwsvIxgTH0j+8X6admGACSk+RooU47RfkUMt5G6Nibvfbb
x+bKAwzzyAMFfcNHA0I1quh3EDSXPpPc6V/KZaiGWUBq/1NrvVQ2GLbHRG2tbtS8T9pijdb/X81B
UA5QdJ11ybCejkgcNJ1Qk8IkeqyAcXKlP6BhXl1k0opnYsPyHU67brKZjrhO741DGjdjbkNKyHXJ
QvG7SH457gaoI308ZNw+VnBBaPNuZoPiy6bIDeiB+6GiqMEWHqfZV+0VEARB7Kha6SqP2oaXinSv
2BC9rrHxPMQfzCNKGgeW53R28iH7jPAYswz+HQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2XUKDqCUpczlHNJb+iPCKwLgmaNwV3bCBDrXf/tsh79tYhjfVlZetMPxnzqf8mrEJxRAEkfhoO9O
5b4fNiOXBxBMKFV6CtawL2Um0KKwPgS0AXWTyfcZ/Z6bKpkqDInROWm8i26hnpZMk1EEcvDp2PHB
01kAtt8ayC3XW6jAjN4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WY5GfuGYs2Kf3nfBTapcxUSI0xosH7x3BSu9qyYApVdRKSWSbcne4Y5pDagzUr44sr/o3b40EdwU
qVghrHL+ClCWJTbCirNQpwF6ppxDAZiTnys1wLJX/5TPxfN5yC1rNWOiUyiCWbRF7ksVJDGXP/rY
93sWdIGKLiLYAqt6n00BT8LgKGOBe5GF1mKpilS2g+wnj0jEN8kW4q4vuUtO/5XFrY+jt1QPFYR/
CVZw47TWbs44nZ3iHNMBov9yfJr9HbFynbUcsi5lMwN124/TcSzRIuVIK8lyg63hiN0G7G5FO1fS
iRrvBHnGSDBFi3c/oPjhTsxByktSdbGK/J3u0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FJjjreNSAqoGMUHbyxtFDxN3F3t7a0GH8U9GDkdBG5T2IcrNr4vkRmZxQj/hWnSotKOpFWNYUwQf
GJCsii/gaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TYsMQOb2Z1kLOvmQx4yykkrFL5yCTvrbRGCRv8djbYO5wGft8NYubxycq8xpvhquLz8FbL/WBb71
4JZb0FaUIC77p3oprhbdejx0bKOWnlGMKhjsJnlDpXs/EZhoUfphEbYrpjKMZ+vedOhV4GDGQA2J
Iurg2BFp1r9r5n2+Rvc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wMQs35vWM8BJ8QysKnI+jxS/3eBIrZEwsvIxgTH0j+8X6admGACSk+RooU47RfkUMt5G6Nibvfbb
x+bKAwzzyAMFfcNHA0I1quh3EDSXPpPc6V/KZaiGWUBq/1NrvVQ2GLbHRG2tbtS8T9pijdb/X81B
UA5QdJ11ybCejkgcNJ1Qk8IkeqyAcXKlP6BhXl1k0opnYsPyHU67brKZjrhO741DGjdjbkNKyHXJ
QvG7SH457gaoI308ZNw+VnBBaPNuZoPiy6bIDeiB+6GiqMEWHqfZV+0VEARB7Kha6SqP2oaXinSv
2BC9rrHxPMQfzCNKGgeW53R28iH7jPAYswz+HQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2XUKDqCUpczlHNJb+iPCKwLgmaNwV3bCBDrXf/tsh79tYhjfVlZetMPxnzqf8mrEJxRAEkfhoO9O
5b4fNiOXBxBMKFV6CtawL2Um0KKwPgS0AXWTyfcZ/Z6bKpkqDInROWm8i26hnpZMk1EEcvDp2PHB
01kAtt8ayC3XW6jAjN4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WY5GfuGYs2Kf3nfBTapcxUSI0xosH7x3BSu9qyYApVdRKSWSbcne4Y5pDagzUr44sr/o3b40EdwU
qVghrHL+ClCWJTbCirNQpwF6ppxDAZiTnys1wLJX/5TPxfN5yC1rNWOiUyiCWbRF7ksVJDGXP/rY
93sWdIGKLiLYAqt6n00BT8LgKGOBe5GF1mKpilS2g+wnj0jEN8kW4q4vuUtO/5XFrY+jt1QPFYR/
CVZw47TWbs44nZ3iHNMBov9yfJr9HbFynbUcsi5lMwN124/TcSzRIuVIK8lyg63hiN0G7G5FO1fS
iRrvBHnGSDBFi3c/oPjhTsxByktSdbGK/J3u0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FJjjreNSAqoGMUHbyxtFDxN3F3t7a0GH8U9GDkdBG5T2IcrNr4vkRmZxQj/hWnSotKOpFWNYUwQf
GJCsii/gaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TYsMQOb2Z1kLOvmQx4yykkrFL5yCTvrbRGCRv8djbYO5wGft8NYubxycq8xpvhquLz8FbL/WBb71
4JZb0FaUIC77p3oprhbdejx0bKOWnlGMKhjsJnlDpXs/EZhoUfphEbYrpjKMZ+vedOhV4GDGQA2J
Iurg2BFp1r9r5n2+Rvc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wMQs35vWM8BJ8QysKnI+jxS/3eBIrZEwsvIxgTH0j+8X6admGACSk+RooU47RfkUMt5G6Nibvfbb
x+bKAwzzyAMFfcNHA0I1quh3EDSXPpPc6V/KZaiGWUBq/1NrvVQ2GLbHRG2tbtS8T9pijdb/X81B
UA5QdJ11ybCejkgcNJ1Qk8IkeqyAcXKlP6BhXl1k0opnYsPyHU67brKZjrhO741DGjdjbkNKyHXJ
QvG7SH457gaoI308ZNw+VnBBaPNuZoPiy6bIDeiB+6GiqMEWHqfZV+0VEARB7Kha6SqP2oaXinSv
2BC9rrHxPMQfzCNKGgeW53R28iH7jPAYswz+HQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2XUKDqCUpczlHNJb+iPCKwLgmaNwV3bCBDrXf/tsh79tYhjfVlZetMPxnzqf8mrEJxRAEkfhoO9O
5b4fNiOXBxBMKFV6CtawL2Um0KKwPgS0AXWTyfcZ/Z6bKpkqDInROWm8i26hnpZMk1EEcvDp2PHB
01kAtt8ayC3XW6jAjN4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WY5GfuGYs2Kf3nfBTapcxUSI0xosH7x3BSu9qyYApVdRKSWSbcne4Y5pDagzUr44sr/o3b40EdwU
qVghrHL+ClCWJTbCirNQpwF6ppxDAZiTnys1wLJX/5TPxfN5yC1rNWOiUyiCWbRF7ksVJDGXP/rY
93sWdIGKLiLYAqt6n00BT8LgKGOBe5GF1mKpilS2g+wnj0jEN8kW4q4vuUtO/5XFrY+jt1QPFYR/
CVZw47TWbs44nZ3iHNMBov9yfJr9HbFynbUcsi5lMwN124/TcSzRIuVIK8lyg63hiN0G7G5FO1fS
iRrvBHnGSDBFi3c/oPjhTsxByktSdbGK/J3u0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224)
`protect data_block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`protect end_protected
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06:17:01 01/26/2014
-- Design Name:
-- Module Name: uc - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
use work.PIC_pkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity uc is
Port ( Clk : in STD_LOGIC;
Reset : in STD_LOGIC;
ROM_Data : in STD_LOGIC_VECTOR (11 downto 0);
ROM_Address : out STD_LOGIC_VECTOR (11 downto 0);
Databus : out STD_LOGIC_VECTOR (7 downto 0);
RAM_Address : out STD_LOGIC_VECTOR (7 downto 0);
RAM_CS : out STD_LOGIC;
RAM_WE : out STD_LOGIC;
RAM_OE : out STD_LOGIC;
ALU_Operation : out alu_op;
ALU_Index : in STD_LOGIC_VECTOR (7 downto 0);
Flag_Z : in STD_LOGIC;
Flag_C : in STD_LOGIC;
Flag_N : in STD_LOGIC;
Flag_E : in STD_LOGIC;
DMA_RQ : in STD_LOGIC;
DMA_ACK : out STD_LOGIC;
Send : out STD_LOGIC;
DMA_Ready : in STD_LOGIC);
end uc;
architecture pipelined of uc is
-- Fetch signals.
signal I_data_i, I_add_i : std_logic_vector(11 downto 0);
signal PC_reg, PC_in : std_logic_vector(11 downto 0);
signal IR_reg : std_logic_vector(11 downto 0);
signal LT_latch : std_logic_vector(11 downto 0);
signal LN : std_logic; -- Literal Needed.
signal PC1, jump_address, no_jump_address : std_logic_vector(11 downto 0);
signal jumping : std_logic;
-- Decode signals.
signal Jump_reg, Jump_in : std_logic;
signal JumpC_reg, JumpC_in : std_logic;
signal A_op_reg, A_op_in : alu_op;
signal D_ctl_reg, D_ctl_in : std_logic_vector(2 downto 0); -- CS&WE&OE
signal D_valid_reg, D_valid_in : std_logic;
signal IDN : std_logic; -- Index Needed.
signal D_data_reg, D_data_in : std_logic_vector(7 downto 0);
signal D_add_aux, D_add_aux_id : std_logic_vector(7 downto 0);
signal D_add_reg, D_add_in : std_logic_vector(7 downto 0);
signal jump_add_reg : std_logic_vector(11 downto 0);
signal start_send_reg, start_send_in : std_logic;
-- Execute signals.
signal NVD_reg : std_logic; -- Not Valid Data.
signal BR : std_logic; -- Buses Released.
signal RAM_Address_i : std_logic_vector(7 downto 0);
signal RAM_CS_i : std_logic;
signal RAM_WE_i : std_logic;
signal RAM_OE_i : std_logic;
-- FSM Send/DMA_RQ Controller.
type FSM_state is (idle, SND_free, SND_wait, SND_catch,
DMA_wait_non_jumpc, DMA_free, DMA_wait, DMA_catch);
signal FSM_now, FSM_next : FSM_state;
signal FF_enable : std_logic;
begin
-- Fetch Stage Logic:
I_data_i <= ROM_Data;
ROM_Address <= I_add_i;
-- -- Program Counter and Instruction Register.
process(Clk, Reset, PC_in, I_data_i)
begin
if Reset = '0' then
PC_reg <= X"000";
IR_reg <= X"000";
elsif Clk'event and Clk = '1' then
if FF_enable = '1' then
PC_reg <= PC_in;
IR_reg <= I_data_i;
end if;
end if;
end process;
-- -- Latch used to store the literal in 2-word instructions.
process(Clk, LN, I_data_i, LT_latch)
begin
if Clk = '1' and LN = '1' then
LT_latch <= I_data_i;
else
LT_latch <= LT_latch;
end if;
end process;
-- -- Next PC and ROM Address combinational logic.
PC1 <= PC_reg + X"001";
jump_address <= jump_add_reg;
no_jump_address <= PC1 when (Clk = '0' and LN = '1')
else PC_reg;
I_add_i <= jump_address when jumping = '1'
else no_jump_address;
PC_in <= I_add_i + X"001";
-- Decode Stage Logic:
-- -- Internal Pipeline's Registers.
process(Clk, Reset)
begin
if Reset = '0' then
A_op_reg <= nop;
Jump_reg <= '0';
JumpC_reg <= '0';
D_ctl_reg <= "000";
D_valid_reg <= '0';
D_data_reg <= X"00";
D_add_reg <= X"00";
jump_add_reg <= X"000";
start_send_reg <= '0';
elsif Clk'event and Clk = '1' then
if FF_enable = '1' then
A_op_reg <= A_op_in;
Jump_reg <= Jump_in;
JumpC_reg <= JumpC_in;
D_ctl_reg <= D_ctl_in;
D_valid_reg <= D_valid_in;
D_data_reg <= D_data_in;
D_add_reg <= D_add_in;
jump_add_reg <= LT_latch;
start_send_reg <= start_send_in;
end if;
end if;
end process;
-- -- Combinational Microinstruction Decoder.
process(IR_reg)
begin
A_op_in <= nop;
Jump_in <= '0';
JumpC_in <= '0';
D_ctl_in <= "000";
D_valid_in <= '1';
LN <= '0';
IDN <= '0';
start_send_in <= '0';
case IR_reg(7 downto 6) is
when TYPE_1 =>
case IR_reg(5 downto 0) is
when ALU_ADD => A_op_in <= op_add;
when ALU_SUB => A_op_in <= op_sub;
when ALU_SHIFTL => A_op_in <= op_shiftl;
when ALU_SHIFTR => A_op_in <= op_shiftr;
when ALU_AND => A_op_in <= op_and;
when ALU_OR => A_op_in <= op_or;
when ALU_XOR => A_op_in <= op_xor;
when ALU_CMPE => A_op_in <= op_cmpe;
when ALU_CMPG => A_op_in <= op_cmpg;
when ALU_CMPL => A_op_in <= op_cmpl;
when ALU_ASCII2BIN => A_op_in <= op_ascii2bin;
when ALU_BIN2ASCII => A_op_in <= op_bin2ascii;
when others =>
end case;
when TYPE_2 =>
LN <= '1';
case IR_reg(5 downto 0) is
when JMP_UNCOND => Jump_in <= '1';
when JMP_COND => JumpC_in <= '1';
when others =>
end case;
when TYPE_3 =>
case IR_reg(5 downto 0) is
-- LD entre registros.
when LD&SRC_ACC&DST_A => A_op_in <= op_mvacc2a;
when LD&SRC_ACC&DST_B => A_op_in <= op_mvacc2b;
when LD&SRC_ACC&DST_INDX => A_op_in <= op_mvacc2id;
-- LD en registros desde dato literal.
when LD&SRC_CONSTANT&DST_A => A_op_in <= op_lda;
LN <= '1';
when LD&SRC_CONSTANT&DST_B => A_op_in <= op_ldb;
LN <= '1';
when LD&SRC_CONSTANT&DST_ACC => A_op_in <= op_ldacc;
LN <= '1';
when LD&SRC_CONSTANT&DST_INDX => A_op_in <= op_ldid;
LN <= '1';
-- LD en registros desde dirección de memoria literal.
when LD&SRC_MEM&DST_A => A_op_in <= op_lda;
LN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
when LD&SRC_MEM&DST_B => A_op_in <= op_ldb;
LN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
when LD&SRC_MEM&DST_ACC => A_op_in <= op_ldacc;
LN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
when LD&SRC_MEM&DST_INDX => A_op_in <= op_ldid;
LN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
-- LD en registros desde dirección de memoria indexada.
when LD&SRC_INDXD_MEM&DST_A => A_op_in <= op_lda;
LN <= '1';
IDN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
when LD&SRC_INDXD_MEM&DST_B => A_op_in <= op_ldb;
LN <= '1';
IDN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
when LD&SRC_INDXD_MEM&DST_ACC => A_op_in <= op_ldacc;
LN <= '1';
IDN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
when LD&SRC_INDXD_MEM&DST_INDX => A_op_in <= op_ldid;
LN <= '1';
IDN <= '1';
D_valid_in <= '0';
D_ctl_in <= "101";
-- WR desde registro ACC en memoria literal.
when WR&SRC_ACC&DST_MEM => A_op_in <= op_oeacc;
LN <= '1';
D_valid_in <= '0';
D_ctl_in <= "110";
-- WR desde registro ACC en memoria indexada.
when WR&SRC_ACC&DST_INDXD_MEM => A_op_in <= op_oeacc;
LN <= '1';
IDN <= '1';
D_valid_in <= '0';
D_ctl_in <= "110";
when others =>
end case;
when TYPE_4 =>
start_send_in <= '1';
when others =>
end case;
end process;
D_data_in <= LT_latch(7 downto 0);
D_add_aux <= LT_latch(7 downto 0);
D_add_aux_id <= LT_latch(7 downto 0) + ALU_Index;
D_add_in <= D_add_aux_id when IDN = '1'
else D_add_aux;
-- Execute Stage Logic:
jumping <= Jump_reg or (JumpC_reg and Flag_Z);
process(Clk, Reset)
begin
if Reset = '0' then
NVD_reg <= '0';
elsif Clk'event and Clk = '1' then
if FF_enable = '1' then
NVD_reg <= jumping;
end if;
end if;
end process;
ALU_Operation <= A_op_reg when NVD_reg = '0'
else nop;
Databus <= D_data_reg(7 downto 0) when (D_valid_reg = '1' and BR = '0')
else (others => 'Z');
RAM_Address_i <= D_add_reg;
RAM_Address <= RAM_Address_i when BR = '0'
else (others => 'Z');
RAM_CS_i <= D_ctl_reg(2) when NVD_reg = '0'
else '0';
RAM_CS <= RAM_CS_i when BR = '0'
else 'Z';
RAM_WE_i <= D_ctl_reg(1) when NVD_reg = '0'
else '0';
RAM_WE <= RAM_WE_i when BR = '0'
else 'Z';
RAM_OE_i <= D_ctl_reg(0) when NVD_reg = '0'
else '0';
RAM_OE <= RAM_OE_i when BR = '0'
else 'Z';
-- FSM Send/DMA Ack Controller:
process(Clk, Reset)
begin
if Reset <= '0' then
FSM_now <= idle;
elsif Clk'event and Clk = '1' then
FSM_now <= FSM_next;
end if;
end process;
process(FSM_now, start_send_reg, DMA_Ready, DMA_RQ)
begin
BR <= '0';
FF_enable <= '1';
Send <= '0';
DMA_ACK <= '0';
case FSM_now is
when idle =>
if start_send_reg = '1' then
FSM_next <= SND_free;
elsif DMA_RQ = '1' then
FSM_next <= DMA_wait_non_jumpc;
else
FSM_next <= idle;
end if;
when SND_free =>
FF_enable <= '0';
Send <= '1';
if DMA_Ready <= '0' then
FSM_next <= SND_wait;
else
FSM_next <= SND_free;
end if;
when SND_wait =>
BR <= '1';
FF_enable <= '0';
Send <= '1';
if DMA_Ready <= '1' then
FSM_next <= SND_catch;
else
FSM_next <= SND_wait;
end if;
when SND_catch =>
BR <= '1';
FF_enable <= '0';
Send <= '0';
FSM_next <= idle;
when DMA_wait_non_jumpc =>
if JumpC_in = '1' then
FSM_next <= DMA_wait_non_jumpc;
else
FSM_next <= DMA_free;
end if;
when DMA_free =>
DMA_ACK <= '1';
FSM_next <= DMA_wait;
when DMA_wait =>
BR <= '1';
FF_enable <= '0';
DMA_ACK <= '1';
if DMA_RQ <= '0' then
FSM_next <= DMA_catch;
else
FSM_next <= DMA_wait;
end if;
when DMA_catch =>
BR <= '1';
FF_enable <= '0';
DMA_ACK <= '0';
FSM_next <= idle;
end case;
end process;
end pipelined;
|
---------------------------------------------------------------------------
-- ID/EX Pipeline Register
-- It propagates inputs coming from the decode stage to the ex stage
-- Note the use of the flush control signal: it used to flush the pipeline
-- register in case of control hazards(fluhs when the signal is asseted).
-- The reset is synchronous with respet to the clock, whereas the flush is
-- asynchronous.
---------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.globals.all;
----------------------------------------------------------------------------
----------------------------------------------------------------------------
entity idex_reg is
port (
-- INPUTS
cw_to_ex_dec : in std_logic_vector((CW_SIZE+ALUOP_SIZE)-2 downto 0); -- control word directed to the ex stage (note -2 since unsigned control signal is alredy used in decode thus no need to propagate)
jump_address_dec : in std_logic_vector(31 downto 0); -- jump address extended
pc_4_dec : in std_logic_vector(31 downto 0); -- PC incremented by 4 from decode
read_data_1_dec : in std_logic_vector(31 downto 0); -- reg 1 read from decode
read_data_2_dec : in std_logic_vector(31 downto 0); -- reg 2 read from decode
immediate_ext_dec : in std_logic_vector(31 downto 0); -- immediate sign extended from decode
immediate_dec : in std_logic_vector(15 downto 0); -- immediate for lui instrucion from decode
rt_dec : in std_logic_vector(4 downto 0); -- rt address from decode
rd_dec : in std_logic_vector(4 downto 0); -- rs address from decode
rs_dec : in std_logic_vector(4 downto 0); -- rd address from decode
clk : in std_logic; -- global clock signal
rst : in std_logic; -- global reset signal
-- OUTPUTS
cw_to_ex : out std_logic_vector((CW_SIZE+ALUOP_SIZE)-2 downto 0); -- control word for ex stage
jump_address : out std_logic_vector(31 downto 0); -- jump address to ex stage
pc_4 : out std_logic_vector(31 downto 0);
read_data_1 : out std_logic_vector(31 downto 0);
read_data_2 : out std_logic_vector(31 downto 0);
immediate_ext : out std_logic_vector(31 downto 0);
immediate : out std_logic_vector(15 downto 0);
rt : out std_logic_vector(4 downto 0);
rd : out std_logic_vector(4 downto 0);
rs : out std_logic_vector(4 downto 0)
);
end idex_reg;
----------------------------------------------------------------------------
----------------------------------------------------------------------------
architecture behavioral of idex_reg is
begin
------------------------
-- Reg Proc
-- Type: Sequiential
-- Purpose: Implement
-- the behavior of the
-- pipeline register
-- Reset is synchronous
------------------------
Reg_proc: process(clk)
begin
if (clk = '1' and clk'event) then
if (rst = '1') then
cw_to_ex <= (others => '0');
jump_address <= (others => '0');
pc_4 <= (others => '0');
read_data_1 <= (others => '0');
read_data_2 <= (others => '0');
immediate_ext <= (others => '0');
immediate <= (others => '0');
rt <= (others => '0');
rd <= (others => '0');
rs <= (others => '0');
else
cw_to_ex <= cw_to_ex_dec;
jump_address <= jump_address_dec;
pc_4 <= pc_4_dec;
read_data_1 <= read_data_1_dec;
read_data_2 <= read_data_2_dec;
immediate_ext <= immediate_ext_dec;
immediate <= immediate_dec;
rt <= rt_dec;
rd <= rd_dec;
rs <= rs_dec;
end if;
end if;
end process;
end behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1350.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p10n01i01350ent IS
END c08s04b01x00p10n01i01350ent;
ARCHITECTURE c08s04b01x00p10n01i01350arch OF c08s04b01x00p10n01i01350ent IS
signal Add_bus : integer := 67;
BEGIN
TESTING: PROCESS
BEGIN
Add_bus <= 1 after 5 ns, 6 after 10 ns, 12 after 19 ns;
Add_bus <= 6 after 12 ns, 20 after 19 ns, 6 after 21 ns;
wait;
END PROCESS TESTING;
TEST : PROCESS(Add_bus)
variable ok : integer := 1;
BEGIN
if (now = 5 ns) then
if (Add_bus /= 67) then
ok := 0;
end if;
elsif (now = 10 ns) then
if (Add_bus /= 6) then
ok := 0;
end if;
elsif (now = 12 ns) then
if (Add_bus /= 6) then
ok := 0;
end if;
elsif (now = 19 ns) then
if (Add_bus /= 20) then
ok := 0;
end if;
end if;
if (now = 21 ns) then
assert NOT( Add_bus = 6 and ok = 1)
report "***PASSED TEST: c08s04b01x00p10n01i01350"
severity NOTE;
assert ( Add_bus = 6 and ok = 1)
report "***FAILED TEST: c08s04b01x00p10n01i01350 - Projected output waveform with initial delay test failed."
severity ERROR;
end if;
END PROCESS TEST;
END c08s04b01x00p10n01i01350arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1350.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p10n01i01350ent IS
END c08s04b01x00p10n01i01350ent;
ARCHITECTURE c08s04b01x00p10n01i01350arch OF c08s04b01x00p10n01i01350ent IS
signal Add_bus : integer := 67;
BEGIN
TESTING: PROCESS
BEGIN
Add_bus <= 1 after 5 ns, 6 after 10 ns, 12 after 19 ns;
Add_bus <= 6 after 12 ns, 20 after 19 ns, 6 after 21 ns;
wait;
END PROCESS TESTING;
TEST : PROCESS(Add_bus)
variable ok : integer := 1;
BEGIN
if (now = 5 ns) then
if (Add_bus /= 67) then
ok := 0;
end if;
elsif (now = 10 ns) then
if (Add_bus /= 6) then
ok := 0;
end if;
elsif (now = 12 ns) then
if (Add_bus /= 6) then
ok := 0;
end if;
elsif (now = 19 ns) then
if (Add_bus /= 20) then
ok := 0;
end if;
end if;
if (now = 21 ns) then
assert NOT( Add_bus = 6 and ok = 1)
report "***PASSED TEST: c08s04b01x00p10n01i01350"
severity NOTE;
assert ( Add_bus = 6 and ok = 1)
report "***FAILED TEST: c08s04b01x00p10n01i01350 - Projected output waveform with initial delay test failed."
severity ERROR;
end if;
END PROCESS TEST;
END c08s04b01x00p10n01i01350arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1350.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p10n01i01350ent IS
END c08s04b01x00p10n01i01350ent;
ARCHITECTURE c08s04b01x00p10n01i01350arch OF c08s04b01x00p10n01i01350ent IS
signal Add_bus : integer := 67;
BEGIN
TESTING: PROCESS
BEGIN
Add_bus <= 1 after 5 ns, 6 after 10 ns, 12 after 19 ns;
Add_bus <= 6 after 12 ns, 20 after 19 ns, 6 after 21 ns;
wait;
END PROCESS TESTING;
TEST : PROCESS(Add_bus)
variable ok : integer := 1;
BEGIN
if (now = 5 ns) then
if (Add_bus /= 67) then
ok := 0;
end if;
elsif (now = 10 ns) then
if (Add_bus /= 6) then
ok := 0;
end if;
elsif (now = 12 ns) then
if (Add_bus /= 6) then
ok := 0;
end if;
elsif (now = 19 ns) then
if (Add_bus /= 20) then
ok := 0;
end if;
end if;
if (now = 21 ns) then
assert NOT( Add_bus = 6 and ok = 1)
report "***PASSED TEST: c08s04b01x00p10n01i01350"
severity NOTE;
assert ( Add_bus = 6 and ok = 1)
report "***FAILED TEST: c08s04b01x00p10n01i01350 - Projected output waveform with initial delay test failed."
severity ERROR;
end if;
END PROCESS TEST;
END c08s04b01x00p10n01i01350arch;
|
-- Package for handling IPv4 headers, UDP and TCP packets
--
-- Original author: Colm Ryan
-- Copyright 2015,2016 Raytheon BBN Technologies
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ethernet_frame_pkg.byte_array;
package IPv4_packet_pkg is
subtype IPv4_addr_t is byte_array(0 to 3);
function header_checksum(header : byte_array) return std_logic_vector;
function ipv4_header(
protocol : std_logic_vector(7 downto 0);
packet_length : natural;
src_IP : IPv4_addr_t;
dest_IP : IPv4_addr_t
) return byte_array;
function udp_checksum(packet : byte_array) return std_logic_vector;
function udp_packet (
src_IP : IPv4_addr_t;
dest_IP : IPv4_addr_t;
src_port : std_logic_vector(15 downto 0);
dest_port : std_logic_vector(15 downto 0);
payload : byte_array
) return byte_array;
function tcp_checksum(packet : byte_array) return std_logic_vector;
function tcp_packet (
src_IP : IPv4_addr_t;
dest_IP : IPv4_addr_t;
src_port : std_logic_vector(15 downto 0);
dest_port : std_logic_vector(15 downto 0);
seq_num : natural;
ack_num : natural;
syn : std_logic;
ack : std_logic;
payload : byte_array
) return byte_array;
end IPv4_packet_pkg;
package body IPv4_packet_pkg is
function header_checksum(header : byte_array) return std_logic_vector is
variable sum : unsigned(31 downto 0) := (others => '0');
variable checksum : std_logic_vector(15 downto 0);
variable tmp : std_logic_vector(15 downto 0);
begin
--Sum header
for ct in 0 to 9 loop
--For some reason Vivado can't infer this as one line
-- sum := sum + unsigned(header(2*ct) & header(2*ct+1))
tmp := header(2*ct) & header(2*ct+1);
sum := sum + unsigned(tmp);
end loop;
--Fold back in the carry
checksum := std_logic_vector(sum(15 downto 0) + sum(31 downto 16));
--Return one's complement
return not checksum;
end header_checksum;
function ipv4_header(
protocol : std_logic_vector(7 downto 0);
packet_length : natural;
src_IP : IPv4_addr_t;
dest_IP : IPv4_addr_t
) return byte_array is
variable header : byte_array(0 to 19);
variable len : unsigned(15 downto 0);
variable checksum : std_logic_vector(15 downto 0);
variable idx : natural := 0;
begin
header(0) := x"45"; --version and header length
header(1) := x"00"; --type of service
len := to_unsigned(packet_length, 16);
header(2) := std_logic_vector(len(15 downto 8));
header(3) := std_logic_vector(len(7 downto 0));
header(4) := x"ba"; header(5) := x"ad"; -- identification
header(6) := x"00"; header(7) := x"00"; --flags and fragment
header(8) := x"80"; --time to live
header(9) := protocol; --protocol
header(10) := x"00"; header(11) := x"00"; --checksum
idx := 12;
--source IP
for ct in 0 to 3 loop
header(idx) := src_IP(ct);
idx := idx + 1;
end loop;
--destination IP
for ct in 0 to 3 loop
header(idx) := dest_IP(ct);
idx := idx + 1;
end loop;
--Calculate checksum and insert it
checksum := header_checksum(header);
header(10) := checksum(15 downto 8);
header(11) := checksum(7 downto 0);
return header;
end ipv4_header;
function udp_checksum(packet : byte_array) return std_logic_vector is
variable sum : unsigned(31 downto 0) := (others => '0');
variable checksum : std_logic_vector(15 downto 0);
variable tmp : std_logic_vector(15 downto 0);
variable udp_length : natural;
begin
--Extract pseudo packet header
--source and dest IP
for ct in 0 to 3 loop
tmp := packet(12 + 2*ct) & packet(12 + 2*ct + 1);
sum := sum + unsigned(tmp);
end loop;
--Protocol 0x0011
sum := sum + to_unsigned(17, 32);
--UDP length
tmp := packet(24) & packet(25);
sum := sum + unsigned(tmp);
udp_length := to_integer(unsigned(tmp));
for ct in 0 to udp_length/2 - 1 loop
tmp := packet(20 + 2*ct) & packet(20 + 2*ct + 1);
sum := sum + unsigned(tmp);
end loop;
--Fold back in carry
checksum := std_logic_vector(sum(15 downto 0) + sum(31 downto 16));
--return one's complement
return not checksum;
end udp_checksum;
function udp_packet (
src_IP : IPv4_addr_t;
dest_IP : IPv4_addr_t;
src_port : std_logic_vector(15 downto 0);
dest_port : std_logic_vector(15 downto 0);
payload : byte_array
) return byte_array is
variable packet_length : natural := 20 + 8 + payload'length; --IPv4 header + UDP header
variable len : unsigned(15 downto 0);
variable checksum : std_logic_vector(15 downto 0);
variable packet : byte_array(0 to packet_length-1);
begin
--IPv4 header
packet(0 to 19) := ipv4_header(x"11", packet_length, src_IP, dest_IP);
--UDP source and destination port
packet(20) := src_port(15 downto 8);
packet(21) := src_port(7 downto 0);
packet(22) := dest_port(15 downto 8);
packet(23) := dest_port(7 downto 0);
--UDP packet length
len := to_unsigned(8 + payload'length, 16);
packet(24) := std_logic_vector(len(15 downto 8));
packet(25) := std_logic_vector(len(7 downto 0));
--checksum
packet(26) := x"00";
packet(27) := x"00";
--start after IPv4 + UDP header
for ct in 0 to payload'high loop
packet(28+ct) := payload(ct);
end loop;
--Go back and fill in checksum
checksum := udp_checksum(packet);
packet(26) := checksum(15 downto 8);
packet(27) := checksum(7 downto 0);
return packet;
end udp_packet;
function tcp_checksum(packet : byte_array) return std_logic_vector is
variable sum : unsigned(31 downto 0) := (others => '0');
variable checksum : std_logic_vector(15 downto 0);
variable tmp : std_logic_vector(15 downto 0);
variable tcp_length : natural;
begin
--Extract pseudo packet header
--source and dest IP
for ct in 0 to 3 loop
tmp := packet(12 + 2*ct) & packet(12 + 2*ct + 1);
sum := sum + unsigned(tmp);
end loop;
--Protocol 0x0006
sum := sum + to_unsigned(6, 32);
--tcp length - subtract off ipv4 header (20 bytes)
tcp_length := packet'length - 20;
sum := sum + to_unsigned(tcp_length, 32);
for ct in 0 to tcp_length/2 - 1 loop
tmp := packet(20 + 2*ct) & packet(20 + 2*ct + 1);
sum := sum + unsigned(tmp);
end loop;
--Fold back in carry
checksum := std_logic_vector(sum(15 downto 0) + sum(31 downto 16));
--return one's complement
return not checksum;
end tcp_checksum;
function tcp_packet (
src_IP : IPv4_addr_t;
dest_IP : IPv4_addr_t;
src_port : std_logic_vector(15 downto 0);
dest_port : std_logic_vector(15 downto 0);
seq_num : natural;
ack_num : natural;
syn : std_logic;
ack : std_logic;
payload : byte_array
) return byte_array is
variable packet_length : natural := 20 + 20 + payload'length; --IPv4 header + TCP header
variable len : unsigned(15 downto 0);
variable checksum : std_logic_vector(15 downto 0);
variable packet : byte_array(0 to packet_length-1);
variable num : std_logic_vector(31 downto 0);
begin
--IPv4 header
packet(0 to 19) := ipv4_header(x"06", packet_length, src_IP, dest_IP);
--TCP source and destination port
packet(20) := src_port(15 downto 8);
packet(21) := src_port(7 downto 0);
packet(22) := dest_port(15 downto 8);
packet(23) := dest_port(7 downto 0);
--sequence number
num := std_logic_vector(to_unsigned(seq_num, 32));
packet(24) := num(31 downto 24);
packet(25) := num(23 downto 16);
packet(26) := num(15 downto 8);
packet(27) := num(7 downto 0);
--ack number
num := std_logic_vector(to_unsigned(ack_num, 32));
packet(28) := num(31 downto 24);
packet(29) := num(23 downto 16);
packet(30) := num(15 downto 8);
packet(31) := num(7 downto 0);
packet(32) := x"50"; --data offset
--flags
if payload'length > 0 then
packet(33) := "000" & ack & "00" & syn & "0";
else
packet(33) := "000" & ack & "10" & syn & "0";
end if;
--window size
packet(34) := x"08";
packet(35) := x"00";
--checksum
packet(36) := x"00";
packet(37) := x"00";
--urgent pointer
packet(38) := x"00"; packet(39) := x"00";
for ct in 0 to payload'high loop
packet(40+ct) := payload(ct);
end loop;
--Go back and fill in checksum
checksum := tcp_checksum(packet);
packet(36) := checksum(15 downto 8);
packet(37) := checksum(7 downto 0);
return packet;
end tcp_packet;
end package body;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_593 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end sub_593;
architecture augh of sub_593 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_593 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end sub_593;
architecture augh of sub_593 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_gpio:2.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_gpio_v2_0;
USE axi_gpio_v2_0.axi_gpio;
ENTITY ZynqDesign_axi_gpio_1_1 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ZynqDesign_axi_gpio_1_1;
ARCHITECTURE ZynqDesign_axi_gpio_1_1_arch OF ZynqDesign_axi_gpio_1_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF ZynqDesign_axi_gpio_1_1_arch: ARCHITECTURE IS "yes";
COMPONENT axi_gpio IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_GPIO_WIDTH : INTEGER;
C_GPIO2_WIDTH : INTEGER;
C_ALL_INPUTS : INTEGER;
C_ALL_INPUTS_2 : INTEGER;
C_ALL_OUTPUTS : INTEGER;
C_ALL_OUTPUTS_2 : INTEGER;
C_INTERRUPT_PRESENT : INTEGER;
C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_IS_DUAL : INTEGER;
C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0)
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
ip2intc_irpt : OUT STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_gpio;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I";
BEGIN
U0 : axi_gpio
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_GPIO_WIDTH => 8,
C_GPIO2_WIDTH => 32,
C_ALL_INPUTS => 1,
C_ALL_INPUTS_2 => 0,
C_ALL_OUTPUTS => 0,
C_ALL_OUTPUTS_2 => 0,
C_INTERRUPT_PRESENT => 0,
C_DOUT_DEFAULT => X"00000000",
C_TRI_DEFAULT => X"FFFFFFFF",
C_IS_DUAL => 0,
C_DOUT_DEFAULT_2 => X"00000000",
C_TRI_DEFAULT_2 => X"FFFFFFFF"
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
gpio_io_i => gpio_io_i,
gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END ZynqDesign_axi_gpio_1_1_arch;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
|
----------------------------------------------------------------------------------
-- Company: UMASS DARTMOUTH
-- Engineer: Christopher Parks ([email protected])
--
-- Create Date: 15:49:41 04/13/2016
-- Module Name: BranchPredictor - Behavioral
-- Description:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
entity BranchPredictor is
generic(PCWIDTH:integer := 5);
Port ( CLK : in STD_LOGIC;
ALUBranch : in STD_LOGIC;
OPC1 : in STD_LOGIC_VECTOR(3 downto 0); -- The OPCode at OP1
OPC3 : in STD_LOGIC_VECTOR(3 downto 0); -- The OPCode at OP3
OFFSET : in STD_LOGIC_VECTOR(3 downto 0);
PC4_DATIN : in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
PC4_DATOUT : out STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
VALID : out STD_LOGIC;
Branch : out STD_LOGIC);
end BranchPredictor;
architecture Combinational of BranchPredictor is
signal ADR, INADR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal OFF : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
type BRANCH_STATE is (DONT_BRANCH, DO_BRANCH);
signal STATE : BRANCH_STATE := DONT_BRANCH;
begin
INADR <= PC4_DATIN;
OFF <= OFFSET;
PC4_DATOUT <= ADR;
process(CLK)
begin
if(rising_edge(CLK)) then
if(OPC3=x"F") then
case STATE is
when DONT_BRANCH =>
case ALUBranch is
when '0' => STATE <= DONT_BRANCH; -- '0'/"00"
Branch <= '0';
VALID <= '0';
when '1' => STATE <= DO_BRANCH; -- '1'/"11"
Branch <= '1';
VALID <= '1';
ADR <= INADR + OFF;
when others => STATE <= DONT_BRANCH;
end case;
when DO_BRANCH =>
case ALUBranch is
when '0' => STATE <= DONT_BRANCH; -- '0'/"01"
Branch <= '1';
VALID <= '1';
when '1' => STATE <= DO_BRANCH; -- '1'/"10"
Branch <= '0';
VALID <= '0';
ADR <= INADR + 1;
when others => STATE <= DONT_BRANCH;
end case;
end case;
elsif OPC1 = X"F" then
case STATE is
when DO_BRANCH => Branch <= '1';
when OTHERS => Branch <= '0';
end case;
else
Branch <= '0';
VALID <= '0';
end if;
-- else
-- STATE <= DONT_BRANCH;
-- --end if;
-- end if;
end if;
end process;
-- if(OPC1 = x"F") then
-- case STATE is
-- when DONT_BRANCH => Branch <= '0';
-- when DO_BRANCH => Branch <= '1';
-- end case;
-- else
-- Branch <= '0';
-- end if;
-- Branch <= '1' when STATE = DO_BRANCH AND OPC1 = x"F" else
-- '0';
end Combinational;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun May 21 18:13:28 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub -rename_top system_ov7670_controller_0_0 -prefix
-- system_ov7670_controller_0_0_ system_ov7670_controller_0_0_stub.vhdl
-- Design : system_ov7670_controller_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_ov7670_controller_0_0 is
Port (
clk : in STD_LOGIC;
resend : in STD_LOGIC;
config_finished : out STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
reset : out STD_LOGIC;
pwdn : out STD_LOGIC;
xclk : out STD_LOGIC
);
end system_ov7670_controller_0_0;
architecture stub of system_ov7670_controller_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,resend,config_finished,sioc,siod,reset,pwdn,xclk";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "ov7670_controller,Vivado 2016.4";
begin
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun May 21 18:13:28 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub -rename_top system_ov7670_controller_0_0 -prefix
-- system_ov7670_controller_0_0_ system_ov7670_controller_0_0_stub.vhdl
-- Design : system_ov7670_controller_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_ov7670_controller_0_0 is
Port (
clk : in STD_LOGIC;
resend : in STD_LOGIC;
config_finished : out STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
reset : out STD_LOGIC;
pwdn : out STD_LOGIC;
xclk : out STD_LOGIC
);
end system_ov7670_controller_0_0;
architecture stub of system_ov7670_controller_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,resend,config_finished,sioc,siod,reset,pwdn,xclk";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "ov7670_controller,Vivado 2016.4";
begin
end;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for inst_ea_e
--
-- Generated
-- by: wig
-- on: Wed Nov 30 06:48:17 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../generic.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_ea_e-e.vhd,v 1.3 2005/11/30 14:04:03 wig Exp $
-- $Date: 2005/11/30 14:04:03 $
-- $Log: inst_ea_e-e.vhd,v $
-- Revision 1.3 2005/11/30 14:04:03 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity inst_ea_e
--
entity inst_ea_e is
-- Generics:
-- No Generated Generics for Entity inst_ea_e
-- Generated Port Declaration:
-- No Generated Port for Entity inst_ea_e
end inst_ea_e;
--
-- End of Generated Entity inst_ea_e
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Mon Feb 13 12:47:54 2017
-- Host : WK117 running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_axi_quad_spi_shield_0/system_axi_quad_spi_shield_0_stub.vhdl
-- Design : system_axi_quad_spi_shield_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35ticsg324-1L
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_axi_quad_spi_shield_0 is
Port (
ext_spi_clk : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 6 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 6 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
io0_i : in STD_LOGIC;
io0_o : out STD_LOGIC;
io0_t : out STD_LOGIC;
io1_i : in STD_LOGIC;
io1_o : out STD_LOGIC;
io1_t : out STD_LOGIC;
sck_i : in STD_LOGIC;
sck_o : out STD_LOGIC;
sck_t : out STD_LOGIC;
ss_i : in STD_LOGIC_VECTOR ( 0 to 0 );
ss_o : out STD_LOGIC_VECTOR ( 0 to 0 );
ss_t : out STD_LOGIC;
ip2intc_irpt : out STD_LOGIC
);
end system_axi_quad_spi_shield_0;
architecture stub of system_axi_quad_spi_shield_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "ext_spi_clk,s_axi_aclk,s_axi_aresetn,s_axi_awaddr[6:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[6:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,io0_i,io0_o,io0_t,io1_i,io1_o,io1_t,sck_i,sck_o,sck_t,ss_i[0:0],ss_o[0:0],ss_t,ip2intc_irpt";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "axi_quad_spi,Vivado 2016.4";
begin
end;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:06:02 09/17/2014
-- Design Name:
-- Module Name: H:/Documents/md5_test/tb_md5_working.vhd
-- Project Name: md5_test
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: MD5
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
ENTITY tb_md5_working IS
END tb_md5_working;
ARCHITECTURE behavior OF tb_md5_working IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT MD5
PORT(
clk : IN std_logic;
rstn : IN std_logic;
i_start : IN std_logic;
i_data_0 : IN unsigned(31 downto 0);
i_data_1 : IN unsigned(31 downto 0);
i_length : IN std_logic_vector(7 downto 0);
o_done : OUT std_logic;
o_hash_0 : OUT unsigned(31 downto 0);
o_hash_1 : OUT unsigned(31 downto 0);
o_hash_2 : OUT unsigned(31 downto 0);
o_hash_3 : OUT unsigned(31 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal rstn : std_logic := '0';
signal i_start : std_logic := '0';
signal i_data_0 : unsigned(31 downto 0) := (others => '0');
signal i_data_1 : unsigned(31 downto 0) := (others => '0');
signal i_length : std_logic_vector(7 downto 0) := (others => '0');
--Outputs
signal o_done : std_logic;
signal o_hash_0 : unsigned(31 downto 0);
signal o_hash_1 : unsigned(31 downto 0);
signal o_hash_2 : unsigned(31 downto 0);
signal o_hash_3 : unsigned(31 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: MD5 PORT MAP (
clk => clk,
rstn => rstn,
i_start => i_start,
i_data_0 => i_data_0,
i_data_1 => i_data_1,
i_length => i_length,
o_done => o_done,
o_hash_0 => o_hash_0,
o_hash_1 => o_hash_1,
o_hash_2 => o_hash_2,
o_hash_3 => o_hash_3
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for clk_period/2;
rstn <= '0';
wait for clk_period;
rstn <= '1';
i_start <= '1';
i_data_0 <= x"00008061";
i_data_1 <= x"00000000";
i_length <= x"08";
wait until o_done = '1';
i_data_0 <= x"80636261";
i_data_1 <= x"00000000";
i_length <= x"18";
wait;
end process;
END;
|
library verilog;
use verilog.vl_types.all;
entity arm_barrel_shift is
port(
inst_11_0 : in vl_logic_vector(11 downto 0);
rm_data_in : in vl_logic_vector(31 downto 0);
rs_data_in : in vl_logic_vector(31 downto 0);
cpsr : in vl_logic_vector(31 downto 0);
is_imm : in vl_logic;
operand2 : out vl_logic_vector(31 downto 0);
potential_cout : out vl_logic
);
end arm_barrel_shift;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2559.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p05n01i02559ent IS
END c07s03b06x00p05n01i02559ent;
ARCHITECTURE c07s03b06x00p05n01i02559arch OF c07s03b06x00p05n01i02559ent IS
BEGIN
TESTING: PROCESS
type CELL;
type LINK is access CELL;
type CELL is
record
VALUE : Bit;
SUCC : Bit;
end record;
type T1 is access BIT_VECTOR ;
variable HEAD : LINK := new CELL'('1','0') ;
variable V2 : T1 := new BIT_VECTOR(0 to 7) ; --- No_failure_here
BEGIN
assert NOT((V2.all="00000000") and (HEAD.VALUE='1') and (HEAD.SUCC='0'))
report "***PASSED TEST: c07s03b06x00p05n01i02559"
severity NOTE;
assert ((V2.all="00000000") and (HEAD.VALUE='1') and (HEAD.SUCC='0'))
report "***FAILED TEST: c07s03b06x00p05n01i02559 - "
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p05n01i02559arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2559.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p05n01i02559ent IS
END c07s03b06x00p05n01i02559ent;
ARCHITECTURE c07s03b06x00p05n01i02559arch OF c07s03b06x00p05n01i02559ent IS
BEGIN
TESTING: PROCESS
type CELL;
type LINK is access CELL;
type CELL is
record
VALUE : Bit;
SUCC : Bit;
end record;
type T1 is access BIT_VECTOR ;
variable HEAD : LINK := new CELL'('1','0') ;
variable V2 : T1 := new BIT_VECTOR(0 to 7) ; --- No_failure_here
BEGIN
assert NOT((V2.all="00000000") and (HEAD.VALUE='1') and (HEAD.SUCC='0'))
report "***PASSED TEST: c07s03b06x00p05n01i02559"
severity NOTE;
assert ((V2.all="00000000") and (HEAD.VALUE='1') and (HEAD.SUCC='0'))
report "***FAILED TEST: c07s03b06x00p05n01i02559 - "
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p05n01i02559arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2559.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p05n01i02559ent IS
END c07s03b06x00p05n01i02559ent;
ARCHITECTURE c07s03b06x00p05n01i02559arch OF c07s03b06x00p05n01i02559ent IS
BEGIN
TESTING: PROCESS
type CELL;
type LINK is access CELL;
type CELL is
record
VALUE : Bit;
SUCC : Bit;
end record;
type T1 is access BIT_VECTOR ;
variable HEAD : LINK := new CELL'('1','0') ;
variable V2 : T1 := new BIT_VECTOR(0 to 7) ; --- No_failure_here
BEGIN
assert NOT((V2.all="00000000") and (HEAD.VALUE='1') and (HEAD.SUCC='0'))
report "***PASSED TEST: c07s03b06x00p05n01i02559"
severity NOTE;
assert ((V2.all="00000000") and (HEAD.VALUE='1') and (HEAD.SUCC='0'))
report "***FAILED TEST: c07s03b06x00p05n01i02559 - "
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p05n01i02559arch;
|
-------------------------------------------------------------------------------
--
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
-- Project : Spartan-6 Integrated Block for PCI Express
-- File : xilinx_pcie_2_0_rport_v6.vhd
-- Description: PCI Express Root Port example FPGA design
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
entity xilinx_pcie_2_0_rport_v6 is
generic (
REF_CLK_FREQ : integer := 0; -- 0 - 100MHz, 1 - 125 MHz, 2 - 250 MHz
ALLOW_X8_GEN2 : boolean := FALSE;
PL_FAST_TRAIN : boolean := FALSE;
LINK_CAP_MAX_LINK_SPEED : bit_vector := X"1";
DEVICE_ID : bit_vector := X"0007";
LINK_CAP_MAX_LINK_WIDTH : bit_vector := X"08";
LTSSM_MAX_LINK_WIDTH : bit_vector := X"08";
LINK_CAP_MAX_LINK_WIDTH_int : integer := 8;
LINK_CTRL2_TARGET_LINK_SPEED : bit_vector := X"2";
DEV_CAP_MAX_PAYLOAD_SUPPORTED : integer := 2;
USER_CLK_FREQ : integer := 3;
VC0_TX_LASTPACKET : integer := 31;
VC0_RX_RAM_LIMIT : bit_vector := X"03FF";
VC0_TOTAL_CREDITS_CD : integer := 154;
VC0_TOTAL_CREDITS_PD : integer := 154
);
port (
pci_exp_txp : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
pci_exp_txn : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
pci_exp_rxp : in std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
pci_exp_rxn : in std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
sys_clk : in std_logic;
sys_reset_n : in std_logic
);
end xilinx_pcie_2_0_rport_v6;
architecture rtl of xilinx_pcie_2_0_rport_v6 is
component pcie_2_0_rport_v6
generic (
REF_CLK_FREQ : integer;
ALLOW_X8_GEN2 : boolean;
PL_FAST_TRAIN : boolean;
LINK_CAP_MAX_LINK_SPEED : bit_vector;
DEVICE_ID : bit_vector;
LINK_CAP_MAX_LINK_WIDTH : bit_vector;
LINK_CAP_MAX_LINK_WIDTH_int : integer;
LINK_CTRL2_TARGET_LINK_SPEED : bit_vector;
LTSSM_MAX_LINK_WIDTH : bit_vector;
DEV_CAP_MAX_PAYLOAD_SUPPORTED : integer;
USER_CLK_FREQ : integer;
VC0_TX_LASTPACKET : integer;
VC0_RX_RAM_LIMIT : bit_vector;
VC0_TOTAL_CREDITS_CD : integer;
VC0_TOTAL_CREDITS_PD : integer
);
port (
pci_exp_txp : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
pci_exp_txn : out std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
pci_exp_rxp : in std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
pci_exp_rxn : in std_logic_vector((LINK_CAP_MAX_LINK_WIDTH_int - 1) downto 0);
trn_clk : out std_logic;
trn_reset_n : out std_logic;
trn_lnk_up_n : out std_logic;
trn_tbuf_av : out std_logic_vector(5 downto 0);
trn_tcfg_req_n : out std_logic;
trn_terr_drop_n : out std_logic;
trn_tdst_rdy_n : out std_logic;
trn_td : in std_logic_vector(63 downto 0);
trn_trem_n : in std_logic;
trn_tsof_n : in std_logic;
trn_teof_n : in std_logic;
trn_tsrc_rdy_n : in std_logic;
trn_tsrc_dsc_n : in std_logic;
trn_terrfwd_n : in std_logic;
trn_tcfg_gnt_n : in std_logic;
trn_tstr_n : in std_logic;
trn_rd : out std_logic_vector(63 downto 0);
trn_rrem_n : out std_logic;
trn_rsof_n : out std_logic;
trn_reof_n : out std_logic;
trn_rsrc_rdy_n : out std_logic;
trn_rsrc_dsc_n : out std_logic;
trn_rerrfwd_n : out std_logic;
trn_rbar_hit_n : out std_logic_vector(6 downto 0);
trn_rdst_rdy_n : in std_logic;
trn_rnp_ok_n : in std_logic;
trn_recrc_err_n : out std_logic;
trn_fc_cpld : out std_logic_vector(11 downto 0);
trn_fc_cplh : out std_logic_vector(7 downto 0);
trn_fc_npd : out std_logic_vector(11 downto 0);
trn_fc_nph : out std_logic_vector(7 downto 0);
trn_fc_pd : out std_logic_vector(11 downto 0);
trn_fc_ph : out std_logic_vector(7 downto 0);
trn_fc_sel : in std_logic_vector(2 downto 0);
cfg_do : out std_logic_vector(31 downto 0);
cfg_rd_wr_done_n : out std_logic;
cfg_di : in std_logic_vector(31 downto 0);
cfg_byte_en_n : in std_logic_vector(3 downto 0);
cfg_dwaddr : in std_logic_vector(9 downto 0);
cfg_wr_en_n : in std_logic;
cfg_wr_rw1c_as_rw_n : in std_logic;
cfg_rd_en_n : in std_logic;
cfg_err_cor_n : in std_logic;
cfg_err_ur_n : in std_logic;
cfg_err_ecrc_n : in std_logic;
cfg_err_cpl_timeout_n : in std_logic;
cfg_err_cpl_abort_n : in std_logic;
cfg_err_cpl_unexpect_n : in std_logic;
cfg_err_posted_n : in std_logic;
cfg_err_locked_n : in std_logic;
cfg_err_tlp_cpl_header : in std_logic_vector(47 downto 0);
cfg_err_cpl_rdy_n : out std_logic;
cfg_interrupt_n : in std_logic;
cfg_interrupt_rdy_n : out std_logic;
cfg_interrupt_assert_n : in std_logic;
cfg_interrupt_di : in std_logic_vector(7 downto 0);
cfg_interrupt_do : out std_logic_vector(7 downto 0);
cfg_interrupt_mmenable : out std_logic_vector(2 downto 0);
cfg_interrupt_msienable : out std_logic;
cfg_interrupt_msixenable : out std_logic;
cfg_interrupt_msixfm : out std_logic;
cfg_trn_pending_n : in std_logic;
cfg_pm_send_pme_to_n : in std_logic;
cfg_status : out std_logic_vector(15 downto 0);
cfg_command : out std_logic_vector(15 downto 0);
cfg_dstatus : out std_logic_vector(15 downto 0);
cfg_dcommand : out std_logic_vector(15 downto 0);
cfg_lstatus : out std_logic_vector(15 downto 0);
cfg_lcommand : out std_logic_vector(15 downto 0);
cfg_dcommand2 : out std_logic_vector(15 downto 0);
cfg_pcie_link_state_n : out std_logic_vector(2 downto 0);
cfg_dsn : in std_logic_vector(63 downto 0);
cfg_pmcsr_pme_en : out std_logic;
cfg_pmcsr_pme_status : out std_logic;
cfg_pmcsr_powerstate : out std_logic_vector(1 downto 0);
cfg_msg_received : out std_logic;
cfg_msg_data : out std_logic_vector(15 downto 0);
cfg_msg_received_err_cor : out std_logic;
cfg_msg_received_err_non_fatal : out std_logic;
cfg_msg_received_err_fatal : out std_logic;
cfg_msg_received_pme_to_ack : out std_logic;
cfg_msg_received_assert_inta : out std_logic;
cfg_msg_received_assert_intb : out std_logic;
cfg_msg_received_assert_intc : out std_logic;
cfg_msg_received_assert_intd : out std_logic;
cfg_msg_received_deassert_inta : out std_logic;
cfg_msg_received_deassert_intb : out std_logic;
cfg_msg_received_deassert_intc : out std_logic;
cfg_msg_received_deassert_intd : out std_logic;
cfg_ds_bus_number : in std_logic_vector(7 downto 0);
cfg_ds_device_number : in std_logic_vector(4 downto 0);
pl_initial_link_width : out std_logic_vector(2 downto 0);
pl_lane_reversal_mode : out std_logic_vector(1 downto 0);
pl_link_gen2_capable : out std_logic;
pl_link_partner_gen2_supported : out std_logic;
pl_link_upcfg_capable : out std_logic;
pl_ltssm_state : out std_logic_vector(5 downto 0);
pl_sel_link_rate : out std_logic;
pl_sel_link_width : out std_logic_vector(1 downto 0);
pl_directed_link_auton : in std_logic;
pl_directed_link_change : in std_logic_vector(1 downto 0);
pl_directed_link_speed : in std_logic;
pl_directed_link_width : in std_logic_vector(1 downto 0);
pl_upstream_prefer_deemph : in std_logic;
pl_transmit_hot_rst : in std_logic;
pcie_drp_clk : in std_logic;
pcie_drp_den : in std_logic;
pcie_drp_dwe : in std_logic;
pcie_drp_daddr : in std_logic_vector(8 downto 0);
pcie_drp_di : in std_logic_vector(15 downto 0);
pcie_drp_do : out std_logic_vector(15 downto 0);
pcie_drp_drdy : out std_logic;
sys_clk : in std_logic;
sys_reset_n : in std_logic);
end component;
component pci_exp_usrapp_cfg
port (
cfg_do : in std_logic_vector(31 downto 0);
cfg_di : out std_logic_vector(31 downto 0);
cfg_byte_en_n : out std_logic_vector(3 downto 0);
cfg_dwaddr : out std_logic_vector(9 downto 0);
cfg_wr_en_n : out std_logic;
cfg_rd_en_n : out std_logic;
cfg_rd_wr_done_n : in std_logic;
cfg_err_cor_n : out std_logic;
cfg_err_ur_n : out std_logic;
cfg_err_ecrc_n : out std_logic;
cfg_err_cpl_timeout_n : out std_logic;
cfg_err_cpl_abort_n : out std_logic;
cfg_err_cpl_unexpect_n : out std_logic;
cfg_err_posted_n : out std_logic;
cfg_err_tlp_cpl_header : out std_logic_vector(47 downto 0);
cfg_interrupt_n : out std_logic;
cfg_interrupt_rdy_n : in std_logic;
cfg_turnoff_ok_n : out std_logic;
cfg_to_turnoff_n : in std_logic;
cfg_pm_wake_n : out std_logic;
cfg_bus_number : in std_logic_vector((8 -1) downto 0);
cfg_device_number : in std_logic_vector((5 - 1) downto 0);
cfg_function_number : in std_logic_vector((3 - 1) downto 0);
cfg_status : in std_logic_vector((16 - 1) downto 0);
cfg_command : in std_logic_vector((16 - 1) downto 0);
cfg_dstatus : in std_logic_vector((16 - 1) downto 0);
cfg_dcommand : in std_logic_vector((16 - 1) downto 0);
cfg_lstatus : in std_logic_vector((16 - 1) downto 0);
cfg_lcommand : in std_logic_vector((16 - 1) downto 0);
cfg_pcie_link_state_n : in std_logic_vector((3 - 1) downto 0);
cfg_trn_pending_n : out std_logic;
trn_clk : in std_logic;
trn_reset_n : in std_logic);
end component;
component pci_exp_usrapp_rx
port (
trn_rdst_rdy_n : out std_logic;
trn_rnp_ok_n : out std_logic;
trn_rd : in std_logic_vector (63 downto 0);
trn_rrem_n : in std_logic_vector (7 downto 0);
trn_rsof_n : in std_logic;
trn_reof_n : in std_logic;
trn_rsrc_rdy_n : in std_logic;
trn_rsrc_dsc_n : in std_logic;
trn_rerrfwd_n : in std_logic;
trn_rbar_hit_n : in std_logic_vector (6 downto 0);
trn_clk : in std_logic;
trn_reset_n : in std_logic;
trn_lnk_up_n : in std_logic;
rx_tx_read_data : out std_logic_vector(31 downto 0);
rx_tx_read_data_valid : out std_logic;
tx_rx_read_data_valid : in std_logic);
end component;
component pci_exp_usrapp_tx
port (
trn_td : out std_logic_vector (63 downto 0);
trn_trem_n : out std_logic_vector (7 downto 0);
trn_tsof_n : out std_logic;
trn_teof_n : out std_logic;
trn_terrfwd_n : out std_logic;
trn_tsrc_rdy_n : out std_logic;
trn_tsrc_dsc_n : out std_logic;
trn_clk : in std_logic;
trn_reset_n : in std_logic;
trn_lnk_up_n : in std_logic;
trn_tdst_rdy_n : in std_logic;
trn_tdst_dsc_n : in std_logic;
trn_tbuf_av : in std_logic_vector (5 downto 0);
rx_tx_read_data : in std_logic_vector(31 downto 0);
rx_tx_read_data_valid : in std_logic;
tx_rx_read_data_valid : out std_logic);
end component;
component pci_exp_usrapp_pl
generic (
LINK_CAP_MAX_LINK_SPEED : integer);
port (
pl_initial_link_width : in std_logic_vector(2 downto 0);
pl_lane_reversal_mode : in std_logic_vector(1 downto 0);
pl_link_gen2_capable : in std_logic;
pl_link_partner_gen2_supported : in std_logic;
pl_link_upcfg_capable : in std_logic;
pl_ltssm_state : in std_logic_vector(5 downto 0);
pl_received_hot_rst : in std_logic;
pl_sel_link_rate : in std_logic;
pl_sel_link_width : in std_logic_vector(1 downto 0);
pl_directed_link_auton : out std_logic;
pl_directed_link_change : out std_logic_vector(1 downto 0);
pl_directed_link_speed : out std_logic;
pl_directed_link_width : out std_logic_vector(1 downto 0);
pl_upstream_prefer_deemph : out std_logic;
speed_change_done_n : out std_logic;
trn_lnk_up_n : in std_logic;
trn_clk : in std_logic;
trn_reset_n : in std_logic);
end component;
FUNCTION to_integer (
val_in : bit_vector) RETURN integer IS
CONSTANT vctr : bit_vector(val_in'high-val_in'low DOWNTO 0) := val_in;
VARIABLE ret : integer := 0;
BEGIN
FOR index IN vctr'RANGE LOOP
IF (vctr(index) = '1') THEN
ret := ret + (2**index);
END IF;
END LOOP;
RETURN(ret);
END to_integer;
constant LINK_CAP_MAX_LINK_SPEED_int : integer := to_integer(LINK_CAP_MAX_LINK_SPEED);
signal rx_tx_read_data : std_logic_vector(31 downto 0);
signal rx_tx_read_data_valid : std_logic;
signal tx_rx_read_data_valid : std_logic;
-- Tx
signal trn_tbuf_av : std_logic_vector(5 downto 0);
signal trn_tdst_dsc_n : std_logic;
signal trn_tdst_rdy_n : std_logic;
signal trn_td : std_logic_vector(63 downto 0);
signal trn_trem_n : std_logic;
signal trn_trem_n_out : std_logic_vector(7 downto 0);
signal trn_tsof_n : std_logic;
signal trn_teof_n : std_logic;
signal trn_tsrc_rdy_n : std_logic;
signal trn_tsrc_dsc_n : std_logic;
signal trn_terrfwd_n : std_logic;
-- Rx
signal trn_rd : std_logic_vector(63 downto 0);
signal trn_rrem_n : std_logic;
signal trn_rrem_n_in : std_logic_vector(7 downto 0);
signal trn_rsof_n : std_logic;
signal trn_reof_n : std_logic;
signal trn_rsrc_rdy_n : std_logic;
signal trn_rsrc_dsc_n : std_logic;
signal trn_rerrfwd_n : std_logic;
signal trn_rbar_hit_n : std_logic_vector(6 downto 0);
signal trn_rdst_rdy_n : std_logic;
signal trn_rnp_ok_n : std_logic;
signal trn_clk : std_logic;
signal trn_reset_n : std_logic;
signal trn_lnk_up_n : std_logic;
---------------------------------------------------------
-- 3. Configuration (CFG) Interface
---------------------------------------------------------
signal cfg_do : std_logic_vector(31 downto 0);
signal cfg_rd_wr_done_n : std_logic;
signal cfg_di : std_logic_vector(31 downto 0);
signal cfg_byte_en_n : std_logic_vector(3 downto 0);
signal cfg_dwaddr : std_logic_vector(9 downto 0);
signal cfg_wr_en_n : std_logic;
signal cfg_rd_en_n : std_logic;
signal cfg_err_cor_n: std_logic;
signal cfg_err_ur_n : std_logic;
signal cfg_err_ecrc_n : std_logic;
signal cfg_err_cpl_timeout_n : std_logic;
signal cfg_err_cpl_abort_n : std_logic;
signal cfg_err_cpl_unexpect_n : std_logic;
signal cfg_err_posted_n : std_logic;
signal cfg_err_tlp_cpl_header : std_logic_vector(47 downto 0);
signal cfg_err_cpl_rdy_n : std_logic;
signal cfg_interrupt_n : std_logic;
signal cfg_interrupt_rdy_n : std_logic;
signal cfg_interrupt_mmenable : std_logic_vector(2 downto 0);
signal cfg_interrupt_msienable : std_logic;
signal cfg_interrupt_msixenable : std_logic;
signal cfg_interrupt_msixfm : std_logic;
signal cfg_trn_pending_n : std_logic;
signal cfg_status : std_logic_vector(15 downto 0);
signal cfg_command : std_logic_vector(15 downto 0);
signal cfg_dstatus : std_logic_vector(15 downto 0);
signal cfg_dcommand : std_logic_vector(15 downto 0);
signal cfg_lstatus : std_logic_vector(15 downto 0);
signal cfg_lcommand : std_logic_vector(15 downto 0);
signal cfg_pcie_link_state_n : std_logic_vector(2 downto 0);
signal cfg_msg_received : std_logic;
signal cfg_msg_data : std_logic_vector(15 downto 0);
signal cfg_msg_received_err_cor : std_logic;
signal cfg_msg_received_err_non_fatal : std_logic;
signal cfg_msg_received_err_fatal : std_logic;
signal cfg_msg_received_pme_to_ack : std_logic;
signal cfg_msg_received_assert_inta : std_logic;
signal cfg_msg_received_assert_intb : std_logic;
signal cfg_msg_received_assert_intc : std_logic;
signal cfg_msg_received_assert_intd : std_logic;
signal cfg_msg_received_deassert_inta : std_logic;
signal cfg_msg_received_deassert_intb : std_logic;
signal cfg_msg_received_deassert_intc : std_logic;
signal cfg_msg_received_deassert_intd : std_logic;
---------------------------------------------------------
-- 4. Physical Layer Control and Status (PL) Interface
---------------------------------------------------------
signal pl_initial_link_width : std_logic_vector(2 downto 0);
signal pl_lane_reversal_mode : std_logic_vector(1 downto 0);
signal pl_link_gen2_capable : std_logic;
signal pl_link_partner_gen2_supported : std_logic;
signal pl_link_upcfg_capable : std_logic;
signal pl_ltssm_state : std_logic_vector(5 downto 0);
signal pl_sel_link_rate : std_logic;
signal pl_sel_link_width : std_logic_vector(1 downto 0);
signal pl_directed_link_auton : std_logic;
signal pl_directed_link_change : std_logic_vector(1 downto 0);
signal pl_directed_link_speed : std_logic;
signal pl_directed_link_width : std_logic_vector(1 downto 0);
signal pl_upstream_prefer_deemph : std_logic;
-------------------------------------------------------
begin
trn_trem_n <= '1' when (trn_trem_n_out = X"0F") else
'0';
trn_rrem_n_in <= X"0F" when (trn_rrem_n = '1') else
X"00";
rport : pcie_2_0_rport_v6
generic map(
REF_CLK_FREQ => REF_CLK_FREQ,
ALLOW_X8_GEN2 => ALLOW_X8_GEN2,
PL_FAST_TRAIN => PL_FAST_TRAIN,
LINK_CAP_MAX_LINK_SPEED => LINK_CAP_MAX_LINK_SPEED,
DEVICE_ID => DEVICE_ID,
LINK_CAP_MAX_LINK_WIDTH => LINK_CAP_MAX_LINK_WIDTH,
LINK_CAP_MAX_LINK_WIDTH_int => LINK_CAP_MAX_LINK_WIDTH_int,
LINK_CTRL2_TARGET_LINK_SPEED => LINK_CTRL2_TARGET_LINK_SPEED,
LTSSM_MAX_LINK_WIDTH => LTSSM_MAX_LINK_WIDTH,
DEV_CAP_MAX_PAYLOAD_SUPPORTED => DEV_CAP_MAX_PAYLOAD_SUPPORTED,
USER_CLK_FREQ => USER_CLK_FREQ,
VC0_TX_LASTPACKET => VC0_TX_LASTPACKET,
VC0_RX_RAM_LIMIT => VC0_RX_RAM_LIMIT,
VC0_TOTAL_CREDITS_CD => VC0_TOTAL_CREDITS_CD,
VC0_TOTAL_CREDITS_PD => VC0_TOTAL_CREDITS_CD
)
port map(
pci_exp_txp => pci_exp_txp,
pci_exp_txn => pci_exp_txn,
pci_exp_rxp => pci_exp_rxp,
pci_exp_rxn => pci_exp_rxn,
trn_clk => trn_clk ,
trn_reset_n => trn_reset_n ,
trn_lnk_up_n => trn_lnk_up_n ,
trn_tbuf_av => trn_tbuf_av ,
trn_tcfg_req_n => open,
trn_terr_drop_n => trn_tdst_dsc_n ,
trn_tdst_rdy_n => trn_tdst_rdy_n ,
trn_td => trn_td ,
trn_trem_n => trn_trem_n,
trn_tsof_n => trn_tsof_n ,
trn_teof_n => trn_teof_n ,
trn_tsrc_rdy_n => trn_tsrc_rdy_n ,
trn_tsrc_dsc_n => trn_tsrc_dsc_n ,
trn_terrfwd_n => trn_terrfwd_n ,
trn_tcfg_gnt_n => '0' ,
trn_tstr_n => '1' ,
trn_rd => trn_rd ,
trn_rrem_n => trn_rrem_n ,
trn_rsof_n => trn_rsof_n ,
trn_reof_n => trn_reof_n ,
trn_rsrc_rdy_n => trn_rsrc_rdy_n ,
trn_rsrc_dsc_n => trn_rsrc_dsc_n ,
trn_rerrfwd_n => trn_rerrfwd_n ,
trn_rbar_hit_n => trn_rbar_hit_n ,
trn_rdst_rdy_n => trn_rdst_rdy_n ,
trn_rnp_ok_n => trn_rnp_ok_n ,
trn_recrc_err_n => open,
trn_fc_cpld => open,
trn_fc_cplh => open,
trn_fc_npd => open,
trn_fc_nph => open,
trn_fc_pd => open,
trn_fc_ph => open,
trn_fc_sel => "000" ,
cfg_do => cfg_do ,
cfg_rd_wr_done_n => cfg_rd_wr_done_n,
cfg_di => cfg_di ,
cfg_byte_en_n => cfg_byte_en_n ,
cfg_dwaddr => cfg_dwaddr ,
cfg_wr_en_n => cfg_wr_en_n ,
cfg_wr_rw1c_as_rw_n => '1',
cfg_rd_en_n => cfg_rd_en_n ,
cfg_err_cor_n => cfg_err_cor_n ,
cfg_err_ur_n => cfg_err_ur_n ,
cfg_err_ecrc_n => cfg_err_ecrc_n ,
cfg_err_cpl_timeout_n => cfg_err_cpl_timeout_n ,
cfg_err_cpl_abort_n => cfg_err_cpl_abort_n ,
cfg_err_cpl_unexpect_n => cfg_err_cpl_unexpect_n ,
cfg_err_posted_n => cfg_err_posted_n ,
cfg_err_locked_n => '1',
cfg_err_tlp_cpl_header => cfg_err_tlp_cpl_header ,
cfg_err_cpl_rdy_n => open,
cfg_interrupt_n => cfg_interrupt_n ,
cfg_interrupt_rdy_n => cfg_interrupt_rdy_n ,
cfg_interrupt_assert_n => '1' ,
cfg_interrupt_di => X"00" ,
cfg_interrupt_do => open,
cfg_interrupt_mmenable => open,
cfg_interrupt_msienable => open,
cfg_interrupt_msixenable => open,
cfg_interrupt_msixfm => open,
cfg_trn_pending_n => cfg_trn_pending_n ,
cfg_pm_send_pme_to_n => '1' ,
cfg_status => cfg_status ,
cfg_command => cfg_command ,
cfg_dstatus => cfg_dstatus ,
cfg_dcommand => cfg_dcommand ,
cfg_lstatus => cfg_lstatus ,
cfg_lcommand => cfg_lcommand ,
cfg_dcommand2 => open,
cfg_pcie_link_state_n => cfg_pcie_link_state_n ,
cfg_dsn => (others => '0') ,
cfg_pmcsr_pme_en => open,
cfg_pmcsr_pme_status => open,
cfg_pmcsr_powerstate => open,
cfg_msg_received => cfg_msg_received ,
cfg_msg_data => cfg_msg_data ,
cfg_msg_received_err_cor => cfg_msg_received_err_cor ,
cfg_msg_received_err_non_fatal => cfg_msg_received_err_non_fatal ,
cfg_msg_received_err_fatal => cfg_msg_received_err_fatal ,
cfg_msg_received_pme_to_ack => cfg_msg_received_pme_to_ack ,
cfg_msg_received_assert_inta => cfg_msg_received_assert_inta ,
cfg_msg_received_assert_intb => cfg_msg_received_assert_intb ,
cfg_msg_received_assert_intc => cfg_msg_received_assert_intc ,
cfg_msg_received_assert_intd => cfg_msg_received_assert_intd ,
cfg_msg_received_deassert_inta => cfg_msg_received_deassert_inta ,
cfg_msg_received_deassert_intb => cfg_msg_received_deassert_intb ,
cfg_msg_received_deassert_intc => cfg_msg_received_deassert_intc ,
cfg_msg_received_deassert_intd => cfg_msg_received_deassert_intd ,
cfg_ds_bus_number => X"00",
cfg_ds_device_number => "00000",
pl_initial_link_width => pl_initial_link_width ,
pl_lane_reversal_mode => pl_lane_reversal_mode ,
pl_link_gen2_capable => pl_link_gen2_capable ,
pl_link_partner_gen2_supported => pl_link_partner_gen2_supported ,
pl_link_upcfg_capable => pl_link_upcfg_capable ,
pl_ltssm_state => pl_ltssm_state ,
pl_sel_link_rate => pl_sel_link_rate ,
pl_sel_link_width => pl_sel_link_width ,
pl_directed_link_auton => pl_directed_link_auton ,
pl_directed_link_change => pl_directed_link_change ,
pl_directed_link_speed => pl_directed_link_speed ,
pl_directed_link_width => pl_directed_link_width ,
pl_upstream_prefer_deemph => pl_upstream_prefer_deemph ,
pl_transmit_hot_rst => '0',
pcie_drp_clk => '0',
pcie_drp_den => '0',
pcie_drp_dwe => '0',
pcie_drp_daddr => "000000000",
pcie_drp_di => X"0000",
pcie_drp_do => open,
pcie_drp_drdy => open,
sys_clk => sys_clk ,
sys_reset_n => sys_reset_n
);
CFG_APP : pci_exp_usrapp_cfg
port map (
cfg_do => cfg_do,
cfg_di => cfg_di,
cfg_byte_en_n => cfg_byte_en_n,
cfg_dwaddr => cfg_dwaddr,
cfg_wr_en_n => cfg_wr_en_n,
cfg_rd_en_n => cfg_rd_en_n,
cfg_rd_wr_done_n => cfg_rd_wr_done_n,
cfg_err_cor_n => cfg_err_cor_n,
cfg_err_ur_n => cfg_err_ur_n,
cfg_err_ecrc_n => cfg_err_ecrc_n,
cfg_err_cpl_timeout_n => cfg_err_cpl_timeout_n,
cfg_err_cpl_abort_n => cfg_err_cpl_abort_n,
cfg_err_cpl_unexpect_n => cfg_err_cpl_unexpect_n,
cfg_err_posted_n => cfg_err_posted_n,
cfg_err_tlp_cpl_header => cfg_err_tlp_cpl_header,
cfg_interrupt_n => cfg_interrupt_n,
cfg_interrupt_rdy_n => cfg_interrupt_rdy_n,
cfg_turnoff_ok_n => open,
cfg_to_turnoff_n => '1',
cfg_pm_wake_n => open,
cfg_bus_number => X"00",
cfg_device_number => "00000",
cfg_function_number => "000",
cfg_status => cfg_status,
cfg_command => cfg_command,
cfg_dstatus => cfg_dstatus,
cfg_dcommand => cfg_dcommand,
cfg_lstatus => cfg_lstatus,
cfg_lcommand => cfg_lcommand,
cfg_pcie_link_state_n => cfg_pcie_link_state_n,
cfg_trn_pending_n => cfg_trn_pending_n,
trn_clk => trn_clk,
trn_reset_n => trn_reset_n);
RX_APP : pci_exp_usrapp_rx
port map (
trn_rdst_rdy_n => trn_rdst_rdy_n,
trn_rnp_ok_n => trn_rnp_ok_n,
trn_rd => trn_rd,
trn_rrem_n => trn_rrem_n_in,
trn_rsof_n => trn_rsof_n,
trn_reof_n => trn_reof_n,
trn_rsrc_rdy_n => trn_rsrc_rdy_n,
trn_rsrc_dsc_n => trn_rsrc_dsc_n,
trn_rerrfwd_n => trn_rerrfwd_n,
trn_rbar_hit_n => trn_rbar_hit_n,
trn_clk => trn_clk,
trn_reset_n => trn_reset_n,
trn_lnk_up_n => trn_lnk_up_n,
rx_tx_read_data => rx_tx_read_data,
rx_tx_read_data_valid => rx_tx_read_data_valid,
tx_rx_read_data_valid => tx_rx_read_data_valid);
TX_APP : pci_exp_usrapp_tx
port map (
trn_td => trn_td,
trn_trem_n => trn_trem_n_out,
trn_tsof_n => trn_tsof_n,
trn_teof_n => trn_teof_n,
trn_terrfwd_n => trn_terrfwd_n,
trn_tsrc_rdy_n => trn_tsrc_rdy_n,
trn_tsrc_dsc_n => trn_tsrc_dsc_n,
trn_clk => trn_clk,
trn_reset_n => trn_reset_n,
trn_lnk_up_n => trn_lnk_up_n,
trn_tdst_rdy_n => trn_tdst_rdy_n,
trn_tdst_dsc_n => trn_tdst_dsc_n,
trn_tbuf_av => trn_tbuf_av,
rx_tx_read_data => rx_tx_read_data,
rx_tx_read_data_valid => rx_tx_read_data_valid,
tx_rx_read_data_valid => tx_rx_read_data_valid);
PL_APP : pci_exp_usrapp_pl
generic map (
LINK_CAP_MAX_LINK_SPEED => LINK_CAP_MAX_LINK_SPEED_int)
port map (
pl_initial_link_width => pl_initial_link_width,
pl_lane_reversal_mode => pl_lane_reversal_mode,
pl_link_gen2_capable => pl_link_gen2_capable,
pl_link_partner_gen2_supported => pl_link_partner_gen2_supported,
pl_link_upcfg_capable => pl_link_upcfg_capable,
pl_ltssm_state => pl_ltssm_state,
pl_received_hot_rst => '0',
pl_sel_link_rate => pl_sel_link_rate,
pl_sel_link_width => pl_sel_link_width,
pl_directed_link_auton => pl_directed_link_auton,
pl_directed_link_change => pl_directed_link_change,
pl_directed_link_speed => pl_directed_link_speed,
pl_directed_link_width => pl_directed_link_width,
pl_upstream_prefer_deemph => pl_upstream_prefer_deemph,
speed_change_done_n => open,
trn_lnk_up_n => trn_lnk_up_n,
trn_clk => trn_clk,
trn_reset_n => trn_reset_n);
end rtl;
|
library verilog;
use verilog.vl_types.all;
entity CMMaster3Stage is
port(
HCLK : in vl_logic;
HRESETn : in vl_logic;
F2_ESRAMSIZE : in vl_logic_vector(1 downto 0);
COM_MASTERENABLE: in vl_logic;
COM_CLEARSTATUS : in vl_logic;
COM_ERRORSTATUS : out vl_logic;
HADDR : in vl_logic_vector(31 downto 0);
HMASTLOCK : in vl_logic;
HSIZE : in vl_logic_vector(2 downto 0);
HTRANS1 : in vl_logic;
HWRITE : in vl_logic;
HRESP : out vl_logic;
HRDATA : out vl_logic_vector(31 downto 0);
HREADY_M : out vl_logic;
sAddrReady : in vl_logic_vector(7 downto 0);
sDataReady : in vl_logic_vector(7 downto 0);
sHResp : in vl_logic_vector(7 downto 0);
gatedHADDR : out vl_logic_vector(31 downto 0);
gatedHMASTLOCK : out vl_logic;
gatedHSIZE : out vl_logic_vector(2 downto 0);
gatedHTRANS1 : out vl_logic;
gatedHWRITE : out vl_logic;
sAddrSel : out vl_logic_vector(7 downto 0);
sDataSel : out vl_logic_vector(7 downto 0);
prevDataSlaveReady: out vl_logic;
HRDATA_S0 : in vl_logic_vector(31 downto 0);
HREADYOUT_S0 : in vl_logic;
HRDATA_S1 : in vl_logic_vector(31 downto 0);
HREADYOUT_S1 : in vl_logic;
HRDATA_S3 : in vl_logic_vector(31 downto 0);
HREADYOUT_S3 : in vl_logic;
HRDATA_S5 : in vl_logic_vector(31 downto 0);
HREADYOUT_S5 : in vl_logic
);
end CMMaster3Stage;
|
library verilog;
use verilog.vl_types.all;
entity CMMaster3Stage is
port(
HCLK : in vl_logic;
HRESETn : in vl_logic;
F2_ESRAMSIZE : in vl_logic_vector(1 downto 0);
COM_MASTERENABLE: in vl_logic;
COM_CLEARSTATUS : in vl_logic;
COM_ERRORSTATUS : out vl_logic;
HADDR : in vl_logic_vector(31 downto 0);
HMASTLOCK : in vl_logic;
HSIZE : in vl_logic_vector(2 downto 0);
HTRANS1 : in vl_logic;
HWRITE : in vl_logic;
HRESP : out vl_logic;
HRDATA : out vl_logic_vector(31 downto 0);
HREADY_M : out vl_logic;
sAddrReady : in vl_logic_vector(7 downto 0);
sDataReady : in vl_logic_vector(7 downto 0);
sHResp : in vl_logic_vector(7 downto 0);
gatedHADDR : out vl_logic_vector(31 downto 0);
gatedHMASTLOCK : out vl_logic;
gatedHSIZE : out vl_logic_vector(2 downto 0);
gatedHTRANS1 : out vl_logic;
gatedHWRITE : out vl_logic;
sAddrSel : out vl_logic_vector(7 downto 0);
sDataSel : out vl_logic_vector(7 downto 0);
prevDataSlaveReady: out vl_logic;
HRDATA_S0 : in vl_logic_vector(31 downto 0);
HREADYOUT_S0 : in vl_logic;
HRDATA_S1 : in vl_logic_vector(31 downto 0);
HREADYOUT_S1 : in vl_logic;
HRDATA_S3 : in vl_logic_vector(31 downto 0);
HREADYOUT_S3 : in vl_logic;
HRDATA_S5 : in vl_logic_vector(31 downto 0);
HREADYOUT_S5 : in vl_logic
);
end CMMaster3Stage;
|
library verilog;
use verilog.vl_types.all;
entity CMMaster3Stage is
port(
HCLK : in vl_logic;
HRESETn : in vl_logic;
F2_ESRAMSIZE : in vl_logic_vector(1 downto 0);
COM_MASTERENABLE: in vl_logic;
COM_CLEARSTATUS : in vl_logic;
COM_ERRORSTATUS : out vl_logic;
HADDR : in vl_logic_vector(31 downto 0);
HMASTLOCK : in vl_logic;
HSIZE : in vl_logic_vector(2 downto 0);
HTRANS1 : in vl_logic;
HWRITE : in vl_logic;
HRESP : out vl_logic;
HRDATA : out vl_logic_vector(31 downto 0);
HREADY_M : out vl_logic;
sAddrReady : in vl_logic_vector(7 downto 0);
sDataReady : in vl_logic_vector(7 downto 0);
sHResp : in vl_logic_vector(7 downto 0);
gatedHADDR : out vl_logic_vector(31 downto 0);
gatedHMASTLOCK : out vl_logic;
gatedHSIZE : out vl_logic_vector(2 downto 0);
gatedHTRANS1 : out vl_logic;
gatedHWRITE : out vl_logic;
sAddrSel : out vl_logic_vector(7 downto 0);
sDataSel : out vl_logic_vector(7 downto 0);
prevDataSlaveReady: out vl_logic;
HRDATA_S0 : in vl_logic_vector(31 downto 0);
HREADYOUT_S0 : in vl_logic;
HRDATA_S1 : in vl_logic_vector(31 downto 0);
HREADYOUT_S1 : in vl_logic;
HRDATA_S3 : in vl_logic_vector(31 downto 0);
HREADYOUT_S3 : in vl_logic;
HRDATA_S5 : in vl_logic_vector(31 downto 0);
HREADYOUT_S5 : in vl_logic
);
end CMMaster3Stage;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc771.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x02p08n01i00771ent_a IS
port ( c1 : inout integer ;
c2 : out integer );
END c01s01b01x02p08n01i00771ent_a;
ARCHITECTURE c01s01b01x02p08n01i00771arch_a OF c01s01b01x02p08n01i00771ent_a IS
BEGIN
c2 <= c1;
END c01s01b01x02p08n01i00771arch_a;
ENTITY c01s01b01x02p08n01i00771ent IS
port ( p1 : buffer integer ;
p2 : inout integer );
END c01s01b01x02p08n01i00771ent;
ARCHITECTURE c01s01b01x02p08n01i00771arch OF c01s01b01x02p08n01i00771ent IS
component c01s01b01x02p08n01i00771ent_b
port ( c1 : inout integer ;
c2 : out integer );
end component ;
for L : c01s01b01x02p08n01i00771ent_b use entity work.c01s01b01x02p08n01i00771ent_a(c01s01b01x02p08n01i00771arch_a);
BEGIN
L : c01s01b01x02p08n01i00771ent_b port map (p1, p2);
--Failure_here
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s01b01x02p08n01i00771 - An actual of mode buffer can not be associated with a formal port of mode inout."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x02p08n01i00771arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc771.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x02p08n01i00771ent_a IS
port ( c1 : inout integer ;
c2 : out integer );
END c01s01b01x02p08n01i00771ent_a;
ARCHITECTURE c01s01b01x02p08n01i00771arch_a OF c01s01b01x02p08n01i00771ent_a IS
BEGIN
c2 <= c1;
END c01s01b01x02p08n01i00771arch_a;
ENTITY c01s01b01x02p08n01i00771ent IS
port ( p1 : buffer integer ;
p2 : inout integer );
END c01s01b01x02p08n01i00771ent;
ARCHITECTURE c01s01b01x02p08n01i00771arch OF c01s01b01x02p08n01i00771ent IS
component c01s01b01x02p08n01i00771ent_b
port ( c1 : inout integer ;
c2 : out integer );
end component ;
for L : c01s01b01x02p08n01i00771ent_b use entity work.c01s01b01x02p08n01i00771ent_a(c01s01b01x02p08n01i00771arch_a);
BEGIN
L : c01s01b01x02p08n01i00771ent_b port map (p1, p2);
--Failure_here
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s01b01x02p08n01i00771 - An actual of mode buffer can not be associated with a formal port of mode inout."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x02p08n01i00771arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc771.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x02p08n01i00771ent_a IS
port ( c1 : inout integer ;
c2 : out integer );
END c01s01b01x02p08n01i00771ent_a;
ARCHITECTURE c01s01b01x02p08n01i00771arch_a OF c01s01b01x02p08n01i00771ent_a IS
BEGIN
c2 <= c1;
END c01s01b01x02p08n01i00771arch_a;
ENTITY c01s01b01x02p08n01i00771ent IS
port ( p1 : buffer integer ;
p2 : inout integer );
END c01s01b01x02p08n01i00771ent;
ARCHITECTURE c01s01b01x02p08n01i00771arch OF c01s01b01x02p08n01i00771ent IS
component c01s01b01x02p08n01i00771ent_b
port ( c1 : inout integer ;
c2 : out integer );
end component ;
for L : c01s01b01x02p08n01i00771ent_b use entity work.c01s01b01x02p08n01i00771ent_a(c01s01b01x02p08n01i00771arch_a);
BEGIN
L : c01s01b01x02p08n01i00771ent_b port map (p1, p2);
--Failure_here
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s01b01x02p08n01i00771 - An actual of mode buffer can not be associated with a formal port of mode inout."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x02p08n01i00771arch;
|
entity repro3 is
end;
architecture behav of repro3 is
begin
process
begin
"and" (true, false);
end process;
end;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: Pixel CLK
-- Project Name: VGA
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: 100Mhz Clock
-- 50 Mhz to 100 Mhz
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
Library UNISIM;
use UNISIM.vcomponents.all;
entity CLK_100MHZ is
port(CLK_IN: in std_logic;
CLK_OUT: inout std_logic);
end CLK_100MHZ;
architecture Behavioral of CLK_100MHZ is
component CLKDLL
generic (CLKDV_DIVIDE : real := 2.0;--2.0; -- (1.5, 2.0, 2.5,
-- 3.0, 4.0, 5.0, 8.0, 16.0)
DUTY_CYCLE_CORRECTION : Boolean := TRUE; -- (TRUE, FALSE)
STARTUP_WAIT : boolean := FALSE); -- (TRUE, FALSE)
port(CLK0 : out STD_ULOGIC;
CLK180 : out STD_ULOGIC;
CLK270 : out STD_ULOGIC;
CLK2X : out STD_ULOGIC;
CLK90 : out STD_ULOGIC;
CLKDV : out STD_ULOGIC;
LOCKED : out STD_ULOGIC;
CLKFB : in STD_ULOGIC;
CLKIN : in STD_ULOGIC;
RST : in STD_ULOGIC);
end component;
attribute CLKDV_DIVIDE : real;
attribute DUTY_CYCLE_CORRECTION : boolean;
attribute STARTUP_WAIT : boolean;
begin
CLKDLL_inst : CLKDLL
port map (
CLK0 => open, -- 0 degree DLL CLK ouptput
CLK180 => open, -- 180 degree DLL CLK output
CLK270 => open, -- 270 degree DLL CLK output
CLK2X => CLK_OUT, -- 2X DLL CLK output
CLK90 => open, -- 90 degree DLL CLK output
CLKDV => open, -- Divided DLL CLK out (CLKDV_DIVIDE)
LOCKED => open, -- DLL LOCK status output
CLKFB => CLK_OUT, -- DLL clock feedback
CLKIN => CLK_IN, -- Clock input (from IBUFG, BUFG or DLL)
RST => '0' -- DLL asynchronous reset input
);
end Behavioral;
|
package repro6_gen_queue is
generic (type element_type);
procedure queue_add (el : element_type);
end repro6_gen_queue;
package body repro6_gen_queue is
procedure queue_add (el : element_type) is
begin
null;
end queue_add;
end repro6_gen_queue;
package repro6_gen_board is
generic (type element_type);
procedure board_add (e : element_type);
end repro6_gen_board;
package body repro6_gen_board is
package board_queue is new work.repro6_gen_queue
generic map (element_type => element_type);
procedure board_add (e : element_type) is
begin
board_queue.queue_add(e);
end board_add;
end repro6_gen_board;
entity repro6 is
end repro6;
architecture behav of repro6 is
package my_board is new work.repro6_gen_board
generic map (element_type => natural);
begin
process
begin
my_board.board_add(5);
wait;
end process;
end behav;
|
constant SensorFSMLength : integer := 850;
constant SensorFSMCfg : std_logic_vector(SensorFSMLength-1 downto 0) := "0010000011000001010011111000000000000000111110000000000000001111100000000000000011111000000000000000000000000000001010000000000100000000000000000011000011000000100000001000000000101000000000010000000010000000001100000100000100000001000000001001000001000001010000010000000011000010001000000100000001100000000010101000000000001000000110000001001100000010000000100100011000000100100100001100000010001111100000000000000000000000000000000100000100110001000000001100000100001111100000000000000000000000000000000011111000000000000000000000000000000000111110000000000000000000000000000000001111100000000000000000000000000000000000010000011011000000000000000100001100000100000001000001101100000000000100000001000000001010111110000000000000000000000000000000000000000011111000000000000000000000000000000000000000001111100000000000000000000000000000000000000000";
|
constant SensorFSMLength : integer := 850;
constant SensorFSMCfg : std_logic_vector(SensorFSMLength-1 downto 0) := "0010000011000001010011111000000000000000111110000000000000001111100000000000000011111000000000000000000000000000001010000000000100000000000000000011000011000000100000001000000000101000000000010000000010000000001100000100000100000001000000001001000001000001010000010000000011000010001000000100000001100000000010101000000000001000000110000001001100000010000000100100011000000100100100001100000010001111100000000000000000000000000000000100000100110001000000001100000100001111100000000000000000000000000000000011111000000000000000000000000000000000111110000000000000000000000000000000001111100000000000000000000000000000000000010000011011000000000000000100001100000100000001000001101100000000000100000001000000001010111110000000000000000000000000000000000000000011111000000000000000000000000000000000000000001111100000000000000000000000000000000000000000";
|
entity subent is
port (
a : in string(1 to 2) := "AB";
b : out string(1 to 2)
);
end entity subent;
architecture test of subent is
begin
b <= a;
end architecture test;
entity test is
end entity test;
architecture test of test is
signal b : string(1 to 2);
begin
e1: entity work.subent
port map (
a => open,
b => b
);
end architecture test;
|
entity subent is
port (
a : in string(1 to 2) := "AB";
b : out string(1 to 2)
);
end entity subent;
architecture test of subent is
begin
b <= a;
end architecture test;
entity test is
end entity test;
architecture test of test is
signal b : string(1 to 2);
begin
e1: entity work.subent
port map (
a => open,
b => b
);
end architecture test;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Fri May 16 15:25:24 2014 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_REG -- Number of software accessible registers
-- C_SLV_DWIDTH -- Slave interface data bus width
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Resetn -- Bus to IP reset
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
numInj : integer := 56;
numIn : integer := 10;
numOut : integer := 10;
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_NUM_REG : integer := 32;
C_SLV_DWIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
faultify_clk_fast : in std_logic;
faultify_clk_slow_out : out std_logic;
s_axis_aresetn : in std_logic;
-- AXI IFACE
resultvector_o : out std_logic_vector(numOut-1 downto 0);
resultvector_f : out std_logic_vector(numOut-1 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Resetn : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0);
Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Resetn : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
component faultify_top is
generic (
numInj : integer;
numIn : integer;
numOut : integer);
port (
aclk : in std_logic;
arst_n : in std_logic;
clk : in std_logic;
clk_x32 : in std_logic;
awvalid : in std_logic;
awaddr : in std_logic_vector(31 downto 0);
wvalid : in std_logic;
wdata : in std_logic_vector(31 downto 0);
arvalid : in std_logic;
araddr : in std_logic_vector(31 downto 0);
rvalid : out std_logic;
rdata : out std_logic_vector(31 downto 0);
resultvector_o_p : out std_logic_vector(numOut-1 downto 0);
resultvector_f_p : out std_logic_vector(numOut-1 downto 0);
testvector : in std_logic_vector(numIn-1 downto 0);
s_axis_aresetn : in std_logic
);
end component faultify_top;
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal register_write_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal register_read_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal register_write_address : std_logic_vector(C_NUM_REG-1 downto 0);
signal register_read_address : std_logic_vector(C_NUM_REG-1 downto 0);
signal slv_reg_write_sel : std_logic_vector(31 downto 0);
signal slv_reg_read_sel : std_logic_vector(31 downto 0);
signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
signal faultify_read_valid : std_logic;
signal faultify_read_address_valid : std_logic;
signal faultify_read_address : std_logic_vector(31 downto 0);
signal faultify_write_valid : std_logic;
signal counter, divide : integer := 0;
signal faultify_clk_slow_i : std_logic;
begin
slv_reg_write_sel <= Bus2IP_WrCE(31 downto 0);
slv_reg_read_sel <= Bus2IP_RdCE(31 downto 0);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31);
slv_read_ack <= faultify_read_valid;
-- implement slave model software accessible register(s)
SLAVE_REG_WRITE_PROC : process(Bus2IP_Clk) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Resetn = '0' then
register_write_data <= (others => '0');
register_write_address <= (others => '0');
faultify_write_valid <= '0';
else
faultify_write_valid <= slv_write_ack;
case slv_reg_write_sel is
when "10000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(0, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "01000000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(1, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00100000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(2, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00010000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(3, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00001000000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(4, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000100000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(5, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000010000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(6, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000001000000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(7, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000100000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(8, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000010000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(9, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000001000000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(10, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000100000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(11, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000010000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(12, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000001000000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(13, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000100000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(14, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000010000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(15, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000001000000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(16, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000100000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(17, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000010000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(18, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000001000000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(19, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000100000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(20, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000010000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(21, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000001000000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(22, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000100000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(23, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000010000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(24, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000001000000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(25, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000100000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(26, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000010000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(27, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000001000" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(28, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000100" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(29, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000010" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(30, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when "00000000000000000000000000000001" =>
for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop
if (Bus2IP_BE(byte_index) = '1') then
register_write_address <= std_logic_vector(to_unsigned(31, 32));
register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others => null;
end case;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process(slv_reg_read_sel, faultify_read_valid) is
begin
faultify_read_address_valid <= '1';
case slv_reg_read_sel is
when "10000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(0, 32));
when "01000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(1, 32));
when "00100000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(2, 32));
when "00010000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(3, 32));
when "00001000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(4, 32));
when "00000100000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(5, 32));
when "00000010000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(6, 32));
when "00000001000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(7, 32));
when "00000000100000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(8, 32));
when "00000000010000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(9, 32));
when "00000000001000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(10, 32));
when "00000000000100000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(11, 32));
when "00000000000010000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(12, 32));
when "00000000000001000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(13, 32));
when "00000000000000100000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(14, 32));
when "00000000000000010000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(15, 32));
when "00000000000000001000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(16, 32));
when "00000000000000000100000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(17, 32));
when "00000000000000000010000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(18, 32));
when "00000000000000000001000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(19, 32));
when "00000000000000000000100000000000" => faultify_read_address <= std_logic_vector(to_unsigned(20, 32));
when "00000000000000000000010000000000" => faultify_read_address <= std_logic_vector(to_unsigned(21, 32));
when "00000000000000000000001000000000" => faultify_read_address <= std_logic_vector(to_unsigned(22, 32));
when "00000000000000000000000100000000" => faultify_read_address <= std_logic_vector(to_unsigned(23, 32));
when "00000000000000000000000010000000" => faultify_read_address <= std_logic_vector(to_unsigned(24, 32));
when "00000000000000000000000001000000" => faultify_read_address <= std_logic_vector(to_unsigned(25, 32));
when "00000000000000000000000000100000" => faultify_read_address <= std_logic_vector(to_unsigned(26, 32));
when "00000000000000000000000000010000" => faultify_read_address <= std_logic_vector(to_unsigned(27, 32));
when "00000000000000000000000000001000" => faultify_read_address <= std_logic_vector(to_unsigned(28, 32));
when "00000000000000000000000000000100" => faultify_read_address <= std_logic_vector(to_unsigned(29, 32));
when "00000000000000000000000000000010" => faultify_read_address <= std_logic_vector(to_unsigned(30, 32));
when "00000000000000000000000000000001" => faultify_read_address <= std_logic_vector(to_unsigned(31, 32));
when others => faultify_read_address <= (others => '0');
faultify_read_address_valid <= '0';
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= register_read_data when faultify_read_valid = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
-----------------------------------------------------------------------------
-- clock divider 32 -> 1
-----------------------------------------------------------------------------
divide <= 32;
process(Bus2IP_Clk, Bus2IP_Resetn)
begin
if Bus2IP_Resetn = '0' then
counter <= 0;
faultify_clk_slow_i <= '0';
elsif(rising_edge(Bus2IP_Clk)) then
if(counter < divide/2-1) then
counter <= counter + 1;
faultify_clk_slow_i <= '0';
elsif(counter < divide-1) then
counter <= counter + 1;
faultify_clk_slow_i <= '1';
else
faultify_clk_slow_i <= '0';
counter <= 0;
end if;
end if;
end process;
faultify_clk_slow_out <= faultify_clk_slow_i;
faultify_top_1 : faultify_top
generic map (
numInj => numInj,
numIn => numIn,
numOut => numOut)
port map (
aclk => Bus2IP_Clk,
arst_n => Bus2IP_Resetn,
clk => faultify_clk_slow_i,
clk_x32 => Bus2IP_Clk,
awvalid => faultify_write_valid,
awaddr => register_write_address,
wvalid => faultify_write_valid,
wdata => register_write_data,
arvalid => faultify_read_address_valid,
araddr => faultify_read_address,
rvalid => faultify_read_valid,
rdata => register_read_data,
resultvector_o_p => resultvector_o,
resultvector_f_p => resultvector_f,
testvector => testvector,
s_axis_aresetn => s_axis_aresetn
);
end IMP;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.