content
stringlengths
1
1.04M
-------------------------------------------------------------------------------- -- Company: ITESM -- Engineer: Miguel Gonzalez A01203712 -- -- Create Date: 19:20:36 09/09/2015 -- Design Name: -- Module Name: D:/ProySisDigAva/Levi/P12_Gray_to_Binary_Converter/Gray_to_Binary_Converter_TB.vhd -- Project Name: P12_Gray_to_Binary_Converter -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Gray_to_Binary_Converter -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Gray_to_Binary_Converter_TB IS END Gray_to_Binary_Converter_TB; ARCHITECTURE behavior OF Gray_to_Binary_Converter_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Gray_to_Binary_Converter PORT( Gray : IN std_logic_vector(3 downto 0); Binary : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal Gray : std_logic_vector(3 downto 0) := (others => '0'); --Outputs signal Binary : std_logic_vector(3 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name -- constant <clock>_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Gray_to_Binary_Converter PORT MAP ( Gray => Gray, Binary => Binary ); -- Clock process definitions -- <clock>_process :process -- begin -- <clock> <= '0'; -- wait for <clock>_period/2; -- <clock> <= '1'; -- wait for <clock>_period/2; -- end process; -- -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; -- wait for <clock>_period*10; -- insert stimulus here Gray <= "0000"; wait for 100 ns; Gray <= "0001"; wait for 100 ns; Gray <= "0011"; wait for 100 ns; Gray <= "0010"; wait for 100 ns; Gray <= "0110"; wait for 100 ns; Gray <= "0111"; wait for 100 ns; Gray <= "0101"; wait for 100 ns; Gray <= "0100"; wait for 100 ns; Gray <= "1100"; wait for 100 ns; Gray <= "1101"; wait for 100 ns; Gray <= "1111"; wait for 100 ns; Gray <= "1110"; wait for 100 ns; Gray <= "1010"; wait for 100 ns; Gray <= "1011"; wait for 100 ns; Gray <= "1001"; wait for 100 ns; Gray <= "1000"; wait for 100 ns; wait; end process; END;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2414.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x00p10n01i02414ent IS END c07s03b02x00p10n01i02414ent; ARCHITECTURE c07s03b02x00p10n01i02414arch OF c07s03b02x00p10n01i02414ent IS type s27 is array (1 to 4) of integer; BEGIN TESTING: PROCESS variable V1 : s27 := (1, 2, 3, 4); BEGIN (v1(1) , v1(2)) := (v1(3), v1(4)); -- Failure_here -- type of aggregate not -- determinable from context assert FALSE report "***FAILED TEST: c07s03b02x00p10n01i02414 - Type of the aggregate must be determinable from the context." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x00p10n01i02414arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2414.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x00p10n01i02414ent IS END c07s03b02x00p10n01i02414ent; ARCHITECTURE c07s03b02x00p10n01i02414arch OF c07s03b02x00p10n01i02414ent IS type s27 is array (1 to 4) of integer; BEGIN TESTING: PROCESS variable V1 : s27 := (1, 2, 3, 4); BEGIN (v1(1) , v1(2)) := (v1(3), v1(4)); -- Failure_here -- type of aggregate not -- determinable from context assert FALSE report "***FAILED TEST: c07s03b02x00p10n01i02414 - Type of the aggregate must be determinable from the context." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x00p10n01i02414arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2414.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x00p10n01i02414ent IS END c07s03b02x00p10n01i02414ent; ARCHITECTURE c07s03b02x00p10n01i02414arch OF c07s03b02x00p10n01i02414ent IS type s27 is array (1 to 4) of integer; BEGIN TESTING: PROCESS variable V1 : s27 := (1, 2, 3, 4); BEGIN (v1(1) , v1(2)) := (v1(3), v1(4)); -- Failure_here -- type of aggregate not -- determinable from context assert FALSE report "***FAILED TEST: c07s03b02x00p10n01i02414 - Type of the aggregate must be determinable from the context." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x00p10n01i02414arch;
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
--Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand -- This design is based on the proposed method, discussed in the following publication: -- "A Fault Prediction Module for a Fault Tolerant NoC Operation" -- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C. library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity counter_threshold_classifier is generic ( counter_depth: integer := 8; healthy_counter_threshold: integer := 4; faulty_counter_threshold: integer := 4 ); port ( reset: in std_logic; clk: in std_logic; faulty_packet, Healthy_packet: in std_logic; Healthy, Intermittent, Faulty: out std_logic ); end counter_threshold_classifier; architecture behavior of counter_threshold_classifier is signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0); signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0); signal NET: std_logic; --no error threshold signal DET: std_logic; --detected error threshold signal reset_counters: std_logic; TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state, Reset_state); SIGNAL state, next_state : STATE_TYPE := Healthy_state; begin process(clk, reset)begin if reset = '0' then faulty_counter_out <= (others => '0'); healthy_counter_out <= (others => '0'); state <= Reset_state; elsif clk'event and clk = '1' then faulty_counter_out <= faulty_counter_in; healthy_counter_out <= healthy_counter_in; state <= next_state; end if; end process; process(faulty_packet, reset_counters, faulty_counter_out)begin if reset_counters = '1' then faulty_counter_in <= (others => '0'); elsif faulty_packet = '1' then faulty_counter_in <= faulty_counter_out + 1; else faulty_counter_in <= faulty_counter_out; end if; end process; process(Healthy_packet, reset_counters, healthy_counter_out,faulty_counter_out)begin if reset_counters = '1' then healthy_counter_in <= (others => '0'); elsif Healthy_packet = '1' and faulty_counter_out /= std_logic_vector(to_unsigned(0, faulty_counter_out'length)) then healthy_counter_in <= healthy_counter_out + 1; else healthy_counter_in <= healthy_counter_out; end if; end process; process(healthy_counter_out, faulty_counter_out) begin reset_counters <= '0'; DET <= '0'; NET <= '0'; if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then NET <= '1'; reset_counters <= '1'; end if; if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then DET <= '1'; reset_counters <= '1'; end if; end process; process (NET, DET, state)begin Healthy <= '0'; Intermittent <= '0'; Faulty <= '0'; case state is when Healthy_state => if NET = '1' then next_state <= Healthy_state; elsif DET = '1' then next_state <= Intermittent_state; Intermittent <= '1'; else next_state <= Healthy_state; end if; when Intermittent_state => if NET = '1' then next_state <= Healthy_state; Healthy <= '1'; elsif DET = '1' then next_state <= Faulty_state; Faulty <= '1'; else next_state <= Intermittent_state; end if; when Faulty_state => next_state <= Faulty_state; when Reset_state => next_state <= Healthy_state; Healthy <= '1'; end case; end process; END;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:19:18 09/27/2017 -- Design Name: -- Module Name: Sumador32bit - Arq_Sumador32bit -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Sumador32bit is Port ( Oper1 : in STD_LOGIC_VECTOR (31 downto 0); Oper2 : in STD_LOGIC_VECTOR (31 downto 0); Reset : in STD_LOGIC; Result : out STD_LOGIC_VECTOR (31 downto 0)); end Sumador32bit; architecture arq_Sumador32bit of Sumador32bit is begin process(Oper1,Oper2,Reset) begin if reset='1' then Result<= "00000000000000000000000000000000"; else Result<= Oper1 + Oper2; end if; end process; end arq_Sumador32bit;
package sumpkg is type int_vector is array (natural range <>) of integer; function get_left(a : int_vector) return integer; function get_right(a : int_vector) return integer; function get_length(a : int_vector) return integer; function sum(a : int_vector) return integer; end package; package body sumpkg is function sum(a : int_vector) return integer is variable result : integer := 0; begin for i in a'range loop result := result + a(i); end loop; return result; end function; function get_left(a : int_vector) return integer is begin return a'left; end function; function get_right(a : int_vector) return integer is begin return a'right; end function; function get_length(a : int_vector) return integer is begin return a'length; end function; end package body;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1509.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s09b00x00p02n01i01509ent IS END c08s09b00x00p02n01i01509ent; ARCHITECTURE c08s09b00x00p02n01i01509arch OF c08s09b00x00p02n01i01509ent IS BEGIN TESTING: PROCESS variable counter : integer := 0; BEGIN L1 : while counter < 10 loop counter := counter + 1; end loop L1; assert NOT( counter = 10 ) report "***PASSED TEST: c08s09b00x00p02n01i01509" severity NOTE; assert ( counter = 10 ) report "***FAILED TEST: c08s09b00x00p02n01i01509 - In loop statement, the reserved word loop must be followed by a sequence of statements, and the reserved words end loop" severity ERROR; wait; END PROCESS TESTING; END c08s09b00x00p02n01i01509arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1509.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s09b00x00p02n01i01509ent IS END c08s09b00x00p02n01i01509ent; ARCHITECTURE c08s09b00x00p02n01i01509arch OF c08s09b00x00p02n01i01509ent IS BEGIN TESTING: PROCESS variable counter : integer := 0; BEGIN L1 : while counter < 10 loop counter := counter + 1; end loop L1; assert NOT( counter = 10 ) report "***PASSED TEST: c08s09b00x00p02n01i01509" severity NOTE; assert ( counter = 10 ) report "***FAILED TEST: c08s09b00x00p02n01i01509 - In loop statement, the reserved word loop must be followed by a sequence of statements, and the reserved words end loop" severity ERROR; wait; END PROCESS TESTING; END c08s09b00x00p02n01i01509arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1509.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s09b00x00p02n01i01509ent IS END c08s09b00x00p02n01i01509ent; ARCHITECTURE c08s09b00x00p02n01i01509arch OF c08s09b00x00p02n01i01509ent IS BEGIN TESTING: PROCESS variable counter : integer := 0; BEGIN L1 : while counter < 10 loop counter := counter + 1; end loop L1; assert NOT( counter = 10 ) report "***PASSED TEST: c08s09b00x00p02n01i01509" severity NOTE; assert ( counter = 10 ) report "***FAILED TEST: c08s09b00x00p02n01i01509 - In loop statement, the reserved word loop must be followed by a sequence of statements, and the reserved words end loop" severity ERROR; wait; END PROCESS TESTING; END c08s09b00x00p02n01i01509arch;
-- file: clock.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____32.500______0.000______50.0______280.255____257.452 -- CLK_OUT2____25.000______0.000______50.0______306.503____257.452 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary______________50____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clock is port (-- Clock in ports CLK_IN : in std_logic; -- Clock out ports CLK_OUT : out std_logic; CLK_OUT2 : out std_logic; -- Status and control signals LOCKED : out std_logic ); end clock; architecture xilinx of clock is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clock,clk_wiz_v3_6,{component_name=clock,use_phase_alignment=true,use_min_o_jitter=true,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=2,clkin1_period=20.000,clkin2_period=20.000,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkfbout_buf : std_logic; signal clkout0 : std_logic; signal clkout1 : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals begin -- Input buffering -------------------------------------- clkin1_buf : IBUFG port map (O => clkin1, I => CLK_IN); -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "HIGH", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "SYSTEM_SYNCHRONOUS", DIVCLK_DIVIDE => 2, CLKFBOUT_MULT => 39, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 30, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => 39, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 20.000, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, -- Status and control signals LOCKED => LOCKED, RST => '0', -- Input clock control CLKFBIN => clkfbout_buf, CLKIN => clkin1); -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfbout_buf, I => clkfbout); clkout1_buf : BUFG port map (O => CLK_OUT, I => clkout0); clkout2_buf : BUFG port map (O => CLK_OUT2, I => clkout1); end xilinx;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nnA1LvIFtXuhnEgnrDveU5DQhO4oCdS4/TzHWVjuSWRiJTWamPLe1zKRcIJ3OgsD949QJsbaygaN jpuk7BYNZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cfy8I58fHjYLB4BFaw/VxzidETwabyuF6c2nxAde+hbLnyzOfkymKdOr4Pk5oDTY4htTgTDRWzMe dytGdfmZXjp6SJIGysindi/Logxabu2rWzFmbsNC3Q0gro5se9+3qoriCL3M82gnhvX/joJNLiXg rsFmmSylhS6v32W24xg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gu3bZVKL/oo3WMbeK5OSi9dLiGmyQy2yONRw6Nst9yei3DenlP6wnhfHYdkStFXi/uvWUBEeZ7hN 0Bmqlib8vQ0eJP09mki40prhGAwrKuqYt+2JunlvLYMjlmKGJOXPgQJfoYTNzbZDTWMAPlUaZkK1 oZkHNa3Wtk5m49sk7N6rE0lY6V2L8UfgTL/MmCwu7DKHNfTBd2W2KricGJ6ICGb/eh21T7mo+KTw su5JPh2xN6VOnDqK2JFdz2Fe2UsNNdpq35qIZsc5dRna+xfhp64zhbzGUq3oNeTCYYFL7/rkWyjk xMfq+Y7aGpW1qrNdKLCLUa3C0oRubzA+yEUHPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CjIoJO7bPG0vgefcLg3HndCtGBfDCnGBCSVZItM/kv6K6ZpvJnvEpEF/v7GEKszxgiutC8bTrPRk /jMI//klbN/ln/AMlW7lDqpJ5wXp83c77tloVq04bnPwc3DaApr08oK3Bf1H6JgBuFfaRFUfxoRB 6anIIq6YC6xrV65+910= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block D/ZhWxzQ+2vaiYn3/fV/u9o/WEb/ogG/V9KccsPCOCWeaD6JXzbX1wTvk2mHL3gwIIjopxpeK8ct Dd/kho1WYC462ZEZ1ijvlrdcQ6jRucbVeVK20vWFMC1CO9YW54zFCdUIFDYoBjMQnJ6IU90guAMg K2P3LVnqKNh7XA5585Xm34QBVEtkbFVGa/nBjX2k27AaOcjv8CeFc7ihUp4B6D6YzM34GhHkOxNj NyMvVJlZ5HBA7JHakPw8PSgdpMIr12xEOrEcLpR4AR6H6hPW9blh2XXVPneGey+XXrhV6WAB7P2G TGbniILS+ojY57htkmkMwgWfAakIRm5HfiYkdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4720) `protect data_block HNY+SCOjRvVbNph/2TXQYH+8YYYEqcF6WlQuvQz1d5KZ3S5t3pc6Dim9soZ7UhulAUQmU5h6A1i5 bEGw6wK0JqUbwtjt1oqf9IlfKe1OYez0KNf0BGo4CoPMv8oYGngTyaMOik5FYJYNuIetFKWbQWti p1t1EDcva/5HLfvIiizqct0cS76+gdoCJOjzBIBWoIHZM8IdNqzezWrhkaSe2cNcWoCpn0ZhV4F/ UZTbJAzwvIc3czV/YJunaG4IqUat65b+YmPdwWiWuPKBEEkEK7pRTbRfklFAW+3KW4Jf4suAzkeE rz0zA/kVm/7mLiCCapdzG8kO2KrBiKvNLT3WUmqN+2+Qwyj9fRtg98nQjiHih0MMZofs4hP6lpJJ vYgma9yQrE4TmlAcDKw7oDvbWG7s76haFdH7rjlNCcwOk+krKOJvGSo46rA33BamVeBd50Slr1sH 7T6kaTH9gpqsMPm+Kel1HTubYumZvi2uHzEP+LDb147scpSM4G1RVRJAeQjIbYX3CtVtKsBpMUW/ bkziTmeMdtuHld8bUYIn5DgJkBGXcsKV3RG+Tbbqu5HH8d3J8slfkuBlDdi7tb2UUDefkvHa1KjJ nV15R5iuSPmC2f1D7kmAFQK+8afV0wKbXj0WTNvoEsYnUtAcVJCzi6psVbFTYmfhG1it9BdnbBea dneWp4AkwLPIM8/g9IbX8TeFZhjX5+5PHL3bhUcnb4Q6MlCd+5YPFZrM4OYCQRyogfZRRhND0uEj jGvEGly+oTBdkhugXH9yTKWcDYPE+QB3H77kpq3D3ZBCvlGXrIvNJlboMAIlEe5XMUeq0uVpKUL2 xa3te50wjocRb69fjcA/mfYFYPA/+R0MYXDDBv/vMALxXlG5h4uSA/Zzsw3pEmc6E6EvtQRjGXCF muxKqwZnE1wVRu45Fn510aWxUhUyr3j4JRbSykfyZgf7ttqyFujF9WDqQJ2d2sCbbAZbujh2Inmu /EPL5DbRO8uX4vz9hjAFJcQ+HmVGZ2aklfCHqpGK83J7uTe9gRL3do3UzQecmBpIWbSWPKBDbzy3 I1g+H6CDcsdbJ77+WeGDokFZNlRPGbeD+TWsMergNlC+dcF8NGuu7zi4rD/Sx8tBFFrtYrkGQpyL 2uHfxYM6Kxlyil728jseh1DmI4pbwgD8FiQwsw/wjUs/NewabpERwEph9ADAnMOQIXFnkhZDfCS+ 2pcZCJY6EzTWYQwgnklCshEvEnTnz863Dv70xIYeAZ94rieuw62mr9lTEBr6VIOY6w/DpXRoeItD msp9h2IFeNJkX+SUlhpyhJBcca/TKN87ruNVQ7lbEPle3Zu4xuZboIWvLjMxH1aacD2mj9QKDdDR KrQ/NxA1pUZNxOYKvqlXwYdC0ryVsej3OKSMvauHd7IMYVzqpimXB6L7+2Fqk155SdQ3pb0nS4w1 7Qc3RO8plVfkjhUluACdHovxRE8SBLzYameFBmteP/UZhUeflmSHTStj/aDJDVRbMqWcwowl9R2W v36PUSGMYBvEQ+ArNJM6Itke/AtYzJiwpHeCxoKQBWMdDpDhZQt+j0bLyBOdv4t8ffvujyGLu2OB qth/K/5ceKZTcPMQi1v81Xp6RJFsajildLoGWvkRlhROFezR/OnoliAnfIZ0HGf7qXHopSwV24zb Rev5v5lkVnbTLiogX/uOGQuMAjzXn8tyKGV9aQI6G0PKWEiw9E3vraZDdQyBIOWlnH2dUgVrFlqg 7HRLfJqzzhEMJIz05UhJMonpy9caS/jm2cE6viJVMV4WqL3eVAlG3K163W9J3E7ePxRDDPePKn0e 2Qiqk2qDeQ0+axy+QDZyWea0JKST6rOdIyiLUsutCL416t09CgGiqomq/XLyLN8tj+ODK/2Qfl7g OlIe0nGrScIi2apGk3jHPVa0WMBGr8U8Mnfs1ngoLW6EBHUiVVvtvPsAkXgU/hPtrMiBvx/c9Cx6 zAKNT8mtWxwr/H1ylQOLRFrfj3scDB5TGxI/lX/Ls43ZdTxWqLF7qRMcJDH1Tr0miRKtEqqa3UBf mScRalNZSaLGv1844ZZjA3RAvqs8Q92/n+b2RD/THdto7lbFbXTkdTTcAsr3e2EFEGvfpmPuMwB4 wqLt80j7FmDjk7PVTeYadIcHWnf2/rf8WHKIlzxUZKSMq0/F0sgPS/vcfu53XsLrf/27UTqMNtQx 1HYRHWxbYSMG3WsN58QFlaJ2qXKMqp7zG48BV1UH5fLyCBzDf3Xo/w/gOR/t57C2/jvwgErptDrO /DqB4CDDwo9N6N4Lt2tNl0w91kwt+5fpLUOV2XIS95nYSX2eD0i4NcoHIPSM8aUmk3jtzQjoMGSB fk+fe4NBnc3MKhp1mgjH57juQeW3Uhva7P8RMEsfrw0t/RbOMf/ViEXOMMPwUq0FoNYJMqoeIoAR b5HiBAA9t3TgPdHDcYxpqZbdHRaNoLAYrduTuk4UBA8ivJnnUxR2LzOfBvqDNEnZulhsZv3vzfI+ q7TIJiq7LQ8yOK7arPCqlv0p+klxeSjE2Xe6YeirHzuEb/z3RGa4/tlk03gtnRLW/TkB3EtOwOrI klBw9HjyHuuIjb7l+YZnN7cmWxlKwI7G6I0pf7gn1G8hl2KEHlHh7701lkh7UHL3ljDYwVKOcNbL 5RSTbIncz0KCZSFxM57l7ywz4hxsqliXpVQFWP87ILwhMVY+Lz1sRDC0uOjDlymBujpO02V3/0XJ JJr9LYy5+HtH3fGlVN5fMdKc4L7gdpJvKi0XC8oLyczexJniAj+cMsrCOKA2esHuETBMmVIrHXZ9 5MEmc2M7rCANDQb4TfOIP/bYHziRwd4nnzf1tnL/Z5Au6+Mhf6weE3Mjsn/oormRVneJHOpq+0t7 nX2dCBcKYtjHgJbXNuS8FrphDyTMISqOc8Tzbc86p37z/Exn3fD0fCouT2mu1FNuh0BY6OBAHQNJ idCJfzF/DLxu+H7mkc1a+K4tYwTDPQ1gITyhBMPmNWpmVu5Bo6ya4k2r8zCSiSuQQj3/QyCd7WMv RoWkb6nDyH1j4O4RyRsmsD47Ptz0hH26uhOU5bxLn88Z4Wp1eqwpv5HOlo6noHnaeTSx8d2uY7XH OclrNT73cDst76AhvuhDclpSfjypCQnvMQNh13Ie571skBZ2vCuzWFUwu/wNsm9KJKKBVts2WgqB Vo/WZHExGsliz5Nf7LyaoeJPxSNoVXEM/biuXGZcVXsPDDq5cLhmmmX+KnhGoJLIcZy/Fv5y3+H9 YSOZvL1r+Uw66v8TKnIq4aoyVSTo9obpcehnyQ4hErZeamNtFF0Jv7W8KNKXhvCPq7ZpjUjzroJs qzPcvuZsp+hm22J9hlg/PcsuX6gotz3xAGSf+rbsV8vf1vjCU1h1svvlFKJnH5mLBmgxPKkcOa5U DA4JkqnN+a5pIZGX/s4kRNJz7xcqZafZBQCd98WZpDjthXU7SKnEHdKSbNff3stl7HH/sCFELwNO mGwm59pmXSqAerrhqYHMILWXk+COAldqDe5iyvWqklhowyoKyWViEhC6lt8+LqLhB5B8OG4kQkgm SAe936RmydVgfuGGFb22ZwBieURMtPC7e6CoeGna9GzN/40pBCcrZDEIk7uSiRwGDOldBUctNPQz 2nHYynvrZzSxdMDWd6e4EUvcmBMUz2+4R8ITxmBpe26igQIb7mfzGIxfomdISVx+wN1XH/wn9fub lx2Je/gOXXRah1KzTveO1+YoW9Go3TL/sIJ7M4tPQOiHsKz2HV6AtPYzuoXsfMx1bfygf+oGFK2Z GtqDv0mDIh1OwLJhel8541VS0sa1YlzRXDWoIhugwK1FvLC2nvneHxLKWeCIaw6CFaMYSB+6oKGM YBM/PHkVf+jabj44CJePN/sf+cidZ8if3IsksSNhBe65jFXLlcZY7qhhdrzaINzT4a6wnnvj9ViY +Mf0z1roXDQoEFc0+l3iZHBuVNZAsp0xfBBHJ+dGO/j7uT+J6NXzL0BS0NuqDANwOOTK74MT1LWH W3+WyzpniwLAHDJChat4PfBiaqp0cl1FdujfGpSJGwZa0gn4+hgB+pQzblWg2WOTUGNFRDazSbbo ExT0vyQ/UHpg/cHTdJYJKhs4OJMKG5G0FZN6gjCAfCZSfVho3VTkTiVgoIPyqdIiPyAWaNXCwh5H WeHgPIbnsWt/Al8ikfzqYksN6MtMucjtys/S9MgoTgG7WfOiFoghIf2u6fphW+FG1eQWtGjQmnz9 qaqolciJ7OPotKkSatkVi9nVvMOnbxoScaoW6PFx3Ma60l5IvVVjU0SNVEBdceeaq9AIJy4s7feO zMVYKd9nYp/neKjVTNECiFByB3ypYajx1Gz1sRg7ZQwPkbGHV8oltQ0UqYV9tkZ60hrrjodu0+uh 8cg9vOiTIFTgJXLXAF8G8ANMKpmQFHWPr/cevh+mOMPBJTl1XUl9ZSUDvznupY/2S2YDSSgfMjfF b3WTqKEDNNVMll0h0Fiwd7maiamY3SHpQWqEaNNT6JOOPXonTFawWU+V87gcpNSBWgWGPg0/2rev 36FIEVgi1t6gWMBWT2NKYMyo6k7NFZyckrajg/jh5TlIcDrIzWtC5fHlaE/5So+k1ly39w/SSRaD kikDwmgjunoPJEPxNN/DzKossxizyj8pmUCW2fkrZwyVm0VfathHcYoccCHPjkstzRW9iBAlGl6y nXrm1vg5hKghTPMrCzMfJgW6pPYD2yqYY+cLfXgutxpfYpOsI88UFfFyAqPCfhAazwnj6hCZnJaN QnDCOBTb3vj6jk7rmqJ0bL1ftnZOhAYSHnu+yAMVle0J8ZCEqMeB58HrNOF2ellivc3vJn7idWPM E9u3pT/f8tgfbK2Rb6ObG4SuS4l5i0RZbuKpqFRKF/N5yBcbuKrhjwFkMh2yvSu4QdtPRK5f+ucj aA1jSBnGGurHbaJP4Idqut2r7T15wqIn1ApOOfru+hMnAP3hf1Qs8Q5n2iTTlxJENXeBZzWYqm12 2N1n8t28xI6Sn33zRPe16kpa1ffP8Uk/oeqJuqZzJDp7WJQ1KLstfKEyv/KujaQhWKrCIj31KOEF fIHmYlvhNtjx9cjrTlV96e/9bS3oHcDvTPJrLyk4GqztOiSFYGNpqtLwMqa09t7463LgYe7nqwmK m1zqjIe3N71O9o7uZDEou0qf/pBV3fR6x8yG+dubIJX/OoYcR9gCkGOwrqdbHZ+o6MoxcM95cptI 3RE6NsZdphCTEgTVpozPpufBFLM4QJaUe9kwmZBj+g1msYMmcKIWU6w2GWtsrJhU0PKWYvfuFOGk LShMeeLACbKpr19fvk5lU8NsQ5GmREXdNOG3s1qXA7OumXzkVPGS2Deg9xufD50buKmQPGxPiuvq joPBej5P2RQujn/gTelJ/lZlHuf61NCaVVaG6/2+zln2kIvQ6mNfPRO55G+3rRciT7DTvPQ14bLy h2wSaysVZ38jGwYZPdg/ahj6iKf/IOcRXBangV0qgpYYDICgVXAkL6RcJo7giKPmwxFVSsrSriuE WAsyQGfAUD02UY5bodUDgTzNimzi+/iTUn48Xf+R1VZxTRXHBS8yFfgLAxvWokqdpJsKFXk+QIOw IAqUDmxENPMr9Gqj+2Rgvb0qjYPLNqTIc0Lksr6qfsirnOgrRarq9TWtWrWWEskbp2oGu+oO2AvU NTgddR8e9INabHZK3ba/x+OfgYn+gDs5WBlrYW9IH7HkcUMG/TAKG1FxB12i+LONoSF6pP3ey2Jw OEO1EXUWmtUWJj6nIcME/qNQDeNfhT4EiJO4dJ/oSMnc3jbjNrfAdtun8GOzrmIN+jinJQFTy9n0 XNhSUSMGUelIFZh0s1Lfc6nN2ha9eToApdKeiXjOYXYXqR00+Bhl9SZDhNgxhjCWhZZarlXgoHBQ G1+gcTQ4j3iOXEz44cr3/nxX0mtTmWBetPVrxCcLK6zRPTcXSHQNrxU8WG/yby7hqceH+HmSIIdl XwNJXOZMDiW+PZ8Ly789xIwt9Qpv8WCJ9kFxz1adG8ngtdavviP9Vv1Eju1opE/eEB9HhyNtCWXv PRGCJGHv+eWOJ7Nn74UVkXB9HT4+BxVNz86kJAOnYiPSxxEFPMDBLHH/zRxVSlxYZc4Lo9r8f1UT EX6/3BthH9sTCA37+nw+naGI2wvWYo97OsnZX+oYSuNOSvJvAzlWZRo+MT9ccmPiYsNaUPleiil5 GP/hZSp4g+5LCw/xiULJNmK6WFzBILqCEaKE9EXIESs83Qhb0w953qQtQifv9g== `protect end_protected
package p is type int_ptr is access integer; -- OK type bad1 is access foo; -- Error type rec; type rec_ptr is access rec; type rec is record value : integer; link : rec_ptr; end record; type int_vec is array (integer range <>) of integer; type int_vec_ptr is access int_vec; type string_ptr is access string; end package; package body p is procedure test is variable v : int_ptr; variable i : integer; variable r : rec_ptr; variable a : int_vec_ptr; variable s : string_ptr; begin v := null; -- OK i := null; -- Error deallocate(v); -- OK v := new integer; -- OK v := new integer'(5); -- OK v := new 5; -- Error v := new i; -- Error v.all := 5; -- OK v := 5; -- Error i := v.all + 5; -- OK r := new rec; -- OK r.all.value := 1; -- OK r.value := 1; -- OK r.link := r; -- OK r.link := r.all; -- Error i := r.value; -- OK r := r.all.link; -- OK a := new int_vec(1 to 3); -- OK a.all(5) := 2; -- OK a(5) := 2; -- OK a(1 to 2) := (1, 2); -- OK s := new string'(""); -- OK s := new integer'(1); -- Error s := new s(1 to 3); -- Error end procedure; procedure test2(x : inout rec_ptr) is begin x.value := x.value + 1; end procedure; procedure test3 is type a; type a is access integer; -- OK variable v : a; -- OK begin end procedure; type int_ptr_array is array (integer range <>) of int_ptr; type int_ptr_array_ptr is access int_ptr_array; procedure alloc_ptr_array(x : out int_ptr_array_ptr) is begin x := new int_ptr_array; -- Error x := new int_ptr_array(1 to 3); -- OK x.all := (null, null, null); -- OK end procedure; procedure tets4 is type bvp is access bit_vector; variable x : bvp(1 to 4) := new bit_vector'("1010"); -- OK variable y : int_ptr(1 to 3) := int_ptr'(null); -- Error begin end procedure; end package body;
---------------------------------------------------------------------------------- -- Company: LARC - Escola Politecnica - University of Sao Paulo -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/12/2012 -- Design Name: Shift_Register_rst_n_bits -- Module Name: Shift_Register_rst_n_bits -- Project Name: Essentials -- Target Devices: Any -- Tool versions: Xilinx ISE 13.3 WebPack -- -- Description: -- -- Shift Register of size bits with reset signal, that only registers when ce equals to 1. -- The reset is synchronous and the value loaded during reset is defined by reset_value. -- -- The circuits parameters -- -- size : -- -- The size of the register in bits. -- -- Dependencies: -- VHDL-93 -- -- -- Revision: -- Revision 1.0 -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shift_register_rst_nbits is Generic (size : integer); Port ( data_in : in STD_LOGIC; clk : in STD_LOGIC; ce : in STD_LOGIC; rst : in STD_LOGIC; rst_value : in STD_LOGIC_VECTOR ((size - 1) downto 0); q : out STD_LOGIC_VECTOR ((size - 1) downto 0); data_out : out STD_LOGIC ); end shift_register_rst_nbits; architecture Behavioral of shift_register_rst_nbits is signal internal_value : STD_LOGIC_VECTOR((size - 1) downto 0); begin process(clk, ce, rst) begin if(clk'event and clk = '1')then if(rst = '1') then internal_value <= rst_value; elsif(ce = '1') then internal_value <= internal_value((size - 2) downto 0) & data_in; else null; end if; end if; end process; data_out <= internal_value(size - 1); q <= internal_value; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library pvz; use pvz.pvz_objects.all; -- 逻辑部分 entity Logic is port( reset: in std_logic; clock: in std_logic; out_plants: out plant_matrix; out_zombies: out zombie_vector; new_plant: in std_logic; -- 新植物信号 new_plant_type: in std_logic_vector(1 downto 0); -- 新植物类型 new_plant_x, new_plant_y: in integer range 0 to M-1; -- 新植物坐标 out_lost : out std_logic; -- 输赢 out_round : out std_logic_vector(3 downto 0) ); end entity; architecture bhv of Logic is signal count: std_logic_vector(30 downto 0); signal pea_clk_count : std_logic_vector(10 downto 0); signal zombie_count : std_logic_vector(5 downto 0); signal pea_clk: std_logic; signal plants: plant_matrix := (others => (others => ("01", "0000", M, '0', "0000"))); signal zombies: zombie_vector := (others => ("0000", 0)); signal passed_round : std_logic_vector(3 downto 0) := (others => '0'); -- 过去了多少轮 signal restart : std_logic := '0'; constant ROUND_CLK : integer := 20; constant ZOMBIE_MOVE_COUNT : integer := 3; constant NEW_ZOMBIE_Y : y_vector := (1, 3, 0, 4, 2, 3, 2, 0, 1, 4, 2, 4, 3, 1, 0, 1, 0, 3, 2, 4); begin out_zombies <= zombies; out_plants <= plants; process(clock) begin if (rising_edge(clock)) then restart <= reset; if (count = 32000000) then count <= (others => '0'); pea_clk <= '1'; else count <= count + 1; pea_clk <= '0'; end if; end if; end process; -- 处理豌豆 process(pea_clk, new_plant, reset) variable p: plant; variable x, y: integer range 0 to M-1; constant NUT_HARM : integer := 1; constant NORM_HARM : integer := 2; variable has_lost : std_logic := '0'; variable new_y: integer range 0 to N-1; begin if (rising_edge(pea_clk)) then if (restart='1') then for i in 0 to N-1 loop for j in 0 to M-1 loop plants(i)(j).hp <= (others=>'0'); end loop; end loop; for i in 0 to N-1 loop zombies(i).hp <= "0000"; end loop; has_lost := '0'; out_lost <= '0'; passed_round <= (others => '0'); else if (new_plant = '1') then if (plants(new_plant_y)(new_plant_x).hp > 0 and new_plant_type = "10") then plants(new_plant_y)(new_plant_x).with_sun <= '0'; plants(new_plant_y)(new_plant_x).cd <= "0000"; plants(new_plant_y)(new_plant_x).plant_type <= "10"; elsif (not(zombies(new_plant_y).x = new_plant_x and zombies(new_plant_y).hp > 0)) then plants(new_plant_y)(new_plant_x).pea <= M; plants(new_plant_y)(new_plant_x).with_sun <= '0'; plants(new_plant_y)(new_plant_x).cd <= "0100"; plants(new_plant_y)(new_plant_x).hp <= "1000"; plants(new_plant_y)(new_plant_x).plant_type <= new_plant_type; end if; end if; -- 更新植物 if (reset='1') then for i in 0 to N-1 loop for j in 0 to M-1 loop plants(i)(j).pea <= M; plants(i)(j).with_sun <= '0'; plants(i)(j).cd <= "0000"; --plants(i*M + j).hp <= "0000"; end loop; end loop; else for i in 0 to N-1 loop for j in 0 to M-1 loop p := plants(i)(j); if (p.hp > 0 and p.plant_type = "00") then if (zombies(i).hp > 0 and zombies(i).x >= j) then if (p.pea = zombies(i).x or p.pea = zombies(i).x-1) then plants(i)(j).pea <= M; zombies(i).hp <= zombies(i).hp - 1; elsif (plants(i)(j).pea < M) then plants(i)(j).pea <= p.pea + 1; elsif (p.cd = 0) then plants(i)(j).pea <= j; plants(i)(j).cd <= "1010"; end if; elsif (p.pea < M) then plants(i)(j).pea <= p.pea + 1; end if; if (p.cd > 0) then plants(i)(j).cd <= p.cd - 1; end if; elsif (p.hp > 0 and p.plant_type = "10") then -- 向日葵产生阳光 if (p.cd = 0) then if (p.with_sun = '1') then plants(i)(j).with_sun <= '0'; elsif (p.with_sun = '0') then plants(i)(j).with_sun <= '1'; end if; plants(i)(j).cd <= "1010"; else plants(i)(j).cd <= p.cd - 1; end if; end if; end loop; end loop; end if; -- 更新僵尸 if pea_clk_count=ROUND_CLK then pea_clk_count <= (others => '0'); new_y := NEW_ZOMBIE_Y(conv_integer(unsigned(passed_round))); passed_round <= passed_round + 1; zombies(new_y).x <= M; zombies(new_y).hp <= "0101"; else pea_clk_count <= pea_clk_count + 1; end if; if (zombie_count=ZOMBIE_MOVE_COUNT) then for i in 0 to N-1 loop if (zombies(i).hp > 0) then if (plants(i)(zombies(i).x-1).hp > 0) then if (plants(i)(zombies(i).x-1).plant_type="01") then -- 坚果墙的防御力较高特殊处理 plants(i)(zombies(i).x-1).hp <= plants(i)(zombies(i).x-1).hp - NUT_HARM; else plants(i)(zombies(i).x-1).hp <= plants(i)(zombies(i).x-1).hp - NORM_HARM; end if; else zombies(i).x <= zombies(i).x - 1; end if; end if; end loop; zombie_count <= (others=>'0'); else zombie_count <= zombie_count + 1; end if; -- 判断是否输了 for i in 0 to N-1 loop if (zombies(i).hp > 0 and zombies(i).x = 0 and plants(i)(zombies(i).x).hp = 0) then has_lost := '1'; end if; end loop; out_lost <= has_lost; end if; end if; end process; process(passed_round) begin out_round <= passed_round; end process; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2260.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p05n01i02260ent IS END c07s02b06x00p05n01i02260ent; ARCHITECTURE c07s02b06x00p05n01i02260arch OF c07s02b06x00p05n01i02260ent IS BEGIN TESTING: PROCESS constant rem11 : integer := (1 - 4) rem (1 - 4); constant rem12 : integer := (1 - 4) rem (2 - 4); constant rem13 : integer := (1 - 4) rem (3 - 4); constant rem15 : integer := (1 - 4) rem (5 - 4); constant rem16 : integer := (1 - 4) rem (6 - 4); constant rem17 : integer := (1 - 4) rem (7 - 4); constant rem18 : integer := (1 - 4) rem (8 - 4); constant rem19 : integer := (1 - 4) rem (9 - 4); constant rem41 : integer := (4 - 4) rem (1 - 4); constant rem42 : integer := (4 - 4) rem (2 - 4); constant rem43 : integer := (4 - 4) rem (3 - 4); constant rem45 : integer := (4 - 4) rem (5 - 4); constant rem46 : integer := (4 - 4) rem (6 - 4); constant rem47 : integer := (4 - 4) rem (7 - 4); constant rem48 : integer := (4 - 4) rem (8 - 4); constant rem49 : integer := (4 - 4) rem (9 - 4); constant rem61 : integer := (6 - 4) rem (1 - 4); constant rem62 : integer := (6 - 4) rem (2 - 4); constant rem63 : integer := (6 - 4) rem (3 - 4); constant rem65 : integer := (6 - 4) rem (5 - 4); constant rem66 : integer := (6 - 4) rem (6 - 4); constant rem67 : integer := (6 - 4) rem (7 - 4); constant rem68 : integer := (6 - 4) rem (8 - 4); constant rem69 : integer := (6 - 4) rem (9 - 4); variable four : integer := 4; BEGIN assert rem11 = (1 - four) rem (1 - four); assert rem12 = (1 - four) rem (2 - four); assert rem13 = (1 - four) rem (3 - four); assert rem15 = (1 - four) rem (5 - four); assert rem16 = (1 - four) rem (6 - four); assert rem17 = (1 - four) rem (7 - four); assert rem18 = (1 - four) rem (8 - four); assert rem19 = (1 - four) rem (9 - four); assert rem41 = (4 - four) rem (1 - four); assert rem42 = (4 - four) rem (2 - four); assert rem43 = (4 - four) rem (3 - four); assert rem45 = (4 - four) rem (5 - four); assert rem46 = (4 - four) rem (6 - four); assert rem47 = (4 - four) rem (7 - four); assert rem48 = (4 - four) rem (8 - four); assert rem49 = (4 - four) rem (9 - four); assert rem61 = (6 - four) rem (1 - four); assert rem62 = (6 - four) rem (2 - four); assert rem63 = (6 - four) rem (3 - four); assert rem65 = (6 - four) rem (5 - four); assert rem66 = (6 - four) rem (6 - four); assert rem67 = (6 - four) rem (7 - four); assert rem68 = (6 - four) rem (8 - four); assert rem69 = (6 - four) rem (9 - four); assert NOT((rem11 = (1 - four) rem (1 - four)) and ( rem12 = (1 - four) rem (2 - four)) and ( rem13 = (1 - four) rem (3 - four)) and ( rem15 = (1 - four) rem (5 - four)) and ( rem16 = (1 - four) rem (6 - four)) and ( rem17 = (1 - four) rem (7 - four)) and ( rem18 = (1 - four) rem (8 - four)) and ( rem19 = (1 - four) rem (9 - four)) and ( rem41 = (4 - four) rem (1 - four)) and ( rem42 = (4 - four) rem (2 - four)) and ( rem43 = (4 - four) rem (3 - four)) and ( rem45 = (4 - four) rem (5 - four)) and ( rem46 = (4 - four) rem (6 - four)) and ( rem47 = (4 - four) rem (7 - four)) and ( rem48 = (4 - four) rem (8 - four)) and ( rem49 = (4 - four) rem (9 - four)) and ( rem61 = (6 - four) rem (1 - four)) and ( rem62 = (6 - four) rem (2 - four)) and ( rem63 = (6 - four) rem (3 - four)) and ( rem65 = (6 - four) rem (5 - four)) and ( rem66 = (6 - four) rem (6 - four)) and ( rem67 = (6 - four) rem (7 - four)) and ( rem68 = (6 - four) rem (8 - four)) and ( rem69 = (6 - four) rem (9 - four)) ) report "***PASSED TEST: c07s02b06x00p05n01i02260" severity NOTE; assert (( rem11 = (1 - four) rem (1 - four)) and ( rem12 = (1 - four) rem (2 - four)) and ( rem13 = (1 - four) rem (3 - four)) and ( rem15 = (1 - four) rem (5 - four)) and ( rem16 = (1 - four) rem (6 - four)) and ( rem17 = (1 - four) rem (7 - four)) and ( rem18 = (1 - four) rem (8 - four)) and ( rem19 = (1 - four) rem (9 - four)) and ( rem41 = (4 - four) rem (1 - four)) and ( rem42 = (4 - four) rem (2 - four)) and ( rem43 = (4 - four) rem (3 - four)) and ( rem45 = (4 - four) rem (5 - four)) and ( rem46 = (4 - four) rem (6 - four)) and ( rem47 = (4 - four) rem (7 - four)) and ( rem48 = (4 - four) rem (8 - four)) and ( rem49 = (4 - four) rem (9 - four)) and ( rem61 = (6 - four) rem (1 - four)) and ( rem62 = (6 - four) rem (2 - four)) and ( rem63 = (6 - four) rem (3 - four)) and ( rem65 = (6 - four) rem (5 - four)) and ( rem66 = (6 - four) rem (6 - four)) and ( rem67 = (6 - four) rem (7 - four)) and ( rem68 = (6 - four) rem (8 - four)) and ( rem69 = (6 - four) rem (9 - four)) ) report "***FAILED TEST: c07s02b06x00p05n01i02260 - Constant integer type rem test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p05n01i02260arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2260.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p05n01i02260ent IS END c07s02b06x00p05n01i02260ent; ARCHITECTURE c07s02b06x00p05n01i02260arch OF c07s02b06x00p05n01i02260ent IS BEGIN TESTING: PROCESS constant rem11 : integer := (1 - 4) rem (1 - 4); constant rem12 : integer := (1 - 4) rem (2 - 4); constant rem13 : integer := (1 - 4) rem (3 - 4); constant rem15 : integer := (1 - 4) rem (5 - 4); constant rem16 : integer := (1 - 4) rem (6 - 4); constant rem17 : integer := (1 - 4) rem (7 - 4); constant rem18 : integer := (1 - 4) rem (8 - 4); constant rem19 : integer := (1 - 4) rem (9 - 4); constant rem41 : integer := (4 - 4) rem (1 - 4); constant rem42 : integer := (4 - 4) rem (2 - 4); constant rem43 : integer := (4 - 4) rem (3 - 4); constant rem45 : integer := (4 - 4) rem (5 - 4); constant rem46 : integer := (4 - 4) rem (6 - 4); constant rem47 : integer := (4 - 4) rem (7 - 4); constant rem48 : integer := (4 - 4) rem (8 - 4); constant rem49 : integer := (4 - 4) rem (9 - 4); constant rem61 : integer := (6 - 4) rem (1 - 4); constant rem62 : integer := (6 - 4) rem (2 - 4); constant rem63 : integer := (6 - 4) rem (3 - 4); constant rem65 : integer := (6 - 4) rem (5 - 4); constant rem66 : integer := (6 - 4) rem (6 - 4); constant rem67 : integer := (6 - 4) rem (7 - 4); constant rem68 : integer := (6 - 4) rem (8 - 4); constant rem69 : integer := (6 - 4) rem (9 - 4); variable four : integer := 4; BEGIN assert rem11 = (1 - four) rem (1 - four); assert rem12 = (1 - four) rem (2 - four); assert rem13 = (1 - four) rem (3 - four); assert rem15 = (1 - four) rem (5 - four); assert rem16 = (1 - four) rem (6 - four); assert rem17 = (1 - four) rem (7 - four); assert rem18 = (1 - four) rem (8 - four); assert rem19 = (1 - four) rem (9 - four); assert rem41 = (4 - four) rem (1 - four); assert rem42 = (4 - four) rem (2 - four); assert rem43 = (4 - four) rem (3 - four); assert rem45 = (4 - four) rem (5 - four); assert rem46 = (4 - four) rem (6 - four); assert rem47 = (4 - four) rem (7 - four); assert rem48 = (4 - four) rem (8 - four); assert rem49 = (4 - four) rem (9 - four); assert rem61 = (6 - four) rem (1 - four); assert rem62 = (6 - four) rem (2 - four); assert rem63 = (6 - four) rem (3 - four); assert rem65 = (6 - four) rem (5 - four); assert rem66 = (6 - four) rem (6 - four); assert rem67 = (6 - four) rem (7 - four); assert rem68 = (6 - four) rem (8 - four); assert rem69 = (6 - four) rem (9 - four); assert NOT((rem11 = (1 - four) rem (1 - four)) and ( rem12 = (1 - four) rem (2 - four)) and ( rem13 = (1 - four) rem (3 - four)) and ( rem15 = (1 - four) rem (5 - four)) and ( rem16 = (1 - four) rem (6 - four)) and ( rem17 = (1 - four) rem (7 - four)) and ( rem18 = (1 - four) rem (8 - four)) and ( rem19 = (1 - four) rem (9 - four)) and ( rem41 = (4 - four) rem (1 - four)) and ( rem42 = (4 - four) rem (2 - four)) and ( rem43 = (4 - four) rem (3 - four)) and ( rem45 = (4 - four) rem (5 - four)) and ( rem46 = (4 - four) rem (6 - four)) and ( rem47 = (4 - four) rem (7 - four)) and ( rem48 = (4 - four) rem (8 - four)) and ( rem49 = (4 - four) rem (9 - four)) and ( rem61 = (6 - four) rem (1 - four)) and ( rem62 = (6 - four) rem (2 - four)) and ( rem63 = (6 - four) rem (3 - four)) and ( rem65 = (6 - four) rem (5 - four)) and ( rem66 = (6 - four) rem (6 - four)) and ( rem67 = (6 - four) rem (7 - four)) and ( rem68 = (6 - four) rem (8 - four)) and ( rem69 = (6 - four) rem (9 - four)) ) report "***PASSED TEST: c07s02b06x00p05n01i02260" severity NOTE; assert (( rem11 = (1 - four) rem (1 - four)) and ( rem12 = (1 - four) rem (2 - four)) and ( rem13 = (1 - four) rem (3 - four)) and ( rem15 = (1 - four) rem (5 - four)) and ( rem16 = (1 - four) rem (6 - four)) and ( rem17 = (1 - four) rem (7 - four)) and ( rem18 = (1 - four) rem (8 - four)) and ( rem19 = (1 - four) rem (9 - four)) and ( rem41 = (4 - four) rem (1 - four)) and ( rem42 = (4 - four) rem (2 - four)) and ( rem43 = (4 - four) rem (3 - four)) and ( rem45 = (4 - four) rem (5 - four)) and ( rem46 = (4 - four) rem (6 - four)) and ( rem47 = (4 - four) rem (7 - four)) and ( rem48 = (4 - four) rem (8 - four)) and ( rem49 = (4 - four) rem (9 - four)) and ( rem61 = (6 - four) rem (1 - four)) and ( rem62 = (6 - four) rem (2 - four)) and ( rem63 = (6 - four) rem (3 - four)) and ( rem65 = (6 - four) rem (5 - four)) and ( rem66 = (6 - four) rem (6 - four)) and ( rem67 = (6 - four) rem (7 - four)) and ( rem68 = (6 - four) rem (8 - four)) and ( rem69 = (6 - four) rem (9 - four)) ) report "***FAILED TEST: c07s02b06x00p05n01i02260 - Constant integer type rem test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p05n01i02260arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2260.vhd,v 1.2 2001-10-26 16:29:46 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b06x00p05n01i02260ent IS END c07s02b06x00p05n01i02260ent; ARCHITECTURE c07s02b06x00p05n01i02260arch OF c07s02b06x00p05n01i02260ent IS BEGIN TESTING: PROCESS constant rem11 : integer := (1 - 4) rem (1 - 4); constant rem12 : integer := (1 - 4) rem (2 - 4); constant rem13 : integer := (1 - 4) rem (3 - 4); constant rem15 : integer := (1 - 4) rem (5 - 4); constant rem16 : integer := (1 - 4) rem (6 - 4); constant rem17 : integer := (1 - 4) rem (7 - 4); constant rem18 : integer := (1 - 4) rem (8 - 4); constant rem19 : integer := (1 - 4) rem (9 - 4); constant rem41 : integer := (4 - 4) rem (1 - 4); constant rem42 : integer := (4 - 4) rem (2 - 4); constant rem43 : integer := (4 - 4) rem (3 - 4); constant rem45 : integer := (4 - 4) rem (5 - 4); constant rem46 : integer := (4 - 4) rem (6 - 4); constant rem47 : integer := (4 - 4) rem (7 - 4); constant rem48 : integer := (4 - 4) rem (8 - 4); constant rem49 : integer := (4 - 4) rem (9 - 4); constant rem61 : integer := (6 - 4) rem (1 - 4); constant rem62 : integer := (6 - 4) rem (2 - 4); constant rem63 : integer := (6 - 4) rem (3 - 4); constant rem65 : integer := (6 - 4) rem (5 - 4); constant rem66 : integer := (6 - 4) rem (6 - 4); constant rem67 : integer := (6 - 4) rem (7 - 4); constant rem68 : integer := (6 - 4) rem (8 - 4); constant rem69 : integer := (6 - 4) rem (9 - 4); variable four : integer := 4; BEGIN assert rem11 = (1 - four) rem (1 - four); assert rem12 = (1 - four) rem (2 - four); assert rem13 = (1 - four) rem (3 - four); assert rem15 = (1 - four) rem (5 - four); assert rem16 = (1 - four) rem (6 - four); assert rem17 = (1 - four) rem (7 - four); assert rem18 = (1 - four) rem (8 - four); assert rem19 = (1 - four) rem (9 - four); assert rem41 = (4 - four) rem (1 - four); assert rem42 = (4 - four) rem (2 - four); assert rem43 = (4 - four) rem (3 - four); assert rem45 = (4 - four) rem (5 - four); assert rem46 = (4 - four) rem (6 - four); assert rem47 = (4 - four) rem (7 - four); assert rem48 = (4 - four) rem (8 - four); assert rem49 = (4 - four) rem (9 - four); assert rem61 = (6 - four) rem (1 - four); assert rem62 = (6 - four) rem (2 - four); assert rem63 = (6 - four) rem (3 - four); assert rem65 = (6 - four) rem (5 - four); assert rem66 = (6 - four) rem (6 - four); assert rem67 = (6 - four) rem (7 - four); assert rem68 = (6 - four) rem (8 - four); assert rem69 = (6 - four) rem (9 - four); assert NOT((rem11 = (1 - four) rem (1 - four)) and ( rem12 = (1 - four) rem (2 - four)) and ( rem13 = (1 - four) rem (3 - four)) and ( rem15 = (1 - four) rem (5 - four)) and ( rem16 = (1 - four) rem (6 - four)) and ( rem17 = (1 - four) rem (7 - four)) and ( rem18 = (1 - four) rem (8 - four)) and ( rem19 = (1 - four) rem (9 - four)) and ( rem41 = (4 - four) rem (1 - four)) and ( rem42 = (4 - four) rem (2 - four)) and ( rem43 = (4 - four) rem (3 - four)) and ( rem45 = (4 - four) rem (5 - four)) and ( rem46 = (4 - four) rem (6 - four)) and ( rem47 = (4 - four) rem (7 - four)) and ( rem48 = (4 - four) rem (8 - four)) and ( rem49 = (4 - four) rem (9 - four)) and ( rem61 = (6 - four) rem (1 - four)) and ( rem62 = (6 - four) rem (2 - four)) and ( rem63 = (6 - four) rem (3 - four)) and ( rem65 = (6 - four) rem (5 - four)) and ( rem66 = (6 - four) rem (6 - four)) and ( rem67 = (6 - four) rem (7 - four)) and ( rem68 = (6 - four) rem (8 - four)) and ( rem69 = (6 - four) rem (9 - four)) ) report "***PASSED TEST: c07s02b06x00p05n01i02260" severity NOTE; assert (( rem11 = (1 - four) rem (1 - four)) and ( rem12 = (1 - four) rem (2 - four)) and ( rem13 = (1 - four) rem (3 - four)) and ( rem15 = (1 - four) rem (5 - four)) and ( rem16 = (1 - four) rem (6 - four)) and ( rem17 = (1 - four) rem (7 - four)) and ( rem18 = (1 - four) rem (8 - four)) and ( rem19 = (1 - four) rem (9 - four)) and ( rem41 = (4 - four) rem (1 - four)) and ( rem42 = (4 - four) rem (2 - four)) and ( rem43 = (4 - four) rem (3 - four)) and ( rem45 = (4 - four) rem (5 - four)) and ( rem46 = (4 - four) rem (6 - four)) and ( rem47 = (4 - four) rem (7 - four)) and ( rem48 = (4 - four) rem (8 - four)) and ( rem49 = (4 - four) rem (9 - four)) and ( rem61 = (6 - four) rem (1 - four)) and ( rem62 = (6 - four) rem (2 - four)) and ( rem63 = (6 - four) rem (3 - four)) and ( rem65 = (6 - four) rem (5 - four)) and ( rem66 = (6 - four) rem (6 - four)) and ( rem67 = (6 - four) rem (7 - four)) and ( rem68 = (6 - four) rem (8 - four)) and ( rem69 = (6 - four) rem (9 - four)) ) report "***FAILED TEST: c07s02b06x00p05n01i02260 - Constant integer type rem test failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b06x00p05n01i02260arch;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Module: uart_rx_tb -- -- Authors: Patrick Lehmann -- -- Description: -- ------------------------------------ -- Testbench for arith_counter_bcd -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use PoC.utils.all; use PoC.vectors.all; use PoC.strings.all; use PoC.physical.all; use PoC.simulation.all; use PoC.uart.all; entity uart_rx_tb is end entity; architecture tb of uart_rx_tb is constant CLOCK_FREQ : FREQ := 100 MHz; constant BAUDRATE : BAUD := 4.2 MBd; signal Clock : STD_LOGIC; signal Reset : STD_LOGIC; signal BitClock : STD_LOGIC; signal BitClock_x8 : STD_LOGIC; signal UART_RX : STD_LOGIC; signal RX_Strobe : STD_LOGIC; signal RX_Data : T_SLV_8; function simGenerateWaveform_UART_Word(Data : T_SLV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is constant BIT_TIME : TIME := to_time(to_freq(Baudrate)); variable Result : T_SIM_WAVEFORM_SL(0 to 9) := (others => (Delay => BIT_TIME, Value => '-')); begin Result(0).Value := '0'; for i in Data'range loop Result(i + 1).Value := Data(i); end loop; Result(9).Value := '1'; return Result; end function; function simGenerateWaveform_UART_Stream(Data : T_SLVV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is variable Result : T_SIM_WAVEFORM_SL(0 to (Data'length * 10) - 1); begin for i in Data'range loop Result(i * 10 to ((i + 1) * 10) - 1) := simGenerateWaveform_UART_Word(Data(i), BAUDRATE); end loop; return Result; end function; constant DATA_STREAM : T_SLVV_8 := (x"12", x"45", x"FE", x"C4", x"02"); begin simGenerateClock(Clock, CLOCK_FREQ); simGenerateWaveform(Reset, simGenerateWaveform_Reset(Pause => 50 ns)); p1f: if true generate simGenerateWaveform(UART_RX, simGenerateWaveform_UART_Stream(DATA_STREAM, BAUDRATE), '1'); end generate; p1t: if false generate process constant wf : T_SIM_WAVEFORM_SL := simGenerateWaveform_UART_Stream(DATA_STREAM, BAUDRATE); begin simGenerateWaveform(UART_RX, wf, '1'); end process; end generate; bclk : entity PoC.uart_bclk generic map ( CLOCK_FREQ => CLOCK_FREQ, BAUDRATE => BAUDRATE ) port map ( clk => Clock, rst => Reset, bclk => BitClock, bclk_x8 => BitClock_x8 ); RX : entity PoC.uart_rx generic map ( OUT_REGS => FALSE ) port map ( clk => Clock, rst => Reset, bclk_x8 => BitClock_x8, dos => RX_Strobe, dout => RX_Data, rxd => UART_RX ); process begin for i in DATA_STREAM'range loop wait until rising_edge(Clock) and (RX_Strobe = '1'); report TIME'image(NOW) severity NOTE; tbAssert((RX_Data = DATA_STREAM(i)), "Data Byte " & INTEGER'image(i) & " received: " & to_string(RX_Data, 'h') & " expected: " & to_string(DATA_STREAM(i), 'h')); end loop; wait for 1 us; simStop; tbPrintResult; wait; end process; end architecture;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Module: uart_rx_tb -- -- Authors: Patrick Lehmann -- -- Description: -- ------------------------------------ -- Testbench for arith_counter_bcd -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use PoC.utils.all; use PoC.vectors.all; use PoC.strings.all; use PoC.physical.all; use PoC.simulation.all; use PoC.uart.all; entity uart_rx_tb is end entity; architecture tb of uart_rx_tb is constant CLOCK_FREQ : FREQ := 100 MHz; constant BAUDRATE : BAUD := 4.2 MBd; signal Clock : STD_LOGIC; signal Reset : STD_LOGIC; signal BitClock : STD_LOGIC; signal BitClock_x8 : STD_LOGIC; signal UART_RX : STD_LOGIC; signal RX_Strobe : STD_LOGIC; signal RX_Data : T_SLV_8; function simGenerateWaveform_UART_Word(Data : T_SLV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is constant BIT_TIME : TIME := to_time(to_freq(Baudrate)); variable Result : T_SIM_WAVEFORM_SL(0 to 9) := (others => (Delay => BIT_TIME, Value => '-')); begin Result(0).Value := '0'; for i in Data'range loop Result(i + 1).Value := Data(i); end loop; Result(9).Value := '1'; return Result; end function; function simGenerateWaveform_UART_Stream(Data : T_SLVV_8; Baudrate : BAUD := 115.200 kBd) return T_SIM_WAVEFORM_SL is variable Result : T_SIM_WAVEFORM_SL(0 to (Data'length * 10) - 1); begin for i in Data'range loop Result(i * 10 to ((i + 1) * 10) - 1) := simGenerateWaveform_UART_Word(Data(i), BAUDRATE); end loop; return Result; end function; constant DATA_STREAM : T_SLVV_8 := (x"12", x"45", x"FE", x"C4", x"02"); begin simGenerateClock(Clock, CLOCK_FREQ); simGenerateWaveform(Reset, simGenerateWaveform_Reset(Pause => 50 ns)); p1f: if true generate simGenerateWaveform(UART_RX, simGenerateWaveform_UART_Stream(DATA_STREAM, BAUDRATE), '1'); end generate; p1t: if false generate process constant wf : T_SIM_WAVEFORM_SL := simGenerateWaveform_UART_Stream(DATA_STREAM, BAUDRATE); begin simGenerateWaveform(UART_RX, wf, '1'); end process; end generate; bclk : entity PoC.uart_bclk generic map ( CLOCK_FREQ => CLOCK_FREQ, BAUDRATE => BAUDRATE ) port map ( clk => Clock, rst => Reset, bclk => BitClock, bclk_x8 => BitClock_x8 ); RX : entity PoC.uart_rx generic map ( OUT_REGS => FALSE ) port map ( clk => Clock, rst => Reset, bclk_x8 => BitClock_x8, dos => RX_Strobe, dout => RX_Data, rxd => UART_RX ); process begin for i in DATA_STREAM'range loop wait until rising_edge(Clock) and (RX_Strobe = '1'); report TIME'image(NOW) severity NOTE; tbAssert((RX_Data = DATA_STREAM(i)), "Data Byte " & INTEGER'image(i) & " received: " & to_string(RX_Data, 'h') & " expected: " & to_string(DATA_STREAM(i), 'h')); end loop; wait for 1 us; simStop; tbPrintResult; wait; end process; end architecture;
--Top-Level Entity LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --使用自定义程序包 USE WORK.MYTYPE.ALL; ENTITY IMG_LSB IS PORT(CLK: IN STD_LOGIC; RESET: IN STD_LOGIC; R_IN: IN COLOR; G_IN: IN COLOR; B_IN: IN COLOR; SEL: IN STD_LOGIC_VECTOR(1 DOWNTO 0); A_COL: IN COLOR; A_ROW: IN COLOR; B_COL: IN COLOR; B_ROW: IN COLOR; C_COL: IN COLOR; C_ROW: IN COLOR; R_OUT: OUT COLOR; G_OUT: OUT COLOR; B_OUT: OUT COLOR; DETECT_RESULT: OUT STD_LOGIC_VECTOR(2 DOWNTO 0); XX: IN COLOR; YY: IN COLOR; ZZ: IN INTEGER RANGE 0 TO 2; STR: IN STRING(1 TO 20); HR_OUT: OUT COLOR; HG_OUT: OUT COLOR; HB_OUT: OUT COLOR; STR_LEN: IN INTEGER RANGE 0 TO 8192; CHAR_OUT: OUT CHARACTER); END ENTITY IMG_LSB; ARCHITECTURE ART OF IMG_LSB IS COMPONENT CHOOSE PORT(RESET: IN STD_LOGIC; CLK: IN STD_LOGIC; SEL: IN STD_LOGIC_VECTOR(1 DOWNTO 0); TO_TRANS: OUT STD_LOGIC; TO_INSERT: OUT STD_LOGIC; TO_DETECT: OUT STD_LOGIC; TO_HIDE: OUT STD_LOGIC; TO_UNHIDE: OUT STD_LOGIC); END COMPONENT; COMPONENT RGB2YUV PORT(RESET: IN STD_LOGIC; CLK: IN STD_LOGIC; ENABLE: IN STD_LOGIC; R_IN: IN COLOR; G_IN: IN COLOR; B_IN: IN COLOR; Y_OUT: OUT COLOR; U_OUT: OUT COLOR; V_OUT: OUT COLOR); END COMPONENT; COMPONENT LSB_INSERT PORT(ENABLE: IN STD_LOGIC; CLK: IN STD_LOGIC; RESET: IN STD_LOGIC; Y_IN: IN COLOR; U_IN: IN COLOR; V_IN: IN COLOR; A_COL: IN COLOR; A_ROW: IN COLOR; B_COL: IN COLOR; B_ROW: IN COLOR; C_COL: IN COLOR; C_ROW: IN COLOR; FIXED_Y_OUT: OUT COLOR; U_OUT: OUT COLOR; V_OUT: OUT COLOR); END COMPONENT; COMPONENT YUV2RGB PORT(CLK: IN STD_LOGIC; RESET: IN STD_LOGIC; FIXED_Y_IN: IN COLOR; U_IN: IN COLOR; V_IN: IN COLOR; R_OUT: OUT COLOR; G_OUT: OUT COLOR; B_OUT: OUT COLOR); END COMPONENT; COMPONENT LSB_DETECT PORT(ENABLE: IN STD_LOGIC; CLK: IN STD_LOGIC; RESET: IN STD_LOGIC; Y_IN: IN COLOR; RESULT: OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END COMPONENT; COMPONENT HIDE_STR PORT(CLK: IN STD_LOGIC; RESET: IN STD_LOGIC; ENABLE: IN STD_LOGIC; R_IN: IN COLOR; G_IN: IN COLOR; B_IN: IN COLOR; XX: IN COLOR; YY: IN COLOR; ZZ: IN INTEGER RANGE 0 TO 2; STR: IN STRING; HR_OUT: OUT COLOR; HG_OUT: OUT COLOR; HB_OUT: OUT COLOR); END COMPONENT; COMPONENT UNHIDE_STR PORT(CLK: IN STD_LOGIC; RESET: IN STD_LOGIC; ENABLE: IN STD_LOGIC; R_IN: IN COLOR; G_IN: IN COLOR; B_IN: IN COLOR; XX: IN COLOR; YY: IN COLOR; ZZ: IN INTEGER RANGE 0 TO 2; STR_LEN: IN INTEGER RANGE 0 TO 8192; CHAR_OUT: OUT CHARACTER); END COMPONENT; SIGNAL TOIN_MID1: STD_LOGIC; SIGNAL TODE_MID1: STD_LOGIC; SIGNAL TOTR_MID1: STD_LOGIC; SIGNAL TOHI_MID1: STD_LOGIC; SIGNAL TOUN_MID1: STD_LOGIC; SIGNAL Y_MID2: COLOR; SIGNAL U_MID2: COLOR; SIGNAL V_MID2: COLOR; SIGNAL FIX_Y_MID3: COLOR; SIGNAL U_MID3: COLOR; SIGNAL V_MID3: COLOR; BEGIN INST_CHOOSE: CHOOSE PORT MAP( CLK=>CLK, RESET=>RESET, SEL=>SEL, TO_INSERT=>TOIN_MID1, TO_DETECT=>TODE_MID1, TO_TRANS=>TOTR_MID1, TO_HIDE=>TOHI_MID1, TO_UNHIDE=>TOUN_MID1 ); INST_RGB2YUV: RGB2YUV PORT MAP( CLK=>CLK, RESET=>RESET, ENABLE=>TOTR_MID1, R_IN=>R_IN, B_IN=>B_IN, G_IN=>G_IN, Y_OUT=>Y_MID2, U_OUT=>U_MID2, V_OUT=>V_MID2 ); INST_LSB_INSERT: LSB_INSERT PORT MAP( CLK=>CLK, RESET=>RESET, ENABLE=>TOIN_MID1, Y_IN=>Y_MID2, U_IN=>U_MID2, V_IN=>V_MID2, A_COL=>A_COL, A_ROW=>A_ROW, B_COL=>B_COL, B_ROW=>B_ROW, C_COL=>C_COL, C_ROW=>C_ROW, FIXED_Y_OUT=>FIX_Y_MID3, U_OUT=>U_MID3, V_OUT=>V_MID3 ); INST_YUV2RGB: YUV2RGB PORT MAP( CLK=>CLK, RESET=>RESET, FIXED_Y_IN=>FIX_Y_MID3, U_IN=>U_MID3, V_IN=>V_MID3, R_OUT=>R_OUT, G_OUT=>G_OUT, B_OUT=>B_OUT ); INST_LSB_DETECT: LSB_DETECT PORT MAP( CLK=>CLK, RESET=>RESET, ENABLE=>TODE_MID1, Y_IN=>Y_MID2, RESULT=>DETECT_RESULT ); INST_HIDE_STR: HIDE_STR PORT MAP( CLK=>CLK, RESET=>RESET, ENABLE=>TOHI_MID1, R_IN=>R_IN, G_IN=>G_IN, B_IN=>B_IN, XX=>XX, YY=>YY, ZZ=>ZZ, STR=>STR, HR_OUT=>HR_OUT, HG_OUT=>HG_OUT, HB_OUT=>HB_OUT ); INST_UNHIDE_STR: UNHIDE_STR PORT MAP( CLK=>CLK, RESET=>RESET, ENABLE=>TOUN_MID1, R_IN=>R_IN, G_IN=>G_IN, B_IN=>B_IN, XX=>XX, YY=>YY, ZZ=>ZZ, STR_LEN=>STR_LEN, CHAR_OUT=>CHAR_OUT ); END ARCHITECTURE ART;
--***************************************************************************** -- -- Micron Semiconductor Products, Inc. -- -- Copyright 1997, Micron Semiconductor Products, Inc. -- All rights reserved. -- --***************************************************************************** -- pragma translate_off library ieee; use ieee.std_logic_1164.ALL; use std.textio.all; PACKAGE mti_pkg IS FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC; FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER; FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER; FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER; PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR); END mti_pkg; PACKAGE BODY mti_pkg IS -- Convert BIT to STD_LOGIC FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC IS BEGIN CASE s IS WHEN '0' => RETURN ('0'); WHEN '1' => RETURN ('1'); WHEN OTHERS => RETURN ('0'); END CASE; END; -- Convert STD_LOGIC to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN IF input = '1' THEN result := weight; ELSE result := 0; -- if unknowns, default to logic 0 END IF; RETURN result; END TO_INTEGER; -- Convert BIT_VECTOR to INTEGER FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Convert STD_LOGIC_VECTOR to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Conver INTEGER to BIT_VECTOR PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS VARIABLE work,offset,outputlen,j : INTEGER := 0; BEGIN --length of vector IF output'LENGTH > 32 THEN --' outputlen := 32; offset := output'LENGTH - 32; --' IF input >= 0 THEN FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '0'; --' END LOOP; ELSE FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '1'; --' END LOOP; END IF; ELSE outputlen := output'LENGTH; --' END IF; --positive value IF (input >= 0) THEN work := input; j := outputlen - 1; FOR i IN 1 to 32 LOOP IF j >= 0 then IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '0'; --' ELSE output(output'HIGH-j-offset) := '1'; --' END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '0'; --' END IF; --negative value ELSE work := (-input) - 1; j := outputlen - 1; FOR i IN 1 TO 32 LOOP IF j>= 0 THEN IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '1'; --' ELSE output(output'HIGH-j-offset) := '0'; --' END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '1'; --' END IF; END IF; END TO_BITVECTOR; END mti_pkg; ----------------------------------------------------------------------------------------- -- -- File Name: MT48LC16M16A2.VHD -- Version: 0.0g -- Date: June 29th, 2000 -- Model: Behavioral -- Simulator: Model Technology (PC version 5.3 PE) -- -- Dependencies: None -- -- Author: Son P. Huynh -- Email: [email protected] -- Phone: (208) 368-3825 -- Company: Micron Technology, Inc. -- Part Number: MT48LC16M16A2 (4Mb x 16 x 4 Banks) -- -- Description: Micron 256Mb SDRAM -- -- Limitation: - Doesn't check for 4096-cycle refresh --' -- -- Note: - Set simulator resolution to "ps" accuracy -- -- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY -- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY -- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR -- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. -- -- Copyright (c) 1998 Micron Semiconductor Products, Inc. -- All rights researved -- -- Rev Author Phone Date Changes -- ---- ---------------------------- ---------- ------------------------------------- -- 0.0g Son Huynh 208-368-3825 06/29/2000 Add Load/Dump memory array -- Micron Technology Inc. Modify tWR + tRAS timing check -- -- 0.0f Son Huynh 208-368-3825 07/08/1999 Fix tWR = 1 Clk + 7.5 ns (Auto) -- Micron Technology Inc. Fix tWR = 15 ns (Manual) -- Fix tRP (Autoprecharge to AutoRefresh) -- -- 0.0c Son P. Huynh 208-368-3825 04/08/1999 Fix tWR + tRP in Write with AP -- Micron Technology Inc. Fix tRC check in Load Mode Register -- -- 0.0b Son P. Huynh 208-368-3825 01/06/1998 Derive from 64Mb SDRAM model -- Micron Technology Inc. -- ----------------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; LIBRARY WORK; USE WORK.MTI_PKG.ALL; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; ENTITY mt48lc16m16a2 IS GENERIC ( -- Timing Parameters for -75 (PC133) and CAS Latency = 2 tAC : TIME := 6.0 ns; tHZ : TIME := 7.0 ns; tOH : TIME := 2.7 ns; tMRD : INTEGER := 2; -- 2 Clk Cycles tRAS : TIME := 44.0 ns; tRC : TIME := 66.0 ns; tRCD : TIME := 20.0 ns; tRP : TIME := 20.0 ns; tRRD : TIME := 15.0 ns; tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns) tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns) tAH : TIME := 0.8 ns; tAS : TIME := 1.5 ns; tCH : TIME := 2.5 ns; tCL : TIME := 2.5 ns; tCK : TIME := 10.0 ns; tDH : TIME := 0.8 ns; tDS : TIME := 1.5 ns; tCKH : TIME := 0.8 ns; tCKS : TIME := 1.5 ns; tCMH : TIME := 0.8 ns; tCMS : TIME := 1.5 ns; addr_bits : INTEGER := 13; data_bits : INTEGER := 16; col_bits : INTEGER := 9; index : INTEGER := 0; fname : string := "ram.srec" -- File to read from ); PORT ( Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); Ba : IN STD_LOGIC_VECTOR := "00"; Clk : IN STD_LOGIC := '0'; Cke : IN STD_LOGIC := '1'; Cs_n : IN STD_LOGIC := '1'; Ras_n : IN STD_LOGIC := '1'; Cas_n : IN STD_LOGIC := '1'; We_n : IN STD_LOGIC := '1'; Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00" ); END mt48lc16m16a2; ARCHITECTURE behave OF mt48lc16m16a2 IS TYPE State IS (ACT, A_REF, BST, LMR, NOP, PRECH, READ, READ_A, WRITE, WRITE_A, LOAD_FILE, DUMP_FILE); TYPE Array4xI IS ARRAY (3 DOWNTO 0) OF INTEGER; TYPE Array4xT IS ARRAY (3 DOWNTO 0) OF TIME; TYPE Array4xB IS ARRAY (3 DOWNTO 0) OF BIT; TYPE Array4x2BV IS ARRAY (3 DOWNTO 0) OF BIT_VECTOR (1 DOWNTO 0); TYPE Array4xCBV IS ARRAY (4 DOWNTO 0) OF BIT_VECTOR (Col_bits - 1 DOWNTO 0); TYPE Array_state IS ARRAY (4 DOWNTO 0) OF State; SIGNAL Operation : State := NOP; SIGNAL Mode_reg : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL Active_enable, Aref_enable, Burst_term : BIT := '0'; SIGNAL Mode_reg_enable, Prech_enable, Read_enable, Write_enable : BIT := '0'; SIGNAL Burst_length_1, Burst_length_2, Burst_length_4, Burst_length_8 : BIT := '0'; SIGNAL Cas_latency_2, Cas_latency_3 : BIT := '0'; SIGNAL Ras_in, Cas_in, We_in : BIT := '0'; SIGNAL Write_burst_mode : BIT := '0'; SIGNAL RAS_clk, Sys_clk, CkeZ : BIT := '0'; -- Checking internal wires SIGNAL Pre_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; SIGNAL Act_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; SIGNAL Dq_in_chk, Dq_out_chk : BIT := '0'; SIGNAL Bank_chk : BIT_VECTOR (1 DOWNTO 0) := "00"; SIGNAL Row_chk : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL Col_chk : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN -- CS# Decode WITH Cs_n SELECT Cas_in <= TO_BIT (Cas_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; WITH Cs_n SELECT Ras_in <= TO_BIT (Ras_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; WITH Cs_n SELECT We_in <= TO_BIT (We_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; -- Commands Decode Active_enable <= NOT(Ras_in) AND Cas_in AND We_in; Aref_enable <= NOT(Ras_in) AND NOT(Cas_in) AND We_in; Burst_term <= Ras_in AND Cas_in AND NOT(We_in); Mode_reg_enable <= NOT(Ras_in) AND NOT(Cas_in) AND NOT(We_in); Prech_enable <= NOT(Ras_in) AND Cas_in AND NOT(We_in); Read_enable <= Ras_in AND NOT(Cas_in) AND We_in; Write_enable <= Ras_in AND NOT(Cas_in) AND NOT(We_in); -- Burst Length Decode Burst_length_1 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND NOT(Mode_reg(0)); Burst_length_2 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND Mode_reg(0); Burst_length_4 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND NOT(Mode_reg(0)); Burst_length_8 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND Mode_reg(0); -- CAS Latency Decode Cas_latency_2 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND NOT(Mode_reg(4)); Cas_latency_3 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND Mode_reg(4); -- Write Burst Mode Write_burst_mode <= Mode_reg(9); -- RAS Clock for checking tWR and tRP PROCESS variable Clk0, Clk1 : integer := 0; begin RAS_clk <= '1'; wait for 0.5 ns; RAS_clk <= '0'; wait for 0.5 ns; if Clk0 > 100 or Clk1 > 100 then wait; else if Clk = '1' and Cke = '1' then Clk0 := 0; Clk1 := Clk1 + 1; elsif Clk = '0' and Cke = '1' then Clk0 := Clk0 + 1; Clk1 := 0; end if; end if; END PROCESS; -- System Clock int_clk : PROCESS (Clk) begin IF Clk'LAST_VALUE = '0' AND Clk = '1' THEN --' CkeZ <= TO_BIT(Cke, '1'); END IF; Sys_clk <= CkeZ AND TO_BIT(Clk, '0'); END PROCESS; state_register : PROCESS -- NOTE: The extra bits in RAM_TYPE is for checking memory access. A logic 1 means -- the location is in use. This will be checked when doing memory DUMP. TYPE ram_type IS ARRAY (2**col_bits - 1 DOWNTO 0) OF BIT_VECTOR (data_bits DOWNTO 0); TYPE ram_pntr IS ACCESS ram_type; TYPE ram_stor IS ARRAY (2**addr_bits - 1 DOWNTO 0) OF ram_pntr; VARIABLE Bank0 : ram_stor; VARIABLE Bank1 : ram_stor; VARIABLE Bank2 : ram_stor; VARIABLE Bank3 : ram_stor; VARIABLE Row_index, Col_index : INTEGER := 0; VARIABLE Dq_temp : BIT_VECTOR (data_bits DOWNTO 0) := (OTHERS => '0'); VARIABLE Col_addr : Array4xCBV; VARIABLE Bank_addr : Array4x2BV; VARIABLE Dqm_reg0, Dqm_reg1 : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE Bank, Previous_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Col_brst : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Row : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Col : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Burst_counter : INTEGER := 0; VARIABLE Command : Array_state; VARIABLE Bank_precharge : Array4x2BV; VARIABLE A10_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Auto_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Read_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Write_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_read : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_write : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE Count_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE Count_precharge : Array4xI := (0 & 0 & 0 & 0); VARIABLE Data_in_enable, Data_out_enable : BIT := '0'; VARIABLE Pc_b0, Pc_b1, Pc_b2, Pc_b3 : BIT := '0'; VARIABLE Act_b0, Act_b1, Act_b2, Act_b3 : BIT := '0'; -- Timing Check VARIABLE MRD_chk : INTEGER := 0; VARIABLE WR_counter : Array4xI := (0 & 0 & 0 & 0); VARIABLE WR_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE WR_chkp : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE RC_chk, RRD_chk : TIME := 0 ns; VARIABLE RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3 : TIME := 0 ns; VARIABLE RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3 : TIME := 0 ns; VARIABLE RP_chk0, RP_chk1, RP_chk2, RP_chk3 : TIME := 0 ns; -- Load and Dumb variables FILE file_load : TEXT open read_mode is fname; -- Data load FILE file_dump : TEXT open write_mode is "dumpdata.txt"; -- Data dump VARIABLE bank_load : bit_vector ( 1 DOWNTO 0); VARIABLE rows_load : BIT_VECTOR (12 DOWNTO 0); VARIABLE cols_load : BIT_VECTOR ( 8 DOWNTO 0); VARIABLE data_load : BIT_VECTOR (15 DOWNTO 0); VARIABLE i, j : INTEGER; VARIABLE good_load : BOOLEAN; VARIABLE l : LINE; variable load : std_logic := '1'; variable dump : std_logic := '0'; variable ch : character; variable rectype : bit_vector(3 downto 0); variable recaddr : bit_vector(31 downto 0); variable reclen : bit_vector(7 downto 0); variable recdata : bit_vector(0 to 16*8-1); -- Initialize empty rows PROCEDURE Init_mem (Bank : bit_vector (1 DOWNTO 0); Row_index : INTEGER) IS VARIABLE i, j : INTEGER := 0; BEGIN IF Bank = "00" THEN IF Bank0 (Row_index) = NULL THEN -- Check to see if row empty Bank0 (Row_index) := NEW ram_type; -- Open new row for access FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP -- Filled row with zeros FOR j IN (data_bits) DOWNTO 0 LOOP Bank0 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "01" THEN IF Bank1 (Row_index) = NULL THEN Bank1 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank1 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "10" THEN IF Bank2 (Row_index) = NULL THEN Bank2 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank2 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "11" THEN IF Bank3 (Row_index) = NULL THEN Bank3 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank3 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; END IF; END; -- Burst Counter PROCEDURE Burst_decode IS VARIABLE Col_int : INTEGER := 0; VARIABLE Col_vec, Col_temp : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN -- Advance Burst Counter Burst_counter := Burst_counter + 1; -- Burst Type IF Mode_reg (3) = '0' THEN Col_int := TO_INTEGER(Col); Col_int := Col_int + 1; TO_BITVECTOR (Col_int, Col_temp); ELSIF Mode_reg (3) = '1' THEN TO_BITVECTOR (Burst_counter, Col_vec); Col_temp (2) := Col_vec (2) XOR Col_brst (2); Col_temp (1) := Col_vec (1) XOR Col_brst (1); Col_temp (0) := Col_vec (0) XOR Col_brst (0); END IF; -- Burst Length IF Burst_length_2 = '1' THEN Col (0) := Col_temp (0); ELSIF Burst_length_4 = '1' THEN Col (1 DOWNTO 0) := Col_temp (1 DOWNTO 0); ELSIF Burst_length_8 = '1' THEN Col (2 DOWNTO 0) := Col_temp (2 DOWNTO 0); ELSE Col := Col_temp; END IF; -- Burst Read Single Write IF Write_burst_mode = '1' AND Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Data counter IF Burst_length_1 = '1' THEN IF Burst_counter >= 1 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_2 = '1' THEN IF Burst_counter >= 2 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_4 = '1' THEN IF Burst_counter >= 4 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_8 = '1' THEN IF Burst_counter >= 8 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; END IF; END; BEGIN WAIT ON Sys_clk, RAS_clk; IF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '0' THEN --' -- Internal Command Pipeline Command(0) := Command(1); Command(1) := Command(2); Command(2) := Command(3); Command(3) := NOP; Col_addr(0) := Col_addr(1); Col_addr(1) := Col_addr(2); Col_addr(2) := Col_addr(3); Col_addr(3) := (OTHERS => '0'); Bank_addr(0) := Bank_addr(1); Bank_addr(1) := Bank_addr(2); Bank_addr(2) := Bank_addr(3); Bank_addr(3) := "00"; Bank_precharge(0) := Bank_precharge(1); Bank_precharge(1) := Bank_precharge(2); Bank_precharge(2) := Bank_precharge(3); Bank_precharge(3) := "00"; A10_precharge(0) := A10_precharge(1); A10_precharge(1) := A10_precharge(2); A10_precharge(2) := A10_precharge(3); A10_precharge(3) := '0'; -- Operation Decode (Optional for showing current command on posedge clock / debug feature) IF Active_enable = '1' THEN Operation <= ACT; ELSIF Aref_enable = '1' THEN Operation <= A_REF; ELSIF Burst_term = '1' THEN Operation <= BST; ELSIF Mode_reg_enable = '1' THEN Operation <= LMR; ELSIF Prech_enable = '1' THEN Operation <= PRECH; ELSIF Read_enable = '1' THEN IF Addr(10) = '0' THEN Operation <= READ; ELSE Operation <= READ_A; END IF; ELSIF Write_enable = '1' THEN IF Addr(10) = '0' THEN Operation <= WRITE; ELSE Operation <= WRITE_A; END IF; ELSE Operation <= NOP; END IF; -- Dqm pipeline for Read Dqm_reg0 := Dqm_reg1; Dqm_reg1 := TO_BITVECTOR(Dqm); -- Read or Write with Auto Precharge Counter IF Auto_precharge (0) = '1' THEN Count_precharge (0) := Count_precharge (0) + 1; END IF; IF Auto_precharge (1) = '1' THEN Count_precharge (1) := Count_precharge (1) + 1; END IF; IF Auto_precharge (2) = '1' THEN Count_precharge (2) := Count_precharge (2) + 1; END IF; IF Auto_precharge (3) = '1' THEN Count_precharge (3) := Count_precharge (3) + 1; END IF; -- Auto Precharge Timer for tWR if (Burst_length_1 = '1' OR Write_burst_mode = '1') then if (Count_precharge(0) = 1) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 1) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 1) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 1) then Count_time(3) := NOW; end if; elsif (Burst_length_2 = '1') then if (Count_precharge(0) = 2) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 2) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 2) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 2) then Count_time(3) := NOW; end if; elsif (Burst_length_4 = '1') then if (Count_precharge(0) = 4) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 4) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 4) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 4) then Count_time(3) := NOW; end if; elsif (Burst_length_8 = '1') then if (Count_precharge(0) = 8) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 8) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 8) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 8) then Count_time(3) := NOW; end if; end if; -- tMRD Counter MRD_chk := MRD_chk + 1; -- tWR Counter WR_counter(0) := WR_counter(0) + 1; WR_counter(1) := WR_counter(1) + 1; WR_counter(2) := WR_counter(2) + 1; WR_counter(3) := WR_counter(3) + 1; -- Auto Refresh IF Aref_enable = '1' THEN -- Auto Refresh to Auto Refresh ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Auto Refresh" SEVERITY WARNING; -- Precharge to Auto Refresh ASSERT (NOW - RP_chk0 >= tRP OR NOW - RP_chk1 >= tRP OR NOW - RP_chk2 >= tRP OR NOW - RP_chk3 >= tRP) REPORT "tRP violation during Auto Refresh" SEVERITY WARNING; -- All banks must be idle before refresh IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN ASSERT (FALSE) REPORT "All banks must be Precharge before Auto Refresh" SEVERITY WARNING; END IF; -- Record current tRC time RC_chk := NOW; END IF; -- Load Mode Register IF Mode_reg_enable = '1' THEN Mode_reg <= TO_BITVECTOR (Addr); IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN ASSERT (FALSE) REPORT "All bank must be Precharge before Load Mode Register" SEVERITY WARNING; END IF; -- REF to LMR ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Load Mode Register" SEVERITY WARNING; -- LMR to LMR ASSERT (MRD_chk >= tMRD) REPORT "tMRD violation during Load Mode Register" SEVERITY WARNING; -- Record current tMRD time MRD_chk := 0; END IF; -- Active Block (latch Bank and Row Address) IF Active_enable = '1' THEN IF Ba = "00" AND Pc_b0 = '1' THEN Act_b0 := '1'; Pc_b0 := '0'; B0_row_addr := TO_BITVECTOR (Addr); RCD_chk0 := NOW; RAS_chk0 := NOW; -- Precharge to Active Bank 0 ASSERT (NOW - RP_chk0 >= tRP) REPORT "tRP violation during Activate Bank 0" SEVERITY WARNING; ELSIF Ba = "01" AND Pc_b1 = '1' THEN Act_b1 := '1'; Pc_b1 := '0'; B1_row_addr := TO_BITVECTOR (Addr); RCD_chk1 := NOW; RAS_chk1 := NOW; -- Precharge to Active Bank 1 ASSERT (NOW - RP_chk1 >= tRP) REPORT "tRP violation during Activate Bank 1" SEVERITY WARNING; ELSIF Ba = "10" AND Pc_b2 = '1' THEN Act_b2 := '1'; Pc_b2 := '0'; B2_row_addr := TO_BITVECTOR (Addr); RCD_chk2 := NOW; RAS_chk2 := NOW; -- Precharge to Active Bank 2 ASSERT (NOW - RP_chk2 >= tRP) REPORT "tRP violation during Activate Bank 2" SEVERITY WARNING; ELSIF Ba = "11" AND Pc_b3 = '1' THEN Act_b3 := '1'; Pc_b3 := '0'; B3_row_addr := TO_BITVECTOR (Addr); RCD_chk3 := NOW; RAS_chk3 := NOW; -- Precharge to Active Bank 3 ASSERT (NOW - RP_chk3 >= tRP) REPORT "tRP violation during Activate Bank 3" SEVERITY WARNING; ELSIF Ba = "00" AND Pc_b0 = '0' THEN ASSERT (FALSE) REPORT "Bank 0 is not Precharged" SEVERITY WARNING; ELSIF Ba = "01" AND Pc_b1 = '0' THEN ASSERT (FALSE) REPORT "Bank 1 is not Precharged" SEVERITY WARNING; ELSIF Ba = "10" AND Pc_b2 = '0' THEN ASSERT (FALSE) REPORT "Bank 2 is not Precharged" SEVERITY WARNING; ELSIF Ba = "11" AND Pc_b3 = '0' THEN ASSERT (FALSE) REPORT "Bank 3 is not Precharged" SEVERITY WARNING; END IF; -- Active Bank A to Active Bank B IF ((Previous_bank /= TO_BITVECTOR (Ba)) AND (NOW - RRD_chk < tRRD)) THEN ASSERT (FALSE) REPORT "tRRD violation during Activate" SEVERITY WARNING; END IF; -- LMR to ACT ASSERT (MRD_chk >= tMRD) REPORT "tMRD violation during Activate" SEVERITY WARNING; -- AutoRefresh to Activate ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Activate" SEVERITY WARNING; -- Record variable for checking violation RRD_chk := NOW; Previous_bank := TO_BITVECTOR (Ba); END IF; -- Precharge Block IF Prech_enable = '1' THEN IF Addr(10) = '1' THEN Pc_b0 := '1'; Pc_b1 := '1'; Pc_b2 := '1'; Pc_b3 := '1'; Act_b0 := '0'; Act_b1 := '0'; Act_b2 := '0'; Act_b3 := '0'; RP_chk0 := NOW; RP_chk1 := NOW; RP_chk2 := NOW; RP_chk3 := NOW; -- Activate to Precharge all banks ASSERT ((NOW - RAS_chk0 >= tRAS) OR (NOW - RAS_chk1 >= tRAS)) REPORT "tRAS violation during Precharge all banks" SEVERITY WARNING; -- tWR violation check for Write IF ((NOW - WR_chkp(0) < tWRp) OR (NOW - WR_chkp(1) < tWRp) OR (NOW - WR_chkp(2) < tWRp) OR (NOW - WR_chkp(3) < tWRp)) THEN ASSERT (FALSE) REPORT "tWR violation during Precharge ALL banks" SEVERITY WARNING; END IF; ELSIF Addr(10) = '0' THEN IF Ba = "00" THEN Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; -- Activate to Precharge bank 0 ASSERT (NOW - RAS_chk0 >= tRAS) REPORT "tRAS violation during Precharge bank 0" SEVERITY WARNING; ELSIF Ba = "01" THEN Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; -- Activate to Precharge bank 1 ASSERT (NOW - RAS_chk1 >= tRAS) REPORT "tRAS violation during Precharge bank 1" SEVERITY WARNING; ELSIF Ba = "10" THEN Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; -- Activate to Precharge bank 2 ASSERT (NOW - RAS_chk2 >= tRAS) REPORT "tRAS violation during Precharge bank 2" SEVERITY WARNING; ELSIF Ba = "11" THEN Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; -- Activate to Precharge bank 3 ASSERT (NOW - RAS_chk3 >= tRAS) REPORT "tRAS violation during Precharge bank 3" SEVERITY WARNING; END IF; -- tWR violation check for Write ASSERT (NOW - WR_chkp(TO_INTEGER(Ba)) >= tWRp) REPORT "tWR violation during Precharge" SEVERITY WARNING; END IF; -- Terminate a Write Immediately (if same bank or all banks) IF (Data_in_enable = '1' AND (Bank = TO_BITVECTOR(Ba) OR Addr(10) = '1')) THEN Data_in_enable := '0'; END IF; -- Precharge Command Pipeline for READ IF CAS_latency_3 = '1' THEN Command(2) := PRECH; Bank_precharge(2) := TO_BITVECTOR (Ba); A10_precharge(2) := TO_BIT(Addr(10)); ELSIF CAS_latency_2 = '1' THEN Command(1) := PRECH; Bank_precharge(1) := TO_BITVECTOR (Ba); A10_precharge(1) := TO_BIT(Addr(10)); END IF; END IF; -- Burst Terminate IF Burst_term = '1' THEN -- Terminate a Write immediately IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Terminate a Read depend on CAS Latency IF CAS_latency_3 = '1' THEN Command(2) := BST; ELSIF CAS_latency_2 = '1' THEN Command(1) := BST; END IF; END IF; -- Read, Write, Column Latch IF Read_enable = '1' OR Write_enable = '1' THEN -- Check to see if bank is open (ACT) for Read or Write IF ((Ba="00" AND Pc_b0='1') OR (Ba="01" AND Pc_b1='1') OR (Ba="10" AND Pc_b2='1') OR (Ba="11" AND Pc_b3='1')) THEN ASSERT (FALSE) REPORT "Cannot Read or Write - Bank is not Activated" SEVERITY WARNING; END IF; -- Activate to Read or Write IF Ba = "00" THEN ASSERT (NOW - RCD_chk0 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 0" SEVERITY WARNING; ELSIF Ba = "01" THEN ASSERT (NOW - RCD_chk1 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 1" SEVERITY WARNING; ELSIF Ba = "10" THEN ASSERT (NOW - RCD_chk2 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 2" SEVERITY WARNING; ELSIF Ba = "11" THEN ASSERT (NOW - RCD_chk3 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 3" SEVERITY WARNING; END IF; -- Read Command IF Read_enable = '1' THEN -- CAS Latency Pipeline IF Cas_latency_3 = '1' THEN IF Addr(10) = '1' THEN Command(2) := READ_A; ELSE Command(2) := READ; END IF; Col_addr (2) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (2) := TO_BITVECTOR (Ba); ELSIF Cas_latency_2 = '1' THEN IF Addr(10) = '1' THEN Command(1) := READ_A; ELSE Command(1) := READ; END IF; Col_addr (1) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (1) := TO_BITVECTOR (Ba); END IF; -- Read intterupt a Write (terminate Write immediately) IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Write Command ELSIF Write_enable = '1' THEN IF Addr(10) = '1' THEN Command(0) := WRITE_A; ELSE Command(0) := WRITE; END IF; Col_addr (0) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (0) := TO_BITVECTOR (Ba); -- Write intterupt a Write (terminate Write immediately) IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Write interrupt a Read (terminate Read immediately) IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; -- Interrupt a Write with Auto Precharge IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Write_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN RW_interrupt_write(TO_INTEGER(RW_Interrupt_Bank)) := '1'; END IF; -- Interrupt a Read with Auto Precharge IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Read_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN RW_interrupt_read(TO_INTEGER(RW_Interrupt_Bank)) := '1'; END IF; -- Read or Write with Auto Precharge IF Addr(10) = '1' THEN Auto_precharge (TO_INTEGER(Ba)) := '1'; Count_precharge (TO_INTEGER(Ba)) := 0; RW_Interrupt_Bank := TO_BitVector(Ba); IF Read_enable = '1' THEN Read_precharge (TO_INTEGER(Ba)) := '1'; ELSIF Write_enable = '1' THEN Write_precharge (TO_INTEGER(Ba)) := '1'; END IF; END IF; END IF; -- Read with AutoPrecharge Calculation -- The device start internal precharge when: -- 1. BL/2 cycles after command -- and 2. Meet tRAS requirement -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) IF ((Auto_precharge(0) = '1') AND (Read_precharge(0) = '1')) THEN IF (((NOW - RAS_chk0 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(0) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(0) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(0) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(0) >= 8))) OR (RW_interrupt_read(0) = '1')) THEN Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; Auto_precharge(0) := '0'; Read_precharge(0) := '0'; RW_interrupt_read(0) := '0'; END IF; END IF; IF ((Auto_precharge(1) = '1') AND (Read_precharge(1) = '1')) THEN IF (((NOW - RAS_chk1 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(1) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(1) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(1) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(1) >= 8))) OR (RW_interrupt_read(1) = '1')) THEN Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; Auto_precharge(1) := '0'; Read_precharge(1) := '0'; RW_interrupt_read(1) := '0'; END IF; END IF; IF ((Auto_precharge(2) = '1') AND (Read_precharge(2) = '1')) THEN IF (((NOW - RAS_chk2 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(2) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(2) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(2) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(2) >= 8))) OR (RW_interrupt_read(2) = '1')) THEN Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; Auto_precharge(2) := '0'; Read_precharge(2) := '0'; RW_interrupt_read(2) := '0'; END IF; END IF; IF ((Auto_precharge(3) = '1') AND (Read_precharge(3) = '1')) THEN IF (((NOW - RAS_chk3 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(3) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(3) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(3) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(3) >= 8))) OR (RW_interrupt_read(3) = '1')) THEN Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; Auto_precharge(3) := '0'; Read_precharge(3) := '0'; RW_interrupt_read(3) := '0'; END IF; END IF; -- Internal Precharge or Bst IF Command(0) = PRECH THEN -- PRECH terminate a read if same bank or all banks IF Bank_precharge(0) = Bank OR A10_precharge(0) = '1' THEN IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Command(0) = BST THEN -- BST terminate a read regardless of bank IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; IF Data_out_enable = '0' THEN Dq <= TRANSPORT (OTHERS => 'Z') AFTER tOH; END IF; -- Detect Read or Write Command IF Command(0) = READ OR Command(0) = READ_A THEN Bank := Bank_addr (0); Col := Col_addr (0); Col_brst := Col_addr (0); IF Bank_addr (0) = "00" THEN Row := B0_row_addr; ELSIF Bank_addr (0) = "01" THEN Row := B1_row_addr; ELSIF Bank_addr (0) = "10" THEN Row := B2_row_addr; ELSE Row := B3_row_addr; END IF; Burst_counter := 0; Data_in_enable := '0'; Data_out_enable := '1'; ELSIF Command(0) = WRITE OR Command(0) = WRITE_A THEN Bank := Bank_addr(0); Col := Col_addr(0); Col_brst := Col_addr(0); IF Bank_addr (0) = "00" THEN Row := B0_row_addr; ELSIF Bank_addr (0) = "01" THEN Row := B1_row_addr; ELSIF Bank_addr (0) = "10" THEN Row := B2_row_addr; ELSE Row := B3_row_addr; END IF; Burst_counter := 0; Data_in_enable := '1'; Data_out_enable := '0'; END IF; -- DQ (Driver / Receiver) Row_index := TO_INTEGER (Row); Col_index := TO_INTEGER (Col); IF Data_in_enable = '1' THEN IF Dqm /= "11" THEN Init_mem (Bank, Row_index); IF Bank = "00" THEN Dq_temp := Bank0 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank0 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "01" THEN Dq_temp := Bank1 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank1 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "10" THEN Dq_temp := Bank2 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank2 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "11" THEN Dq_temp := Bank3 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank3 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); END IF; WR_chkp(TO_INTEGER(Bank)) := NOW; WR_counter(TO_INTEGER(Bank)) := 0; END IF; Burst_decode; ELSIF Data_out_enable = '1' THEN IF Dqm_reg0 /= "11" THEN Init_mem (Bank, Row_index); IF Bank = "00" THEN Dq_temp := Bank0 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "01" THEN Dq_temp := Bank1 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "10" THEN Dq_temp := Bank2 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "11" THEN Dq_temp := Bank3 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; END IF; ELSE Dq <= TRANSPORT (OTHERS => 'Z') AFTER tHZ; END IF; Burst_decode; END IF; ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '1' AND Dump = '0' THEN --' Operation <= LOAD_FILE; load := '0'; -- ASSERT (FALSE) REPORT "Reading memory array from file. This operation may take several minutes. Please wait..." -- SEVERITY NOTE; WHILE NOT endfile(file_load) LOOP readline(file_load, l); read(l, ch); if (ch /= 'S') or (ch /= 's') then hread(l, rectype); hread(l, reclen); recaddr := (others => '0'); case rectype is when "0001" => hread(l, recaddr(15 downto 0)); when "0010" => hread(l, recaddr(23 downto 0)); when "0011" => hread(l, recaddr); recaddr(31 downto 24) := (others => '0'); when others => next; end case; hread(l, recdata); if index < 32 then Bank_Load := recaddr(25 downto 24); Rows_Load := recaddr(23 downto 11); Cols_Load := recaddr(10 downto 2); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 3 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 3 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 3 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 3 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; END IF; elsif(index < 1024) then Bank_Load := recaddr(26 downto 25); Rows_Load := recaddr(24 downto 12); Cols_Load := recaddr(11 downto 3); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 1 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 1 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 1 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 1 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; END IF; else Bank_Load := recaddr(22 downto 21); Rows_Load := '0' & recaddr(20 downto 9); Cols_Load := '0' & recaddr(8 downto 1); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 7 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 7 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 7 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 7 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; END IF; END IF; END IF; END LOOP; ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '1' THEN --' Operation <= DUMP_FILE; ASSERT (FALSE) REPORT "Writing memory array to file. This operation may take several minutes. Please wait..." SEVERITY NOTE; WRITE (l, string'("# Micron Technology, Inc. (FILE DUMP / MEMORY DUMP)")); --' WRITELINE (file_dump, l); WRITE (l, string'("# BA ROWS COLS DQ")); --' WRITELINE (file_dump, l); WRITE (l, string'("# -- ------------- --------- ----------------")); --' WRITELINE (file_dump, l); -- Dumping Bank 0 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank0 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank0 (i) (j) (data_bits) = '0'; WRITE (l, string'("00"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank0 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 1 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank1 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank1 (i) (j) (data_bits) = '0'; WRITE (l, string'("01"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank1 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 2 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank2 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank2 (i) (j) (data_bits) = '0'; WRITE (l, string'("10"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank2 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 3 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank3 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank3 (i) (j) (data_bits) = '0'; WRITE (l, string'("11"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank3 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; END IF; -- Write with AutoPrecharge Calculation -- The device start internal precharge when: -- 1. tWR cycles after command -- and 2. Meet tRAS requirement -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) IF ((Auto_precharge(0) = '1') AND (Write_precharge(0) = '1')) THEN IF (((NOW - RAS_chk0 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(0) >= 1 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(0) >= 2 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(0) >= 4 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(0) >= 8 AND NOW - Count_time(0) >= tWRa))) OR (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(0) >= tWRa)) THEN Auto_precharge(0) := '0'; Write_precharge(0) := '0'; RW_interrupt_write(0) := '0'; Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; ASSERT FALSE REPORT "Start Internal Precharge Bank 0" SEVERITY NOTE; END IF; END IF; IF ((Auto_precharge(1) = '1') AND (Write_precharge(1) = '1')) THEN IF (((NOW - RAS_chk1 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(1) >= 1 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(1) >= 2 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(1) >= 4 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(1) >= 8 AND NOW - Count_time(1) >= tWRa))) OR (RW_interrupt_write(1) = '1' AND WR_counter(1) >= 1 AND NOW - WR_time(1) >= tWRa)) THEN Auto_precharge(1) := '0'; Write_precharge(1) := '0'; RW_interrupt_write(1) := '0'; Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; END IF; END IF; IF ((Auto_precharge(2) = '1') AND (Write_precharge(2) = '1')) THEN IF (((NOW - RAS_chk2 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(2) >= 1 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(2) >= 2 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(2) >= 4 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(2) >= 8 AND NOW - Count_time(2) >= tWRa))) OR (RW_interrupt_write(2) = '1' AND WR_counter(2) >= 1 AND NOW - WR_time(2) >= tWRa)) THEN Auto_precharge(2) := '0'; Write_precharge(2) := '0'; RW_interrupt_write(2) := '0'; Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; END IF; END IF; IF ((Auto_precharge(3) = '1') AND (Write_precharge(3) = '1')) THEN IF (((NOW - RAS_chk3 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(3) >= 1 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(3) >= 2 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(3) >= 4 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(3) >= 8 AND NOW - Count_time(3) >= tWRa))) OR (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(3) >= tWRa)) THEN Auto_precharge(3) := '0'; Write_precharge(3) := '0'; RW_interrupt_write(3) := '0'; Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; END IF; END IF; -- Checking internal wires (Optional for debug purpose) Pre_chk (0) <= Pc_b0; Pre_chk (1) <= Pc_b1; Pre_chk (2) <= Pc_b2; Pre_chk (3) <= Pc_b3; Act_chk (0) <= Act_b0; Act_chk (1) <= Act_b1; Act_chk (2) <= Act_b2; Act_chk (3) <= Act_b3; Dq_in_chk <= Data_in_enable; Dq_out_chk <= Data_out_enable; Bank_chk <= Bank; Row_chk <= Row; Col_chk <= Col; END PROCESS; -- Clock timing checks -- Clock_check : PROCESS -- VARIABLE Clk_low, Clk_high : TIME := 0 ns; -- BEGIN -- WAIT ON Clk; -- IF (Clk = '1' AND NOW >= 10 ns) THEN -- ASSERT (NOW - Clk_low >= tCL) -- REPORT "tCL violation" -- SEVERITY WARNING; -- ASSERT (NOW - Clk_high >= tCK) -- REPORT "tCK violation" -- SEVERITY WARNING; -- Clk_high := NOW; -- ELSIF (Clk = '0' AND NOW /= 0 ns) THEN -- ASSERT (NOW - Clk_high >= tCH) -- REPORT "tCH violation" -- SEVERITY WARNING; -- Clk_low := NOW; -- END IF; -- END PROCESS; -- Setup timing checks Setup_check : PROCESS BEGIN wait; WAIT ON Clk; IF Clk = '1' THEN ASSERT(Cke'LAST_EVENT >= tCKS) --' REPORT "CKE Setup time violation -- tCKS" SEVERITY WARNING; ASSERT(Cs_n'LAST_EVENT >= tCMS) --' REPORT "CS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Cas_n'LAST_EVENT >= tCMS) --' REPORT "CAS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Ras_n'LAST_EVENT >= tCMS) --' REPORT "RAS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(We_n'LAST_EVENT >= tCMS) --' REPORT "WE# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Dqm'LAST_EVENT >= tCMS) --' REPORT "Dqm Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Addr'LAST_EVENT >= tAS) --' REPORT "ADDR Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Ba'LAST_EVENT >= tAS) --' REPORT "BA Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Dq'LAST_EVENT >= tDS) --' REPORT "Dq Setup time violation -- tDS" SEVERITY WARNING; END IF; END PROCESS; -- Hold timing checks Hold_check : PROCESS BEGIN wait; WAIT ON Clk'DELAYED (tCKH), Clk'DELAYED (tCMH), Clk'DELAYED (tAH), Clk'DELAYED (tDH); IF Clk'DELAYED (tCKH) = '1' THEN --' ASSERT(Cke'LAST_EVENT > tCKH) --' REPORT "CKE Hold time violation -- tCKH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tCMH) = '1' THEN --' ASSERT(Cs_n'LAST_EVENT > tCMH) --' REPORT "CS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Cas_n'LAST_EVENT > tCMH) --' REPORT "CAS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Ras_n'LAST_EVENT > tCMH) --' REPORT "RAS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(We_n'LAST_EVENT > tCMH) --' REPORT "WE# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Dqm'LAST_EVENT > tCMH) --' REPORT "Dqm Hold time violation -- tCMH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tAH) = '1' THEN --' ASSERT(Addr'LAST_EVENT > tAH) --' REPORT "ADDR Hold time violation -- tAH" SEVERITY WARNING; ASSERT(Ba'LAST_EVENT > tAH) --' REPORT "BA Hold time violation -- tAH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tDH) = '1' THEN --' ASSERT(Dq'LAST_EVENT > tDH) --' REPORT "Dq Hold time violation -- tDH" SEVERITY WARNING; END IF; END PROCESS; END behave; -- pragma translate_on
--***************************************************************************** -- -- Micron Semiconductor Products, Inc. -- -- Copyright 1997, Micron Semiconductor Products, Inc. -- All rights reserved. -- --***************************************************************************** -- pragma translate_off library ieee; use ieee.std_logic_1164.ALL; use std.textio.all; PACKAGE mti_pkg IS FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC; FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER; FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER; FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER; PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR); END mti_pkg; PACKAGE BODY mti_pkg IS -- Convert BIT to STD_LOGIC FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC IS BEGIN CASE s IS WHEN '0' => RETURN ('0'); WHEN '1' => RETURN ('1'); WHEN OTHERS => RETURN ('0'); END CASE; END; -- Convert STD_LOGIC to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN IF input = '1' THEN result := weight; ELSE result := 0; -- if unknowns, default to logic 0 END IF; RETURN result; END TO_INTEGER; -- Convert BIT_VECTOR to INTEGER FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Convert STD_LOGIC_VECTOR to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Conver INTEGER to BIT_VECTOR PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS VARIABLE work,offset,outputlen,j : INTEGER := 0; BEGIN --length of vector IF output'LENGTH > 32 THEN --' outputlen := 32; offset := output'LENGTH - 32; --' IF input >= 0 THEN FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '0'; --' END LOOP; ELSE FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '1'; --' END LOOP; END IF; ELSE outputlen := output'LENGTH; --' END IF; --positive value IF (input >= 0) THEN work := input; j := outputlen - 1; FOR i IN 1 to 32 LOOP IF j >= 0 then IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '0'; --' ELSE output(output'HIGH-j-offset) := '1'; --' END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '0'; --' END IF; --negative value ELSE work := (-input) - 1; j := outputlen - 1; FOR i IN 1 TO 32 LOOP IF j>= 0 THEN IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '1'; --' ELSE output(output'HIGH-j-offset) := '0'; --' END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '1'; --' END IF; END IF; END TO_BITVECTOR; END mti_pkg; ----------------------------------------------------------------------------------------- -- -- File Name: MT48LC16M16A2.VHD -- Version: 0.0g -- Date: June 29th, 2000 -- Model: Behavioral -- Simulator: Model Technology (PC version 5.3 PE) -- -- Dependencies: None -- -- Author: Son P. Huynh -- Email: [email protected] -- Phone: (208) 368-3825 -- Company: Micron Technology, Inc. -- Part Number: MT48LC16M16A2 (4Mb x 16 x 4 Banks) -- -- Description: Micron 256Mb SDRAM -- -- Limitation: - Doesn't check for 4096-cycle refresh --' -- -- Note: - Set simulator resolution to "ps" accuracy -- -- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY -- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY -- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR -- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. -- -- Copyright (c) 1998 Micron Semiconductor Products, Inc. -- All rights researved -- -- Rev Author Phone Date Changes -- ---- ---------------------------- ---------- ------------------------------------- -- 0.0g Son Huynh 208-368-3825 06/29/2000 Add Load/Dump memory array -- Micron Technology Inc. Modify tWR + tRAS timing check -- -- 0.0f Son Huynh 208-368-3825 07/08/1999 Fix tWR = 1 Clk + 7.5 ns (Auto) -- Micron Technology Inc. Fix tWR = 15 ns (Manual) -- Fix tRP (Autoprecharge to AutoRefresh) -- -- 0.0c Son P. Huynh 208-368-3825 04/08/1999 Fix tWR + tRP in Write with AP -- Micron Technology Inc. Fix tRC check in Load Mode Register -- -- 0.0b Son P. Huynh 208-368-3825 01/06/1998 Derive from 64Mb SDRAM model -- Micron Technology Inc. -- ----------------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; LIBRARY WORK; USE WORK.MTI_PKG.ALL; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; ENTITY mt48lc16m16a2 IS GENERIC ( -- Timing Parameters for -75 (PC133) and CAS Latency = 2 tAC : TIME := 6.0 ns; tHZ : TIME := 7.0 ns; tOH : TIME := 2.7 ns; tMRD : INTEGER := 2; -- 2 Clk Cycles tRAS : TIME := 44.0 ns; tRC : TIME := 66.0 ns; tRCD : TIME := 20.0 ns; tRP : TIME := 20.0 ns; tRRD : TIME := 15.0 ns; tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns) tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns) tAH : TIME := 0.8 ns; tAS : TIME := 1.5 ns; tCH : TIME := 2.5 ns; tCL : TIME := 2.5 ns; tCK : TIME := 10.0 ns; tDH : TIME := 0.8 ns; tDS : TIME := 1.5 ns; tCKH : TIME := 0.8 ns; tCKS : TIME := 1.5 ns; tCMH : TIME := 0.8 ns; tCMS : TIME := 1.5 ns; addr_bits : INTEGER := 13; data_bits : INTEGER := 16; col_bits : INTEGER := 9; index : INTEGER := 0; fname : string := "ram.srec" -- File to read from ); PORT ( Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); Ba : IN STD_LOGIC_VECTOR := "00"; Clk : IN STD_LOGIC := '0'; Cke : IN STD_LOGIC := '1'; Cs_n : IN STD_LOGIC := '1'; Ras_n : IN STD_LOGIC := '1'; Cas_n : IN STD_LOGIC := '1'; We_n : IN STD_LOGIC := '1'; Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00" ); END mt48lc16m16a2; ARCHITECTURE behave OF mt48lc16m16a2 IS TYPE State IS (ACT, A_REF, BST, LMR, NOP, PRECH, READ, READ_A, WRITE, WRITE_A, LOAD_FILE, DUMP_FILE); TYPE Array4xI IS ARRAY (3 DOWNTO 0) OF INTEGER; TYPE Array4xT IS ARRAY (3 DOWNTO 0) OF TIME; TYPE Array4xB IS ARRAY (3 DOWNTO 0) OF BIT; TYPE Array4x2BV IS ARRAY (3 DOWNTO 0) OF BIT_VECTOR (1 DOWNTO 0); TYPE Array4xCBV IS ARRAY (4 DOWNTO 0) OF BIT_VECTOR (Col_bits - 1 DOWNTO 0); TYPE Array_state IS ARRAY (4 DOWNTO 0) OF State; SIGNAL Operation : State := NOP; SIGNAL Mode_reg : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL Active_enable, Aref_enable, Burst_term : BIT := '0'; SIGNAL Mode_reg_enable, Prech_enable, Read_enable, Write_enable : BIT := '0'; SIGNAL Burst_length_1, Burst_length_2, Burst_length_4, Burst_length_8 : BIT := '0'; SIGNAL Cas_latency_2, Cas_latency_3 : BIT := '0'; SIGNAL Ras_in, Cas_in, We_in : BIT := '0'; SIGNAL Write_burst_mode : BIT := '0'; SIGNAL RAS_clk, Sys_clk, CkeZ : BIT := '0'; -- Checking internal wires SIGNAL Pre_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; SIGNAL Act_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; SIGNAL Dq_in_chk, Dq_out_chk : BIT := '0'; SIGNAL Bank_chk : BIT_VECTOR (1 DOWNTO 0) := "00"; SIGNAL Row_chk : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL Col_chk : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN -- CS# Decode WITH Cs_n SELECT Cas_in <= TO_BIT (Cas_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; WITH Cs_n SELECT Ras_in <= TO_BIT (Ras_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; WITH Cs_n SELECT We_in <= TO_BIT (We_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; -- Commands Decode Active_enable <= NOT(Ras_in) AND Cas_in AND We_in; Aref_enable <= NOT(Ras_in) AND NOT(Cas_in) AND We_in; Burst_term <= Ras_in AND Cas_in AND NOT(We_in); Mode_reg_enable <= NOT(Ras_in) AND NOT(Cas_in) AND NOT(We_in); Prech_enable <= NOT(Ras_in) AND Cas_in AND NOT(We_in); Read_enable <= Ras_in AND NOT(Cas_in) AND We_in; Write_enable <= Ras_in AND NOT(Cas_in) AND NOT(We_in); -- Burst Length Decode Burst_length_1 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND NOT(Mode_reg(0)); Burst_length_2 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND Mode_reg(0); Burst_length_4 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND NOT(Mode_reg(0)); Burst_length_8 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND Mode_reg(0); -- CAS Latency Decode Cas_latency_2 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND NOT(Mode_reg(4)); Cas_latency_3 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND Mode_reg(4); -- Write Burst Mode Write_burst_mode <= Mode_reg(9); -- RAS Clock for checking tWR and tRP PROCESS variable Clk0, Clk1 : integer := 0; begin RAS_clk <= '1'; wait for 0.5 ns; RAS_clk <= '0'; wait for 0.5 ns; if Clk0 > 100 or Clk1 > 100 then wait; else if Clk = '1' and Cke = '1' then Clk0 := 0; Clk1 := Clk1 + 1; elsif Clk = '0' and Cke = '1' then Clk0 := Clk0 + 1; Clk1 := 0; end if; end if; END PROCESS; -- System Clock int_clk : PROCESS (Clk) begin IF Clk'LAST_VALUE = '0' AND Clk = '1' THEN --' CkeZ <= TO_BIT(Cke, '1'); END IF; Sys_clk <= CkeZ AND TO_BIT(Clk, '0'); END PROCESS; state_register : PROCESS -- NOTE: The extra bits in RAM_TYPE is for checking memory access. A logic 1 means -- the location is in use. This will be checked when doing memory DUMP. TYPE ram_type IS ARRAY (2**col_bits - 1 DOWNTO 0) OF BIT_VECTOR (data_bits DOWNTO 0); TYPE ram_pntr IS ACCESS ram_type; TYPE ram_stor IS ARRAY (2**addr_bits - 1 DOWNTO 0) OF ram_pntr; VARIABLE Bank0 : ram_stor; VARIABLE Bank1 : ram_stor; VARIABLE Bank2 : ram_stor; VARIABLE Bank3 : ram_stor; VARIABLE Row_index, Col_index : INTEGER := 0; VARIABLE Dq_temp : BIT_VECTOR (data_bits DOWNTO 0) := (OTHERS => '0'); VARIABLE Col_addr : Array4xCBV; VARIABLE Bank_addr : Array4x2BV; VARIABLE Dqm_reg0, Dqm_reg1 : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE Bank, Previous_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Col_brst : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Row : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Col : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Burst_counter : INTEGER := 0; VARIABLE Command : Array_state; VARIABLE Bank_precharge : Array4x2BV; VARIABLE A10_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Auto_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Read_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Write_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_read : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_write : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE Count_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE Count_precharge : Array4xI := (0 & 0 & 0 & 0); VARIABLE Data_in_enable, Data_out_enable : BIT := '0'; VARIABLE Pc_b0, Pc_b1, Pc_b2, Pc_b3 : BIT := '0'; VARIABLE Act_b0, Act_b1, Act_b2, Act_b3 : BIT := '0'; -- Timing Check VARIABLE MRD_chk : INTEGER := 0; VARIABLE WR_counter : Array4xI := (0 & 0 & 0 & 0); VARIABLE WR_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE WR_chkp : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE RC_chk, RRD_chk : TIME := 0 ns; VARIABLE RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3 : TIME := 0 ns; VARIABLE RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3 : TIME := 0 ns; VARIABLE RP_chk0, RP_chk1, RP_chk2, RP_chk3 : TIME := 0 ns; -- Load and Dumb variables FILE file_load : TEXT open read_mode is fname; -- Data load FILE file_dump : TEXT open write_mode is "dumpdata.txt"; -- Data dump VARIABLE bank_load : bit_vector ( 1 DOWNTO 0); VARIABLE rows_load : BIT_VECTOR (12 DOWNTO 0); VARIABLE cols_load : BIT_VECTOR ( 8 DOWNTO 0); VARIABLE data_load : BIT_VECTOR (15 DOWNTO 0); VARIABLE i, j : INTEGER; VARIABLE good_load : BOOLEAN; VARIABLE l : LINE; variable load : std_logic := '1'; variable dump : std_logic := '0'; variable ch : character; variable rectype : bit_vector(3 downto 0); variable recaddr : bit_vector(31 downto 0); variable reclen : bit_vector(7 downto 0); variable recdata : bit_vector(0 to 16*8-1); -- Initialize empty rows PROCEDURE Init_mem (Bank : bit_vector (1 DOWNTO 0); Row_index : INTEGER) IS VARIABLE i, j : INTEGER := 0; BEGIN IF Bank = "00" THEN IF Bank0 (Row_index) = NULL THEN -- Check to see if row empty Bank0 (Row_index) := NEW ram_type; -- Open new row for access FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP -- Filled row with zeros FOR j IN (data_bits) DOWNTO 0 LOOP Bank0 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "01" THEN IF Bank1 (Row_index) = NULL THEN Bank1 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank1 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "10" THEN IF Bank2 (Row_index) = NULL THEN Bank2 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank2 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "11" THEN IF Bank3 (Row_index) = NULL THEN Bank3 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank3 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; END IF; END; -- Burst Counter PROCEDURE Burst_decode IS VARIABLE Col_int : INTEGER := 0; VARIABLE Col_vec, Col_temp : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN -- Advance Burst Counter Burst_counter := Burst_counter + 1; -- Burst Type IF Mode_reg (3) = '0' THEN Col_int := TO_INTEGER(Col); Col_int := Col_int + 1; TO_BITVECTOR (Col_int, Col_temp); ELSIF Mode_reg (3) = '1' THEN TO_BITVECTOR (Burst_counter, Col_vec); Col_temp (2) := Col_vec (2) XOR Col_brst (2); Col_temp (1) := Col_vec (1) XOR Col_brst (1); Col_temp (0) := Col_vec (0) XOR Col_brst (0); END IF; -- Burst Length IF Burst_length_2 = '1' THEN Col (0) := Col_temp (0); ELSIF Burst_length_4 = '1' THEN Col (1 DOWNTO 0) := Col_temp (1 DOWNTO 0); ELSIF Burst_length_8 = '1' THEN Col (2 DOWNTO 0) := Col_temp (2 DOWNTO 0); ELSE Col := Col_temp; END IF; -- Burst Read Single Write IF Write_burst_mode = '1' AND Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Data counter IF Burst_length_1 = '1' THEN IF Burst_counter >= 1 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_2 = '1' THEN IF Burst_counter >= 2 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_4 = '1' THEN IF Burst_counter >= 4 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_8 = '1' THEN IF Burst_counter >= 8 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; END IF; END; BEGIN WAIT ON Sys_clk, RAS_clk; IF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '0' THEN --' -- Internal Command Pipeline Command(0) := Command(1); Command(1) := Command(2); Command(2) := Command(3); Command(3) := NOP; Col_addr(0) := Col_addr(1); Col_addr(1) := Col_addr(2); Col_addr(2) := Col_addr(3); Col_addr(3) := (OTHERS => '0'); Bank_addr(0) := Bank_addr(1); Bank_addr(1) := Bank_addr(2); Bank_addr(2) := Bank_addr(3); Bank_addr(3) := "00"; Bank_precharge(0) := Bank_precharge(1); Bank_precharge(1) := Bank_precharge(2); Bank_precharge(2) := Bank_precharge(3); Bank_precharge(3) := "00"; A10_precharge(0) := A10_precharge(1); A10_precharge(1) := A10_precharge(2); A10_precharge(2) := A10_precharge(3); A10_precharge(3) := '0'; -- Operation Decode (Optional for showing current command on posedge clock / debug feature) IF Active_enable = '1' THEN Operation <= ACT; ELSIF Aref_enable = '1' THEN Operation <= A_REF; ELSIF Burst_term = '1' THEN Operation <= BST; ELSIF Mode_reg_enable = '1' THEN Operation <= LMR; ELSIF Prech_enable = '1' THEN Operation <= PRECH; ELSIF Read_enable = '1' THEN IF Addr(10) = '0' THEN Operation <= READ; ELSE Operation <= READ_A; END IF; ELSIF Write_enable = '1' THEN IF Addr(10) = '0' THEN Operation <= WRITE; ELSE Operation <= WRITE_A; END IF; ELSE Operation <= NOP; END IF; -- Dqm pipeline for Read Dqm_reg0 := Dqm_reg1; Dqm_reg1 := TO_BITVECTOR(Dqm); -- Read or Write with Auto Precharge Counter IF Auto_precharge (0) = '1' THEN Count_precharge (0) := Count_precharge (0) + 1; END IF; IF Auto_precharge (1) = '1' THEN Count_precharge (1) := Count_precharge (1) + 1; END IF; IF Auto_precharge (2) = '1' THEN Count_precharge (2) := Count_precharge (2) + 1; END IF; IF Auto_precharge (3) = '1' THEN Count_precharge (3) := Count_precharge (3) + 1; END IF; -- Auto Precharge Timer for tWR if (Burst_length_1 = '1' OR Write_burst_mode = '1') then if (Count_precharge(0) = 1) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 1) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 1) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 1) then Count_time(3) := NOW; end if; elsif (Burst_length_2 = '1') then if (Count_precharge(0) = 2) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 2) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 2) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 2) then Count_time(3) := NOW; end if; elsif (Burst_length_4 = '1') then if (Count_precharge(0) = 4) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 4) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 4) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 4) then Count_time(3) := NOW; end if; elsif (Burst_length_8 = '1') then if (Count_precharge(0) = 8) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 8) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 8) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 8) then Count_time(3) := NOW; end if; end if; -- tMRD Counter MRD_chk := MRD_chk + 1; -- tWR Counter WR_counter(0) := WR_counter(0) + 1; WR_counter(1) := WR_counter(1) + 1; WR_counter(2) := WR_counter(2) + 1; WR_counter(3) := WR_counter(3) + 1; -- Auto Refresh IF Aref_enable = '1' THEN -- Auto Refresh to Auto Refresh ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Auto Refresh" SEVERITY WARNING; -- Precharge to Auto Refresh ASSERT (NOW - RP_chk0 >= tRP OR NOW - RP_chk1 >= tRP OR NOW - RP_chk2 >= tRP OR NOW - RP_chk3 >= tRP) REPORT "tRP violation during Auto Refresh" SEVERITY WARNING; -- All banks must be idle before refresh IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN ASSERT (FALSE) REPORT "All banks must be Precharge before Auto Refresh" SEVERITY WARNING; END IF; -- Record current tRC time RC_chk := NOW; END IF; -- Load Mode Register IF Mode_reg_enable = '1' THEN Mode_reg <= TO_BITVECTOR (Addr); IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN ASSERT (FALSE) REPORT "All bank must be Precharge before Load Mode Register" SEVERITY WARNING; END IF; -- REF to LMR ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Load Mode Register" SEVERITY WARNING; -- LMR to LMR ASSERT (MRD_chk >= tMRD) REPORT "tMRD violation during Load Mode Register" SEVERITY WARNING; -- Record current tMRD time MRD_chk := 0; END IF; -- Active Block (latch Bank and Row Address) IF Active_enable = '1' THEN IF Ba = "00" AND Pc_b0 = '1' THEN Act_b0 := '1'; Pc_b0 := '0'; B0_row_addr := TO_BITVECTOR (Addr); RCD_chk0 := NOW; RAS_chk0 := NOW; -- Precharge to Active Bank 0 ASSERT (NOW - RP_chk0 >= tRP) REPORT "tRP violation during Activate Bank 0" SEVERITY WARNING; ELSIF Ba = "01" AND Pc_b1 = '1' THEN Act_b1 := '1'; Pc_b1 := '0'; B1_row_addr := TO_BITVECTOR (Addr); RCD_chk1 := NOW; RAS_chk1 := NOW; -- Precharge to Active Bank 1 ASSERT (NOW - RP_chk1 >= tRP) REPORT "tRP violation during Activate Bank 1" SEVERITY WARNING; ELSIF Ba = "10" AND Pc_b2 = '1' THEN Act_b2 := '1'; Pc_b2 := '0'; B2_row_addr := TO_BITVECTOR (Addr); RCD_chk2 := NOW; RAS_chk2 := NOW; -- Precharge to Active Bank 2 ASSERT (NOW - RP_chk2 >= tRP) REPORT "tRP violation during Activate Bank 2" SEVERITY WARNING; ELSIF Ba = "11" AND Pc_b3 = '1' THEN Act_b3 := '1'; Pc_b3 := '0'; B3_row_addr := TO_BITVECTOR (Addr); RCD_chk3 := NOW; RAS_chk3 := NOW; -- Precharge to Active Bank 3 ASSERT (NOW - RP_chk3 >= tRP) REPORT "tRP violation during Activate Bank 3" SEVERITY WARNING; ELSIF Ba = "00" AND Pc_b0 = '0' THEN ASSERT (FALSE) REPORT "Bank 0 is not Precharged" SEVERITY WARNING; ELSIF Ba = "01" AND Pc_b1 = '0' THEN ASSERT (FALSE) REPORT "Bank 1 is not Precharged" SEVERITY WARNING; ELSIF Ba = "10" AND Pc_b2 = '0' THEN ASSERT (FALSE) REPORT "Bank 2 is not Precharged" SEVERITY WARNING; ELSIF Ba = "11" AND Pc_b3 = '0' THEN ASSERT (FALSE) REPORT "Bank 3 is not Precharged" SEVERITY WARNING; END IF; -- Active Bank A to Active Bank B IF ((Previous_bank /= TO_BITVECTOR (Ba)) AND (NOW - RRD_chk < tRRD)) THEN ASSERT (FALSE) REPORT "tRRD violation during Activate" SEVERITY WARNING; END IF; -- LMR to ACT ASSERT (MRD_chk >= tMRD) REPORT "tMRD violation during Activate" SEVERITY WARNING; -- AutoRefresh to Activate ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Activate" SEVERITY WARNING; -- Record variable for checking violation RRD_chk := NOW; Previous_bank := TO_BITVECTOR (Ba); END IF; -- Precharge Block IF Prech_enable = '1' THEN IF Addr(10) = '1' THEN Pc_b0 := '1'; Pc_b1 := '1'; Pc_b2 := '1'; Pc_b3 := '1'; Act_b0 := '0'; Act_b1 := '0'; Act_b2 := '0'; Act_b3 := '0'; RP_chk0 := NOW; RP_chk1 := NOW; RP_chk2 := NOW; RP_chk3 := NOW; -- Activate to Precharge all banks ASSERT ((NOW - RAS_chk0 >= tRAS) OR (NOW - RAS_chk1 >= tRAS)) REPORT "tRAS violation during Precharge all banks" SEVERITY WARNING; -- tWR violation check for Write IF ((NOW - WR_chkp(0) < tWRp) OR (NOW - WR_chkp(1) < tWRp) OR (NOW - WR_chkp(2) < tWRp) OR (NOW - WR_chkp(3) < tWRp)) THEN ASSERT (FALSE) REPORT "tWR violation during Precharge ALL banks" SEVERITY WARNING; END IF; ELSIF Addr(10) = '0' THEN IF Ba = "00" THEN Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; -- Activate to Precharge bank 0 ASSERT (NOW - RAS_chk0 >= tRAS) REPORT "tRAS violation during Precharge bank 0" SEVERITY WARNING; ELSIF Ba = "01" THEN Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; -- Activate to Precharge bank 1 ASSERT (NOW - RAS_chk1 >= tRAS) REPORT "tRAS violation during Precharge bank 1" SEVERITY WARNING; ELSIF Ba = "10" THEN Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; -- Activate to Precharge bank 2 ASSERT (NOW - RAS_chk2 >= tRAS) REPORT "tRAS violation during Precharge bank 2" SEVERITY WARNING; ELSIF Ba = "11" THEN Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; -- Activate to Precharge bank 3 ASSERT (NOW - RAS_chk3 >= tRAS) REPORT "tRAS violation during Precharge bank 3" SEVERITY WARNING; END IF; -- tWR violation check for Write ASSERT (NOW - WR_chkp(TO_INTEGER(Ba)) >= tWRp) REPORT "tWR violation during Precharge" SEVERITY WARNING; END IF; -- Terminate a Write Immediately (if same bank or all banks) IF (Data_in_enable = '1' AND (Bank = TO_BITVECTOR(Ba) OR Addr(10) = '1')) THEN Data_in_enable := '0'; END IF; -- Precharge Command Pipeline for READ IF CAS_latency_3 = '1' THEN Command(2) := PRECH; Bank_precharge(2) := TO_BITVECTOR (Ba); A10_precharge(2) := TO_BIT(Addr(10)); ELSIF CAS_latency_2 = '1' THEN Command(1) := PRECH; Bank_precharge(1) := TO_BITVECTOR (Ba); A10_precharge(1) := TO_BIT(Addr(10)); END IF; END IF; -- Burst Terminate IF Burst_term = '1' THEN -- Terminate a Write immediately IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Terminate a Read depend on CAS Latency IF CAS_latency_3 = '1' THEN Command(2) := BST; ELSIF CAS_latency_2 = '1' THEN Command(1) := BST; END IF; END IF; -- Read, Write, Column Latch IF Read_enable = '1' OR Write_enable = '1' THEN -- Check to see if bank is open (ACT) for Read or Write IF ((Ba="00" AND Pc_b0='1') OR (Ba="01" AND Pc_b1='1') OR (Ba="10" AND Pc_b2='1') OR (Ba="11" AND Pc_b3='1')) THEN ASSERT (FALSE) REPORT "Cannot Read or Write - Bank is not Activated" SEVERITY WARNING; END IF; -- Activate to Read or Write IF Ba = "00" THEN ASSERT (NOW - RCD_chk0 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 0" SEVERITY WARNING; ELSIF Ba = "01" THEN ASSERT (NOW - RCD_chk1 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 1" SEVERITY WARNING; ELSIF Ba = "10" THEN ASSERT (NOW - RCD_chk2 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 2" SEVERITY WARNING; ELSIF Ba = "11" THEN ASSERT (NOW - RCD_chk3 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 3" SEVERITY WARNING; END IF; -- Read Command IF Read_enable = '1' THEN -- CAS Latency Pipeline IF Cas_latency_3 = '1' THEN IF Addr(10) = '1' THEN Command(2) := READ_A; ELSE Command(2) := READ; END IF; Col_addr (2) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (2) := TO_BITVECTOR (Ba); ELSIF Cas_latency_2 = '1' THEN IF Addr(10) = '1' THEN Command(1) := READ_A; ELSE Command(1) := READ; END IF; Col_addr (1) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (1) := TO_BITVECTOR (Ba); END IF; -- Read intterupt a Write (terminate Write immediately) IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Write Command ELSIF Write_enable = '1' THEN IF Addr(10) = '1' THEN Command(0) := WRITE_A; ELSE Command(0) := WRITE; END IF; Col_addr (0) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (0) := TO_BITVECTOR (Ba); -- Write intterupt a Write (terminate Write immediately) IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Write interrupt a Read (terminate Read immediately) IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; -- Interrupt a Write with Auto Precharge IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Write_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN RW_interrupt_write(TO_INTEGER(RW_Interrupt_Bank)) := '1'; END IF; -- Interrupt a Read with Auto Precharge IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Read_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN RW_interrupt_read(TO_INTEGER(RW_Interrupt_Bank)) := '1'; END IF; -- Read or Write with Auto Precharge IF Addr(10) = '1' THEN Auto_precharge (TO_INTEGER(Ba)) := '1'; Count_precharge (TO_INTEGER(Ba)) := 0; RW_Interrupt_Bank := TO_BitVector(Ba); IF Read_enable = '1' THEN Read_precharge (TO_INTEGER(Ba)) := '1'; ELSIF Write_enable = '1' THEN Write_precharge (TO_INTEGER(Ba)) := '1'; END IF; END IF; END IF; -- Read with AutoPrecharge Calculation -- The device start internal precharge when: -- 1. BL/2 cycles after command -- and 2. Meet tRAS requirement -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) IF ((Auto_precharge(0) = '1') AND (Read_precharge(0) = '1')) THEN IF (((NOW - RAS_chk0 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(0) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(0) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(0) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(0) >= 8))) OR (RW_interrupt_read(0) = '1')) THEN Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; Auto_precharge(0) := '0'; Read_precharge(0) := '0'; RW_interrupt_read(0) := '0'; END IF; END IF; IF ((Auto_precharge(1) = '1') AND (Read_precharge(1) = '1')) THEN IF (((NOW - RAS_chk1 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(1) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(1) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(1) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(1) >= 8))) OR (RW_interrupt_read(1) = '1')) THEN Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; Auto_precharge(1) := '0'; Read_precharge(1) := '0'; RW_interrupt_read(1) := '0'; END IF; END IF; IF ((Auto_precharge(2) = '1') AND (Read_precharge(2) = '1')) THEN IF (((NOW - RAS_chk2 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(2) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(2) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(2) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(2) >= 8))) OR (RW_interrupt_read(2) = '1')) THEN Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; Auto_precharge(2) := '0'; Read_precharge(2) := '0'; RW_interrupt_read(2) := '0'; END IF; END IF; IF ((Auto_precharge(3) = '1') AND (Read_precharge(3) = '1')) THEN IF (((NOW - RAS_chk3 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(3) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(3) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(3) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(3) >= 8))) OR (RW_interrupt_read(3) = '1')) THEN Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; Auto_precharge(3) := '0'; Read_precharge(3) := '0'; RW_interrupt_read(3) := '0'; END IF; END IF; -- Internal Precharge or Bst IF Command(0) = PRECH THEN -- PRECH terminate a read if same bank or all banks IF Bank_precharge(0) = Bank OR A10_precharge(0) = '1' THEN IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Command(0) = BST THEN -- BST terminate a read regardless of bank IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; IF Data_out_enable = '0' THEN Dq <= TRANSPORT (OTHERS => 'Z') AFTER tOH; END IF; -- Detect Read or Write Command IF Command(0) = READ OR Command(0) = READ_A THEN Bank := Bank_addr (0); Col := Col_addr (0); Col_brst := Col_addr (0); IF Bank_addr (0) = "00" THEN Row := B0_row_addr; ELSIF Bank_addr (0) = "01" THEN Row := B1_row_addr; ELSIF Bank_addr (0) = "10" THEN Row := B2_row_addr; ELSE Row := B3_row_addr; END IF; Burst_counter := 0; Data_in_enable := '0'; Data_out_enable := '1'; ELSIF Command(0) = WRITE OR Command(0) = WRITE_A THEN Bank := Bank_addr(0); Col := Col_addr(0); Col_brst := Col_addr(0); IF Bank_addr (0) = "00" THEN Row := B0_row_addr; ELSIF Bank_addr (0) = "01" THEN Row := B1_row_addr; ELSIF Bank_addr (0) = "10" THEN Row := B2_row_addr; ELSE Row := B3_row_addr; END IF; Burst_counter := 0; Data_in_enable := '1'; Data_out_enable := '0'; END IF; -- DQ (Driver / Receiver) Row_index := TO_INTEGER (Row); Col_index := TO_INTEGER (Col); IF Data_in_enable = '1' THEN IF Dqm /= "11" THEN Init_mem (Bank, Row_index); IF Bank = "00" THEN Dq_temp := Bank0 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank0 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "01" THEN Dq_temp := Bank1 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank1 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "10" THEN Dq_temp := Bank2 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank2 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "11" THEN Dq_temp := Bank3 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank3 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); END IF; WR_chkp(TO_INTEGER(Bank)) := NOW; WR_counter(TO_INTEGER(Bank)) := 0; END IF; Burst_decode; ELSIF Data_out_enable = '1' THEN IF Dqm_reg0 /= "11" THEN Init_mem (Bank, Row_index); IF Bank = "00" THEN Dq_temp := Bank0 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "01" THEN Dq_temp := Bank1 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "10" THEN Dq_temp := Bank2 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "11" THEN Dq_temp := Bank3 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; END IF; ELSE Dq <= TRANSPORT (OTHERS => 'Z') AFTER tHZ; END IF; Burst_decode; END IF; ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '1' AND Dump = '0' THEN --' Operation <= LOAD_FILE; load := '0'; -- ASSERT (FALSE) REPORT "Reading memory array from file. This operation may take several minutes. Please wait..." -- SEVERITY NOTE; WHILE NOT endfile(file_load) LOOP readline(file_load, l); read(l, ch); if (ch /= 'S') or (ch /= 's') then hread(l, rectype); hread(l, reclen); recaddr := (others => '0'); case rectype is when "0001" => hread(l, recaddr(15 downto 0)); when "0010" => hread(l, recaddr(23 downto 0)); when "0011" => hread(l, recaddr); recaddr(31 downto 24) := (others => '0'); when others => next; end case; hread(l, recdata); if index < 32 then Bank_Load := recaddr(25 downto 24); Rows_Load := recaddr(23 downto 11); Cols_Load := recaddr(10 downto 2); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 3 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 3 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 3 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 3 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; END IF; elsif(index < 1024) then Bank_Load := recaddr(26 downto 25); Rows_Load := recaddr(24 downto 12); Cols_Load := recaddr(11 downto 3); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 1 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 1 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 1 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 1 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; END IF; else Bank_Load := recaddr(22 downto 21); Rows_Load := '0' & recaddr(20 downto 9); Cols_Load := '0' & recaddr(8 downto 1); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 7 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 7 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 7 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 7 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; END IF; END IF; END IF; END LOOP; ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '1' THEN --' Operation <= DUMP_FILE; ASSERT (FALSE) REPORT "Writing memory array to file. This operation may take several minutes. Please wait..." SEVERITY NOTE; WRITE (l, string'("# Micron Technology, Inc. (FILE DUMP / MEMORY DUMP)")); --' WRITELINE (file_dump, l); WRITE (l, string'("# BA ROWS COLS DQ")); --' WRITELINE (file_dump, l); WRITE (l, string'("# -- ------------- --------- ----------------")); --' WRITELINE (file_dump, l); -- Dumping Bank 0 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank0 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank0 (i) (j) (data_bits) = '0'; WRITE (l, string'("00"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank0 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 1 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank1 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank1 (i) (j) (data_bits) = '0'; WRITE (l, string'("01"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank1 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 2 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank2 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank2 (i) (j) (data_bits) = '0'; WRITE (l, string'("10"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank2 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 3 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank3 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank3 (i) (j) (data_bits) = '0'; WRITE (l, string'("11"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank3 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; END IF; -- Write with AutoPrecharge Calculation -- The device start internal precharge when: -- 1. tWR cycles after command -- and 2. Meet tRAS requirement -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) IF ((Auto_precharge(0) = '1') AND (Write_precharge(0) = '1')) THEN IF (((NOW - RAS_chk0 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(0) >= 1 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(0) >= 2 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(0) >= 4 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(0) >= 8 AND NOW - Count_time(0) >= tWRa))) OR (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(0) >= tWRa)) THEN Auto_precharge(0) := '0'; Write_precharge(0) := '0'; RW_interrupt_write(0) := '0'; Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; ASSERT FALSE REPORT "Start Internal Precharge Bank 0" SEVERITY NOTE; END IF; END IF; IF ((Auto_precharge(1) = '1') AND (Write_precharge(1) = '1')) THEN IF (((NOW - RAS_chk1 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(1) >= 1 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(1) >= 2 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(1) >= 4 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(1) >= 8 AND NOW - Count_time(1) >= tWRa))) OR (RW_interrupt_write(1) = '1' AND WR_counter(1) >= 1 AND NOW - WR_time(1) >= tWRa)) THEN Auto_precharge(1) := '0'; Write_precharge(1) := '0'; RW_interrupt_write(1) := '0'; Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; END IF; END IF; IF ((Auto_precharge(2) = '1') AND (Write_precharge(2) = '1')) THEN IF (((NOW - RAS_chk2 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(2) >= 1 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(2) >= 2 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(2) >= 4 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(2) >= 8 AND NOW - Count_time(2) >= tWRa))) OR (RW_interrupt_write(2) = '1' AND WR_counter(2) >= 1 AND NOW - WR_time(2) >= tWRa)) THEN Auto_precharge(2) := '0'; Write_precharge(2) := '0'; RW_interrupt_write(2) := '0'; Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; END IF; END IF; IF ((Auto_precharge(3) = '1') AND (Write_precharge(3) = '1')) THEN IF (((NOW - RAS_chk3 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(3) >= 1 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(3) >= 2 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(3) >= 4 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(3) >= 8 AND NOW - Count_time(3) >= tWRa))) OR (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(3) >= tWRa)) THEN Auto_precharge(3) := '0'; Write_precharge(3) := '0'; RW_interrupt_write(3) := '0'; Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; END IF; END IF; -- Checking internal wires (Optional for debug purpose) Pre_chk (0) <= Pc_b0; Pre_chk (1) <= Pc_b1; Pre_chk (2) <= Pc_b2; Pre_chk (3) <= Pc_b3; Act_chk (0) <= Act_b0; Act_chk (1) <= Act_b1; Act_chk (2) <= Act_b2; Act_chk (3) <= Act_b3; Dq_in_chk <= Data_in_enable; Dq_out_chk <= Data_out_enable; Bank_chk <= Bank; Row_chk <= Row; Col_chk <= Col; END PROCESS; -- Clock timing checks -- Clock_check : PROCESS -- VARIABLE Clk_low, Clk_high : TIME := 0 ns; -- BEGIN -- WAIT ON Clk; -- IF (Clk = '1' AND NOW >= 10 ns) THEN -- ASSERT (NOW - Clk_low >= tCL) -- REPORT "tCL violation" -- SEVERITY WARNING; -- ASSERT (NOW - Clk_high >= tCK) -- REPORT "tCK violation" -- SEVERITY WARNING; -- Clk_high := NOW; -- ELSIF (Clk = '0' AND NOW /= 0 ns) THEN -- ASSERT (NOW - Clk_high >= tCH) -- REPORT "tCH violation" -- SEVERITY WARNING; -- Clk_low := NOW; -- END IF; -- END PROCESS; -- Setup timing checks Setup_check : PROCESS BEGIN wait; WAIT ON Clk; IF Clk = '1' THEN ASSERT(Cke'LAST_EVENT >= tCKS) --' REPORT "CKE Setup time violation -- tCKS" SEVERITY WARNING; ASSERT(Cs_n'LAST_EVENT >= tCMS) --' REPORT "CS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Cas_n'LAST_EVENT >= tCMS) --' REPORT "CAS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Ras_n'LAST_EVENT >= tCMS) --' REPORT "RAS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(We_n'LAST_EVENT >= tCMS) --' REPORT "WE# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Dqm'LAST_EVENT >= tCMS) --' REPORT "Dqm Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Addr'LAST_EVENT >= tAS) --' REPORT "ADDR Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Ba'LAST_EVENT >= tAS) --' REPORT "BA Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Dq'LAST_EVENT >= tDS) --' REPORT "Dq Setup time violation -- tDS" SEVERITY WARNING; END IF; END PROCESS; -- Hold timing checks Hold_check : PROCESS BEGIN wait; WAIT ON Clk'DELAYED (tCKH), Clk'DELAYED (tCMH), Clk'DELAYED (tAH), Clk'DELAYED (tDH); IF Clk'DELAYED (tCKH) = '1' THEN --' ASSERT(Cke'LAST_EVENT > tCKH) --' REPORT "CKE Hold time violation -- tCKH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tCMH) = '1' THEN --' ASSERT(Cs_n'LAST_EVENT > tCMH) --' REPORT "CS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Cas_n'LAST_EVENT > tCMH) --' REPORT "CAS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Ras_n'LAST_EVENT > tCMH) --' REPORT "RAS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(We_n'LAST_EVENT > tCMH) --' REPORT "WE# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Dqm'LAST_EVENT > tCMH) --' REPORT "Dqm Hold time violation -- tCMH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tAH) = '1' THEN --' ASSERT(Addr'LAST_EVENT > tAH) --' REPORT "ADDR Hold time violation -- tAH" SEVERITY WARNING; ASSERT(Ba'LAST_EVENT > tAH) --' REPORT "BA Hold time violation -- tAH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tDH) = '1' THEN --' ASSERT(Dq'LAST_EVENT > tDH) --' REPORT "Dq Hold time violation -- tDH" SEVERITY WARNING; END IF; END PROCESS; END behave; -- pragma translate_on
-- Author: Varun Nagpal -- Net Id: vxn180010 -- VLSI Design Homework 1 -- 3rd Sept, 2018 -- -- Package: Modifiable Paramaters, non-modifiable constants and types (ports) -- for the Generic Nth order (L = N+1 taps) Transposed Direct-form FIR-filter -- -- Modifiable variables for Design of the FIR Filter: -- FIR_ORDER = order of the filter (N). Note L = N+1 = taps -- X_BIT_SIZE = bit width (n) of input samples (signed 2's complement) -- H_BIT_SIZE = bit width (m) of coefficients (signed 2's complement) -- -- Modifiable variables for testbench of the FIR Filter: -- CLK_CYCLE_TIME = clock cycle time -- CLK_HIGH_TIME = time for which clock is high -- -- All remaining parameters in the package are non-modifiable constants which -- must not be modified manually as there values are calculated during using values -- of modifiable variables during compilation of VHDL files library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use ieee.std_logic_unsigned.all; package fir_filter_shared_package is -- modifiable variables for design of FIR filter constant FIR_ORDER : natural := 3; -- order of the filter (N). Note L = N+1 = taps constant X_BIT_SIZE : natural := 16; -- bit width (n) of input samples (signed 2's complement) constant H_BIT_SIZE : natural := 16; -- bit width (m) of coefficients (signed 2's complement) -- modifiable variables for testbench of FIR filter constant CLK_CYCLE_TIME : time := 100 ns; constant CLK_HIGH_TIME : time := 50 ns; -- modifiable constants for testbench of FIR filter constant CLK_LOW_TIME : time := CLK_CYCLE_TIME - CLK_HIGH_TIME; -- non-modifiable constants constant MULT_BIT_SIZE : natural := X_BIT_SIZE+H_BIT_SIZE; -- bit width (n+m) of signed multiplier constant EXTR_BIT_SIZE : natural := natural(ceil(log2(real(FIR_ORDER+1))))-1; -- extra bits for accumulation = ceil(log2(L))-1 constant Y_BIT_SIZE : natural := MULT_BIT_SIZE+EXTR_BIT_SIZE; -- bit width of output samples (signed 2's complement) or signed adder -- N = no. of register delays or additions subtype ADD_REG_TYPE is signed(Y_BIT_SIZE-1 downto 0); type ADD_REG_ARRAY is array (0 to FIR_ORDER) of ADD_REG_TYPE; -- L = N+1 no. of taps or coefficients or multiplications subtype MULT_SIG_TYPE is signed(MULT_BIT_SIZE-1 downto 0); type MULT_SIG_ARRAY is array (0 to FIR_ORDER) of MULT_SIG_TYPE; subtype COEFF_REG_TYPE is signed(H_BIT_SIZE-1 downto 0); type COEFF_REG_ARRAY is array (0 to FIR_ORDER) of COEFF_REG_TYPE; end fir_filter_shared_package; package body fir_filter_shared_package is -- empty end fir_filter_shared_package;
package fsm_pkg is type fsm_state is ( START, S0, S1, S2, S3 ); end package fsm_pkg;
package fsm_pkg is type fsm_state is ( START, S0, S1, S2, S3 ); end package fsm_pkg;
------------------------------------------------------------------------------- -- -- MSX1 FPGA project -- -- Copyright (c) 2016, Fabio Belavenuto ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity clocks is port ( clock_i : in std_logic; -- 21 MHz por_i : in std_logic; turbo_on_i : in std_logic; -- 0 = 3.57, 1 = 7.15 clock_vdp_o : out std_logic; clock_5m_en_o : out std_logic; clock_cpu_o : out std_logic; clock_psg_en_o : out std_logic; -- 3.57 clock enable clock_3m_o : out std_logic ); end entity; architecture rtl of clocks is -- Clocks signal clk1_cnt_q : unsigned(2 downto 0) := (others => '0'); signal clk2_cnt_q : unsigned(2 downto 0) := (others => '0'); signal pos_cnt3_q : unsigned(1 downto 0) := "00"; signal neg_cnt3_q : unsigned(1 downto 0) := "00"; signal div3_s : std_logic := '0'; signal clock_vdp_s : std_logic := '0'; signal clock_5m_en_s : std_logic := '0'; signal clock_3m_s : std_logic := '0'; signal clock_7m_s : std_logic := '0'; signal clock_psg_en_s : std_logic := '0'; -- Switcher signal sw_ff_q : std_logic_vector(1 downto 0) := "11"; signal clock_out1_s : std_logic; signal clock_out2_s : std_logic; begin -- clk1_cnt_q: 5 4 3 2 1 0 -- 0 and 3 = 3.57 -- 0, 2, 4 = 10.7 -- Clocks generation process (por_i, clock_i) begin if por_i = '1' then clk2_cnt_q <= (others => '0'); clock_5m_en_s <= '0'; elsif rising_edge(clock_i) then clock_5m_en_s <= '0'; if clk2_cnt_q = 0 then clk2_cnt_q <= "111"; else clk2_cnt_q <= clk2_cnt_q - 1; end if; if clk2_cnt_q = 0 or clk2_cnt_q = 4 then clock_5m_en_s <= '1'; -- Scandoubler: 5.37 MHz enable end if; end if; end process; process (por_i, clock_i) begin if por_i = '1' then clk1_cnt_q <= (others => '0'); clock_vdp_s <= '0'; clock_3m_s <= '0'; pos_cnt3_q <= "00"; elsif rising_edge(clock_i) then clock_psg_en_s <= '0'; -- PSG clock enable if clk1_cnt_q = 0 then clk1_cnt_q <= "101"; clock_psg_en_s <= '1'; -- PSG clock enable else clk1_cnt_q <= clk1_cnt_q - 1; end if; clock_vdp_s <= not clock_vdp_s; -- VDP: 10.7 MHz if clk1_cnt_q = 0 or clk1_cnt_q = 3 then clock_3m_s <= not clock_3m_s; -- 3.57 MHz end if; -- /3 if pos_cnt3_q = 2 then pos_cnt3_q <= "00"; else pos_cnt3_q <= pos_cnt3_q + 1; end if; end if; end process; -- /3 process (por_i, clock_i) begin if por_i = '1' then neg_cnt3_q <= "00"; elsif falling_edge(clock_i) then if neg_cnt3_q = 2 then neg_cnt3_q <= "00"; else neg_cnt3_q <= neg_cnt3_q + 1; end if; end if; end process; clock_7m_s <= '1' when pos_cnt3_q /= 2 and neg_cnt3_q /= 2 else '0'; -- Switcher process(por_i, clock_out1_s) begin if por_i = '1' then sw_ff_q <= "00"; elsif rising_edge(clock_out1_s) then sw_ff_q(1) <= turbo_on_i; sw_ff_q(0) <= sw_ff_q(1); end if; end process; clock_out1_s <= clock_3m_s when sw_ff_q(1) = '0' else clock_7m_s; -- Out clock_vdp_o <= clock_vdp_s; clock_5m_en_o <= clock_5m_en_s; clock_psg_en_o <= clock_psg_en_s; clock_3m_o <= clock_3m_s; with sw_ff_q select clock_cpu_o <= clock_3m_s when "00", clock_7m_s when "11", '1' when others; end architecture;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(19 downto 0); signal data : std_logic_vector(31 downto 0); signal mben : std_logic_vector(3 downto 0); signal pio : std_logic_vector(17 downto 0); signal ramsn : std_logic_vector(1 downto 0); signal oen : std_ulogic; signal writen : std_ulogic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic; signal dsurst : std_ulogic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal clk2 : std_ulogic := '1'; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal errorn : std_logic; signal ps2clk : std_logic; signal ps2data : std_logic; signal vid_hsync : std_ulogic; signal vid_vsync : std_ulogic; signal vid_r : std_logic; signal vid_g : std_logic; signal vid_b : std_logic; signal switch : std_logic_vector(7 downto 0); -- switches signal button : std_logic_vector(2 downto 0); constant lresp : boolean := false; begin -- clock and reset clk <= not clk after ct * 1 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= 'H'; ps2clk <= 'H'; ps2data <= 'H'; pio(4) <= pio(5); pio(1) <= pio(2); pio <= (others => 'H'); address(1 downto 0) <= "00"; cpu : entity work.leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow) port map (rst, clk, errorn, address(19 downto 2), data, ramsn, mben, oen, writen, dsubre, dsuact, txd1, rxd1, pio, --switch, button, ps2clk, ps2data, vid_hsync, vid_vsync, vid_r, vid_g, vid_b ); sram0 : for i in 0 to 1 generate sr0 : sram16 generic map (index => i*2, abits => 18, fname => sdramfile) port map (address(19 downto 2), data(31-i*16 downto 16-i*16), mben(i*2), mben(i*2+1), ramsn(i), writen, oen); end generate; iuerr : process begin wait for 5000 ns; if to_x01(errorn) = '0' then wait on errorn; end if; assert (to_x01(errorn) = '0') report "*** IU in error mode, simulation halted ***" severity failure ; end process; data <= buskeep(data), (others => 'H') after 250 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '1'; wait for 2500 ns; dsurst <= '0'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#aa#, txp); txa(dsutx, 16#00#, 16#55#, 16#00#, 16#55#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#a0#, txp); txa(dsutx, 16#01#, 16#02#, 16#09#, 16#33#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#80#, 16#00#, 16#02#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(txd2, rxd2); wait; end process; end ;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0_9; USE mult_gen_v12_0_9.mult_gen_v12_0_9; ENTITY mult_gen_0 IS PORT ( A : IN STD_LOGIC_VECTOR(32 DOWNTO 0); B : IN STD_LOGIC_VECTOR(13 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(53 DOWNTO 0) ); END mult_gen_0; ARCHITECTURE mult_gen_0_arch OF mult_gen_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_gen_0_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0_9 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(32 DOWNTO 0); B : IN STD_LOGIC_VECTOR(13 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(53 DOWNTO 0) ); END COMPONENT mult_gen_v12_0_9; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0_9 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "artix7", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 0, C_A_WIDTH => 33, C_A_TYPE => 0, C_B_WIDTH => 14, C_B_TYPE => 0, C_OUT_HIGH => 53, C_OUT_LOW => 0, C_MULT_TYPE => 1, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => '1', A => A, B => B, CE => '1', SCLR => '0', P => P ); END mult_gen_0_arch;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- -- Entity: arith_addw -- -- Description: -- ------------------------------------ -- Implements wide addition providing several options all based -- on an adaptation of a carry-select approach. -- -- References: -- * Hong Diep Nguyen and Bogdan Pasca and Thomas B. Preusser: -- FPGA-Specific Arithmetic Optimizations of Short-Latency Adders, -- FPL 2011. -- -> ARCH: AAM, CAI, CCA -- -> SKIPPING: CCC -- -- * Marcin Rogawski, Kris Gaj and Ekawat Homsirikamol: -- A Novel Modular Adder for One Thousand Bits and More -- Using Fast Carry Chains of Modern FPGAs, FPL 2014. -- -> ARCH: PAI -- -> SKIPPING: PPN_KS, PPN_BK -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.std_logic_1164.all; library PoC; use PoC.utils.all; use PoC.arith.all; entity arith_addw is generic ( N : positive; -- Operand Width K : positive; -- Block Count ARCH : tArch := AAM; -- Architecture BLOCKING : tBlocking := DFLT; -- Blocking Scheme SKIPPING : tSkipping := CCC; -- Carry Skip Scheme P_INCLUSIVE : boolean := false -- Use Inclusive Propagate, i.e. c^1 ); port ( a, b : in std_logic_vector(N-1 downto 0); cin : in std_logic; s : out std_logic_vector(N-1 downto 0); cout : out std_logic ); end entity; use std.textio.all; library IEEE; use IEEE.numeric_std.all; architecture rtl of arith_addw is -- Determine Block Boundaries type tBlocking_vector is array(tArch) of tBlocking; constant DEFAULT_BLOCKING : tBlocking_vector := (AAM => ASC, CAI => DESC, PAI => DESC, CCA => DESC); type integer_vector is array(natural range<>) of integer; impure function compute_blocks return integer_vector is variable bs : tBlocking := BLOCKING; variable res : integer_vector(K-1 downto 0); variable l : line; begin if bs = DFLT then bs := DEFAULT_BLOCKING(ARCH); end if; case bs is when FIX => assert N >= K report "Cannot have more blocks than input bits." severity failure; for i in res'range loop res(i) := ((i+1)*N+K/2)/K; end loop; when ASC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N-K*(K-1)/2)+K/2)/K + (i+1)*i/2; end loop; when DESC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N+K*(K-1)/2)+K/2)/K - (i+1)*i/2; end loop; when others => report "Unknown blocking scheme: "&tBlocking'image(bs) severity failure; end case; --synthesis translate_off write(l, "Implementing "&integer'image(N)&"-bit wide adder: ARCH="&tArch'image(ARCH)& ", BLOCKING="&tBlocking'image(bs)&'['); for i in K-1 downto 1 loop write(l, res(i)-res(i-1)); write(l, ','); end loop; write(l, res(0)); write(l, "], SKIPPING="&tSkipping'image(SKIPPING)); writeline(output, l); --synthesis translate_on return res; end compute_blocks; constant BLOCKS : integer_vector(K-1 downto 0) := compute_blocks; signal g : std_logic_vector(K-1 downto 1); -- Block Generate signal p : std_logic_vector(K-1 downto 1); -- Block Propagate signal c : std_logic_vector(K-1 downto 1); -- Block Carry-in begin ----------------------------------------------------------------------------- -- Rightmost Block + Carry Computation Core blkCore: block constant M : positive := BLOCKS(0); -- Rightmost Block Width begin -- Carry Computation with Carry Chain genCCC: if SKIPPING = CCC generate signal x, y : unsigned(K+M-2 downto 0); signal z : unsigned(K+M-1 downto 0); begin x <= unsigned(g & a(M-1 downto 0)); genExcl: if not P_INCLUSIVE generate y <= unsigned((g or p) & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor p; end generate genExcl; genIncl: if P_INCLUSIVE generate y <= unsigned(p & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor (p xor g); end generate genIncl; z <= ('0' & x) + y + (0 to 0 => cin); -- output of rightmost block s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- carry output cout <= z(z'left); end generate genCCC; -- LUT-based Carry Computations genLUT: if SKIPPING /= CCC generate signal z : unsigned(M downto 0); begin -- rightmost block z <= unsigned('0' & a(M-1 downto 0)) + unsigned(b(M-1 downto 0)) + (0 to 0 => cin); s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- Plain linear LUT-based Carry Forwarding genPlain: if SKIPPING = PLAIN generate signal t : std_logic_vector(K downto 1); begin -- carry forwarding t(1) <= z(M); t(K downto 2) <= g or (p and c); c <= t(K-1 downto 1); cout <= t(K); end generate genPlain; -- Kogge-Stone Parallel Prefix Network genPPN_KS: if SKIPPING = PPN_KS generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to LEVELS); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genLevels: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin pp(i) <= (pp(i-1)(K-1 downto D) and pp(i-1)(K-D-1 downto 0)) & pp(i-1)(D-1 downto 0); gg(i) <= (gg(i-1)(K-1 downto D) or (pp(i-1)(K-1 downto D) and gg(i-1)(K-D-1 downto 0))) & gg(i-1)(D-1 downto 0); end generate genLevels; c <= gg(LEVELS)(K-2 downto 0); cout <= gg(LEVELS)(K-1); end generate genPPN_KS; -- Brent-Kung Parallel Prefix Network genPPN_BK: if SKIPPING = PPN_BK generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to 2*LEVELS-1); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genMerge: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin genBits: for j in 0 to K-1 generate genOp: if j mod (2*D) = 2*D-1 generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j mod (2*D) /= 2*D-1 generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genMerge; genSpread: for i in LEVELS+1 to 2*LEVELS-1 generate constant D : positive := 2**(2*LEVELS-i-1); begin genBits: for j in 0 to K-1 generate genOp: if j > D and (j+1) mod (2*D) = D generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j <= D or (j+1) mod (2*D) /= D generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genSpread; c <= gg(gg'high)(K-2 downto 0); cout <= gg(gg'high)(K-1); end generate genPPN_BK; end generate genLUT; end block blkCore; ----------------------------------------------------------------------------- -- Implement Carry-Select Variant -- -- all but rightmost block, implementation architecture selected by ARCH genBlocks: for i in 1 to K-1 generate -- Covered Index Range constant LO : positive := BLOCKS(i-1); -- Low Bit Index constant HI : positive := BLOCKS(i)-1; -- High Bit Index -- Internal Block Interface signal aa : unsigned(HI downto LO); signal bb : unsigned(HI downto LO); signal ss : unsigned(HI downto LO); begin -- Connect common block interface aa <= unsigned(a(HI downto LO)); bb <= unsigned(b(HI downto LO)); s(HI downto LO) <= std_logic_vector(ss); -- ARCH-specific Implementations --Add-Add-Multiplex genAAM: if ARCH = AAM generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) signal s1 : unsigned(HI+1 downto LO); -- Block Sum (cin=1) begin s0 <= ('0' & aa) + bb; s1 <= ('0' & aa) + bb + 1; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= s1(HI+1) xor s0(HI+1); end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= s1(HI+1); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s1(HI downto LO); end generate genAAM; -- Compare-Add-Increment genCAI: if ARCH = CAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genCAI; -- Propagate-Add-Increment genPAI: if ARCH = PAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else g(i); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genPAI; -- Compare-Compare-Add genCCA: if ARCH = CCA generate g(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa > not bb else '0'; genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= aa + bb + (0 to 0 => c(i)); end generate genCCA; end generate genBlocks; end architecture;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- -- Entity: arith_addw -- -- Description: -- ------------------------------------ -- Implements wide addition providing several options all based -- on an adaptation of a carry-select approach. -- -- References: -- * Hong Diep Nguyen and Bogdan Pasca and Thomas B. Preusser: -- FPGA-Specific Arithmetic Optimizations of Short-Latency Adders, -- FPL 2011. -- -> ARCH: AAM, CAI, CCA -- -> SKIPPING: CCC -- -- * Marcin Rogawski, Kris Gaj and Ekawat Homsirikamol: -- A Novel Modular Adder for One Thousand Bits and More -- Using Fast Carry Chains of Modern FPGAs, FPL 2014. -- -> ARCH: PAI -- -> SKIPPING: PPN_KS, PPN_BK -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.std_logic_1164.all; library PoC; use PoC.utils.all; use PoC.arith.all; entity arith_addw is generic ( N : positive; -- Operand Width K : positive; -- Block Count ARCH : tArch := AAM; -- Architecture BLOCKING : tBlocking := DFLT; -- Blocking Scheme SKIPPING : tSkipping := CCC; -- Carry Skip Scheme P_INCLUSIVE : boolean := false -- Use Inclusive Propagate, i.e. c^1 ); port ( a, b : in std_logic_vector(N-1 downto 0); cin : in std_logic; s : out std_logic_vector(N-1 downto 0); cout : out std_logic ); end entity; use std.textio.all; library IEEE; use IEEE.numeric_std.all; architecture rtl of arith_addw is -- Determine Block Boundaries type tBlocking_vector is array(tArch) of tBlocking; constant DEFAULT_BLOCKING : tBlocking_vector := (AAM => ASC, CAI => DESC, PAI => DESC, CCA => DESC); type integer_vector is array(natural range<>) of integer; impure function compute_blocks return integer_vector is variable bs : tBlocking := BLOCKING; variable res : integer_vector(K-1 downto 0); variable l : line; begin if bs = DFLT then bs := DEFAULT_BLOCKING(ARCH); end if; case bs is when FIX => assert N >= K report "Cannot have more blocks than input bits." severity failure; for i in res'range loop res(i) := ((i+1)*N+K/2)/K; end loop; when ASC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N-K*(K-1)/2)+K/2)/K + (i+1)*i/2; end loop; when DESC => assert N-K*(K-1)/2 >= K report "Too few input bits to implement growing block sizes." severity failure; for i in res'range loop res(i) := ((i+1)*(N+K*(K-1)/2)+K/2)/K - (i+1)*i/2; end loop; when others => report "Unknown blocking scheme: "&tBlocking'image(bs) severity failure; end case; --synthesis translate_off write(l, "Implementing "&integer'image(N)&"-bit wide adder: ARCH="&tArch'image(ARCH)& ", BLOCKING="&tBlocking'image(bs)&'['); for i in K-1 downto 1 loop write(l, res(i)-res(i-1)); write(l, ','); end loop; write(l, res(0)); write(l, "], SKIPPING="&tSkipping'image(SKIPPING)); writeline(output, l); --synthesis translate_on return res; end compute_blocks; constant BLOCKS : integer_vector(K-1 downto 0) := compute_blocks; signal g : std_logic_vector(K-1 downto 1); -- Block Generate signal p : std_logic_vector(K-1 downto 1); -- Block Propagate signal c : std_logic_vector(K-1 downto 1); -- Block Carry-in begin ----------------------------------------------------------------------------- -- Rightmost Block + Carry Computation Core blkCore: block constant M : positive := BLOCKS(0); -- Rightmost Block Width begin -- Carry Computation with Carry Chain genCCC: if SKIPPING = CCC generate signal x, y : unsigned(K+M-2 downto 0); signal z : unsigned(K+M-1 downto 0); begin x <= unsigned(g & a(M-1 downto 0)); genExcl: if not P_INCLUSIVE generate y <= unsigned((g or p) & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor p; end generate genExcl; genIncl: if P_INCLUSIVE generate y <= unsigned(p & b(M-1 downto 0)); -- carry recovery for other blocks c <= std_logic_vector(z(K+M-2 downto M)) xor (p xor g); end generate genIncl; z <= ('0' & x) + y + (0 to 0 => cin); -- output of rightmost block s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- carry output cout <= z(z'left); end generate genCCC; -- LUT-based Carry Computations genLUT: if SKIPPING /= CCC generate signal z : unsigned(M downto 0); begin -- rightmost block z <= unsigned('0' & a(M-1 downto 0)) + unsigned(b(M-1 downto 0)) + (0 to 0 => cin); s(M-1 downto 0) <= std_logic_vector(z(M-1 downto 0)); -- Plain linear LUT-based Carry Forwarding genPlain: if SKIPPING = PLAIN generate signal t : std_logic_vector(K downto 1); begin -- carry forwarding t(1) <= z(M); t(K downto 2) <= g or (p and c); c <= t(K-1 downto 1); cout <= t(K); end generate genPlain; -- Kogge-Stone Parallel Prefix Network genPPN_KS: if SKIPPING = PPN_KS generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to LEVELS); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genLevels: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin pp(i) <= (pp(i-1)(K-1 downto D) and pp(i-1)(K-D-1 downto 0)) & pp(i-1)(D-1 downto 0); gg(i) <= (gg(i-1)(K-1 downto D) or (pp(i-1)(K-1 downto D) and gg(i-1)(K-D-1 downto 0))) & gg(i-1)(D-1 downto 0); end generate genLevels; c <= gg(LEVELS)(K-2 downto 0); cout <= gg(LEVELS)(K-1); end generate genPPN_KS; -- Brent-Kung Parallel Prefix Network genPPN_BK: if SKIPPING = PPN_BK generate subtype tLevel is std_logic_vector(K-1 downto 0); type tLevels is array(natural range<>) of tLevel; constant LEVELS : positive := log2ceil(K); signal pp, gg : tLevels(0 to 2*LEVELS-1); begin -- carry forwarding pp(0) <= p & 'X'; gg(0) <= g & z(M); genMerge: for i in 1 to LEVELS generate constant D : positive := 2**(i-1); begin genBits: for j in 0 to K-1 generate genOp: if j mod (2*D) = 2*D-1 generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j mod (2*D) /= 2*D-1 generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genMerge; genSpread: for i in LEVELS+1 to 2*LEVELS-1 generate constant D : positive := 2**(2*LEVELS-i-1); begin genBits: for j in 0 to K-1 generate genOp: if j > D and (j+1) mod (2*D) = D generate gg(i)(j) <= (pp(i-1)(j) and gg(i-1)(j-D)) or gg(i-1)(j); pp(i)(j) <= pp(i-1)(j) and pp(i-1)(j-D); end generate; genCp: if j <= D or (j+1) mod (2*D) /= D generate gg(i)(j) <= gg(i-1)(j); pp(i)(j) <= pp(i-1)(j); end generate; end generate; end generate genSpread; c <= gg(gg'high)(K-2 downto 0); cout <= gg(gg'high)(K-1); end generate genPPN_BK; end generate genLUT; end block blkCore; ----------------------------------------------------------------------------- -- Implement Carry-Select Variant -- -- all but rightmost block, implementation architecture selected by ARCH genBlocks: for i in 1 to K-1 generate -- Covered Index Range constant LO : positive := BLOCKS(i-1); -- Low Bit Index constant HI : positive := BLOCKS(i)-1; -- High Bit Index -- Internal Block Interface signal aa : unsigned(HI downto LO); signal bb : unsigned(HI downto LO); signal ss : unsigned(HI downto LO); begin -- Connect common block interface aa <= unsigned(a(HI downto LO)); bb <= unsigned(b(HI downto LO)); s(HI downto LO) <= std_logic_vector(ss); -- ARCH-specific Implementations --Add-Add-Multiplex genAAM: if ARCH = AAM generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) signal s1 : unsigned(HI+1 downto LO); -- Block Sum (cin=1) begin s0 <= ('0' & aa) + bb; s1 <= ('0' & aa) + bb + 1; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= s1(HI+1) xor s0(HI+1); end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= s1(HI+1); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s1(HI downto LO); end generate genAAM; -- Compare-Add-Increment genCAI: if ARCH = CAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genCAI; -- Propagate-Add-Increment genPAI: if ARCH = PAI generate signal s0 : unsigned(HI+1 downto LO); -- Block Sum (cin=0) begin s0 <= ('0' & aa) + bb; g(i) <= s0(HI+1); genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(s0)) else '1' when s0(HI downto LO) = (HI downto LO => '1') else g(i); end generate genIncl; ss <= s0(HI downto LO) when c(i) = '0' else s0(HI downto LO)+1; end generate genPAI; -- Compare-Compare-Add genCCA: if ARCH = CCA generate g(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa > not bb else '0'; genExcl: if not P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when (aa xor bb) = (aa'range => '1') else '0'; end generate genExcl; genIncl: if P_INCLUSIVE generate p(i) <= 'X' when Is_X(std_logic_vector(aa&bb)) else '1' when aa >= not bb else '0'; end generate genIncl; ss <= aa + bb + (0 to 0 => c(i)); end generate genCCA; end generate genBlocks; end architecture;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity op is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal vbias1: electrical; terminal vdd: electrical; terminal gnd: electrical; terminal vbias3: electrical; terminal vbias2: electrical; terminal vbias4: electrical); end op; architecture simple of op is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; terminal net9: electrical; terminal net10: electrical; terminal net11: electrical; begin subnet0_subnet0_m1 : entity pmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net2, G => in1, S => net6 ); subnet0_subnet0_m2 : entity pmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net1, G => in2, S => net6 ); subnet0_subnet0_m3 : entity pmos(behave) generic map( L => LBias, W => W_0 ) port map( D => net6, G => vbias1, S => vdd ); subnet0_subnet1_m1 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net1, G => vbias3, S => net7 ); subnet0_subnet1_m2 : entity nmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net7, G => net1, S => gnd ); subnet0_subnet1_m3 : entity nmos(behave) generic map( L => Lcm_2, W => Wcmout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net8, G => net1, S => gnd ); subnet0_subnet1_m4 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net3, G => vbias3, S => net8 ); subnet0_subnet2_m1 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net2, G => vbias3, S => net9 ); subnet0_subnet2_m2 : entity nmos(behave) generic map( L => Lcm_2, W => Wcm_2, scope => private, symmetry_scope => sym_7 ) port map( D => net9, G => net2, S => gnd ); subnet0_subnet2_m3 : entity nmos(behave) generic map( L => Lcm_2, W => Wcmout_2, scope => private, symmetry_scope => sym_7 ) port map( D => net10, G => net2, S => gnd ); subnet0_subnet2_m4 : entity nmos(behave) generic map( L => LBias, W => Wcmcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net4, G => vbias3, S => net10 ); subnet0_subnet3_m1 : entity nmos(behave) generic map( L => LBias, W => Wcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net5, G => vbias3, S => net3 ); subnet0_subnet4_m1 : entity nmos(behave) generic map( L => LBias, W => Wcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => out1, G => vbias3, S => net4 ); subnet0_subnet5_m1 : entity pmos(behave) generic map( L => Lcm_1, W => Wcm_1, scope => private ) port map( D => net5, G => net5, S => vdd ); subnet0_subnet5_m2 : entity pmos(behave) generic map( L => Lcm_1, W => Wcmout_1, scope => private ) port map( D => out1, G => net5, S => vdd ); subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, W => (pfak)*(WBias) ) port map( D => vbias1, G => vbias1, S => vdd ); subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), W => (pfak)*(WBias) ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet1_subnet0_i1 : entity idc(behave) generic map( dc => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), W => WBias ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias2, G => vbias3, S => net11 ); subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias4, G => vbias4, S => gnd ); subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => net11, G => vbias4, S => gnd ); end simple;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1984.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b02x00p02n01i01984ent IS END c07s02b02x00p02n01i01984ent; ARCHITECTURE c07s02b02x00p02n01i01984arch OF c07s02b02x00p02n01i01984ent IS BEGIN TESTING: PROCESS variable B1 : boolean := true; variable B2 : boolean := false; variable A1 : bit := '1'; variable A2 : bit := '0'; BEGIN assert NOT( A1 = '1' and '1' = A1 and B2 = false and false = B2 and A1 /= A2 and B1 /= B2 and A2 < A1 and B2 < B1 and A1 > A2 and B1 > B2 and A2 <= A1 and B2 <= B1 and A1 >= A2 and B1 >= B2 and A1 <= A1 and B1 <= B1 and B2 <= B2 and A2 <= A2 ) report "***PASSED TEST: c07s02b02x00p02n01i01984" severity NOTE; assert ( A1 = '1' and '1' = A1 and B2 = false and false = B2 and A1 /= A2 and B1 /= B2 and A2 < A1 and B2 < B1 and A1 > A2 and B1 > B2 and A2 <= A1 and B2 <= B1 and A1 >= A2 and B1 >= B2 and A1 <= A1 and B1 <= B1 and B2 <= B2 and A2 <= A2 ) report "***FAILED TEST: c07s02b02x00p02n01i01984 - Relational operators true table test for data type of BIT and BOOLEAN failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b02x00p02n01i01984arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1984.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b02x00p02n01i01984ent IS END c07s02b02x00p02n01i01984ent; ARCHITECTURE c07s02b02x00p02n01i01984arch OF c07s02b02x00p02n01i01984ent IS BEGIN TESTING: PROCESS variable B1 : boolean := true; variable B2 : boolean := false; variable A1 : bit := '1'; variable A2 : bit := '0'; BEGIN assert NOT( A1 = '1' and '1' = A1 and B2 = false and false = B2 and A1 /= A2 and B1 /= B2 and A2 < A1 and B2 < B1 and A1 > A2 and B1 > B2 and A2 <= A1 and B2 <= B1 and A1 >= A2 and B1 >= B2 and A1 <= A1 and B1 <= B1 and B2 <= B2 and A2 <= A2 ) report "***PASSED TEST: c07s02b02x00p02n01i01984" severity NOTE; assert ( A1 = '1' and '1' = A1 and B2 = false and false = B2 and A1 /= A2 and B1 /= B2 and A2 < A1 and B2 < B1 and A1 > A2 and B1 > B2 and A2 <= A1 and B2 <= B1 and A1 >= A2 and B1 >= B2 and A1 <= A1 and B1 <= B1 and B2 <= B2 and A2 <= A2 ) report "***FAILED TEST: c07s02b02x00p02n01i01984 - Relational operators true table test for data type of BIT and BOOLEAN failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b02x00p02n01i01984arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1984.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b02x00p02n01i01984ent IS END c07s02b02x00p02n01i01984ent; ARCHITECTURE c07s02b02x00p02n01i01984arch OF c07s02b02x00p02n01i01984ent IS BEGIN TESTING: PROCESS variable B1 : boolean := true; variable B2 : boolean := false; variable A1 : bit := '1'; variable A2 : bit := '0'; BEGIN assert NOT( A1 = '1' and '1' = A1 and B2 = false and false = B2 and A1 /= A2 and B1 /= B2 and A2 < A1 and B2 < B1 and A1 > A2 and B1 > B2 and A2 <= A1 and B2 <= B1 and A1 >= A2 and B1 >= B2 and A1 <= A1 and B1 <= B1 and B2 <= B2 and A2 <= A2 ) report "***PASSED TEST: c07s02b02x00p02n01i01984" severity NOTE; assert ( A1 = '1' and '1' = A1 and B2 = false and false = B2 and A1 /= A2 and B1 /= B2 and A2 < A1 and B2 < B1 and A1 > A2 and B1 > B2 and A2 <= A1 and B2 <= B1 and A1 >= A2 and B1 >= B2 and A1 <= A1 and B1 <= B1 and B2 <= B2 and A2 <= A2 ) report "***FAILED TEST: c07s02b02x00p02n01i01984 - Relational operators true table test for data type of BIT and BOOLEAN failed." severity ERROR; wait; END PROCESS TESTING; END c07s02b02x00p02n01i01984arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2500.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b03x00p05n01i02500ent IS END c07s03b03x00p05n01i02500ent; ARCHITECTURE c07s03b03x00p05n01i02500arch OF c07s03b03x00p05n01i02500ent IS BEGIN TESTING: PROCESS function f1(constant p : in STRING) return INTEGER is begin return P'LENGTH; end; constant C : STRING := "Testing"; BEGIN wait for 5 ns; assert NOT(f1(c) = c'LENGTH) report "***PASSED TEST: c07s03b03x00p05n01i02500" severity NOTE; assert (f1(c) = c'LENGTH) report "***FAILED TEST: c07s03b03x00p05n01i02500 - Evaluation of a function call with actual parameter expressions test failed." severity ERROR; wait; END PROCESS TESTING; END c07s03b03x00p05n01i02500arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2500.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b03x00p05n01i02500ent IS END c07s03b03x00p05n01i02500ent; ARCHITECTURE c07s03b03x00p05n01i02500arch OF c07s03b03x00p05n01i02500ent IS BEGIN TESTING: PROCESS function f1(constant p : in STRING) return INTEGER is begin return P'LENGTH; end; constant C : STRING := "Testing"; BEGIN wait for 5 ns; assert NOT(f1(c) = c'LENGTH) report "***PASSED TEST: c07s03b03x00p05n01i02500" severity NOTE; assert (f1(c) = c'LENGTH) report "***FAILED TEST: c07s03b03x00p05n01i02500 - Evaluation of a function call with actual parameter expressions test failed." severity ERROR; wait; END PROCESS TESTING; END c07s03b03x00p05n01i02500arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2500.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b03x00p05n01i02500ent IS END c07s03b03x00p05n01i02500ent; ARCHITECTURE c07s03b03x00p05n01i02500arch OF c07s03b03x00p05n01i02500ent IS BEGIN TESTING: PROCESS function f1(constant p : in STRING) return INTEGER is begin return P'LENGTH; end; constant C : STRING := "Testing"; BEGIN wait for 5 ns; assert NOT(f1(c) = c'LENGTH) report "***PASSED TEST: c07s03b03x00p05n01i02500" severity NOTE; assert (f1(c) = c'LENGTH) report "***FAILED TEST: c07s03b03x00p05n01i02500 - Evaluation of a function call with actual parameter expressions test failed." severity ERROR; wait; END PROCESS TESTING; END c07s03b03x00p05n01i02500arch;
USE WORK.ALL; -- Search for components in work library LIBRARY IEEE; -- These lines informs the the compiler thatthe library IEEE -- is used USE IEEE.std_logic_1164.all; -- contains some conversionfunctions USE IEEE.numeric_std.all; -- contains more conversionfunctions ENTITY test IS END test; ARCHITECTURE ALUTest OF test IS constant width : INTEGER := 8; SIGNAL a,b,q:std_logic_vector(width-1 downto 0); SIGNAL ctrl:std_logic_vector (1 DOWNTO 0); SIGNAL cout,cin:std_logic:='0'; COMPONENT alu GENERIC (size: INTEGER); PORT ( a:IN std_logic_vector (size-1 downto 0); b:IN std_logic_vector (size-1 downto 0); ctrl:IN std_logic_vector (1 downto 0); q:OUT std_logic_vector (size-1 downto 0); cout:OUT std_logic); END COMPONENT; FUNCTION to_std_logicvector(a:INTEGER;length:NATURAL) RETURN std_logic_vector IS -- This function converts an integer to a std_logicvecor oflength. -- To do this conversion are conversion functions from -- the IEEE package used. BEGIN RETURN std_logic_vector(to_signed(a,length)); END; -- The statements inside a Procedure and a function is executed in sequence. PROCEDURE behave_alu(a:INTEGER; b:INTEGER;ctrl:INTEGER; q:OUT std_logic_vector(width-1 downto 0); cout: OUT std_logic) IS -- This is a behavioral model of the ALU. VARIABLE ret: std_logic_vector(width downto 0); BEGIN CASE ctrl IS -- width+1 for compensating for cout WHEN 0 => ret := to_std_logicvector(a+b, width+1); WHEN 1 => ret := to_std_logicvector(a-b,width+1); ret(width):= not ret(width); -- & means concatenation WHEN 2 => ret :='0' &(to_std_logicvector(a,width) nand to_std_logicvector(b,width)); WHEN 3 => ret :='0' &(to_std_logicvector(a,width) nor to_std_logicvector(b,width)); WHEN OTHERS => ASSERT false REPORT "CTRL out of range, testbench error" SEVERITY error; END CASE; q := ret(width-1 downto 0); cout := ret(width); END; BEGIN -- The key world process means that the code inside the process -- is executed serially. PROCESS -- These variables are only valid inside a processes. -- The biggest difference from a signal in that they -- are uppdated immediately. Not at the nearest break. VARIABLE res:std_logic_vector ( width-1 downto 0); VARIABLE int_CTRL: std_logic_vector ( 2 downto 0); VARIABLE c:std_logic; BEGIN FOR i IN 0 TO width-1 LOOP a<= to_std_logicvector(i,width); FOR j IN 0 TO width LOOP b<= to_std_logicvector(j,width); FOR k IN 0 TO 3 LOOP ctrl<= to_std_logicvector(k,3)(1 downto 0); wait for 10 ns; behave_alu(i,j,k,res,c); -- Assert that q = res, otherwise is -- the messaege ?wrong result from ALU? -- displayed in ModelSim EE window. ASSERT q = res REPORT "wrong result from ALU" SEVERITY warning; ASSERT cout = c REPORT "wrong carry from ALU" SEVERITY warning; END LOOP; END LOOP; END LOOP; wait; END PROCESS; T1:alu GENERIC MAP(width) PORT MAP (a,b,ctrl,q, cout); END ALUTest;
library ieee; use ieee.std_logic_1164.all; use work.arch_defs.all; entity memToRegMux is port ( MemtoReg: in ctrl_t; aluResult : in word_t; memReadData : in word_t; output : out word_t ); end entity; architecture behav of memToRegMux is begin output <= memReadData when MemtoReg = '1' else aluResult; end architecture behav;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dH+/VZBuaqXYna4Bijk3T1yqHFFIP6LnjsBzX1mH5aHXfyWL++vRrdWfuK2jdXeFIbwVMRLHEz7R EMTpE7+RUg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OQ0656nsUUtiQAH8jdbt8LIjJvzHtO0A0lW/mk+t1K67nGkBuXhidmC3Dpn0uWk9NHP+iND+O+pe EZnHiKrMb4nBkx3FeLAiqPTyf1DGDqozKZXAuK9nEBZOpttApJLeqkMxy35UQa62rQr3nKBb3qAv g2dEVQ3GnM8ofRWKnSY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rGjRrFsSVtbanPDTPtCG7jEm2Rmy7mp+sfXWdRO5oZCnZljThC2W2F+DKasmnu9RnBN5NntOclb3 /ghsvIwquJHaP24vfsr2I7iam06aij3jBZENCOGEKB01lt78kIbBj7yh+MJeY3JEKjBhrDeOkIzN Kf2uDT16KBwZrCM7ZvEhcPHHkrC63qLCF8nkbZxaVCcaK6ymQnvEPsN8XqMVWODbU5fYPZa5W4sZ 9KbzRR4TrKu0p5uJM4xUitTbwftvDE3xVCOV7jJGnNziRR7oOwxT+DRV5NtIMU2VEttMAN2gF/Wg VsAR2haj9t/xvUO7Avu9G1u2859wR1FcJshjZQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SVL+F9n84vFO1OU8PAKU2ZR/yB8fLnfEK6m9gudJ9iZWjBnx01kvIgKsyobPmZTV/+Z+euQgB4O7 lkaCLuZJ8BC/svi+nEH1uaMlAxlziKJcp4KYpEpCrAC09Y7kMhPnD18NRgsUhqa0vw3JsapW+jMy cWvjq9Q0LoI/MBPRRAM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G5xooBDRSi0leQa+UWB3rN5JyS2mYr84Bh/YJkc8v9fHCDHt4fU2hevR86owzzJNMNIJE8gcdDRI zoD3822e36culvsi9coPKzMHWFmYpIihJh0YnPrckAAmBFeyFuLCu3PenNPSu+3+NbK4G1jL9BlL vxcbd1v2ZekRc0IMc5pDemocl0Cv7wFsd7n/KULAg5fuoYpCMky5hrh6VNzUEcwdGj7c/Z8MqLu7 wZLnyg9pR6DVZaLoqz7OEjOLSe2JBtdiStil20k4eSCWSuxrDKqoUTAufzi6LWY3Eav6lC96dd4M AT9sc5wKXjJ1q6H7UVyGadfIrura0XreELI0Lw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464) `protect data_block NwM8GAK6tHEUFUEkrb/E0b9kVB5jf2mlfAjC6kW0/cPViirKCGIbYPEq0h4EjZ85VvKgV+BXVFDO iVtrVezlTO2WVf4OUm+/ZmO3isXHOXuKDZgfURQ7oooZKjbIeHGpqRCHkrmh7ZIxEagH6BxoKouy CnUmhmGDYMYPdqtsyCY654aDDu5FmkE4zeeAEV4w9D06NvIz2mZ7xpLgM0SNRrA+m9RfX4Ejlclr azaCoDZ1MzBooF30zcJmHctTFH0l8tEU73BfihJ/tp73R+HpR0Wdm2kdkW6zQ3xKj7LI60hsyKRi D8jl5oOny1erFo1DZErQi5KljdclOe6DYsduWtq5/KvZ0CZE05Rh+FBJ001LL4rmRJOXXvIp/Oju hx3g+kk2xaQGbshqVJlhnVfl+zMD4Egc8QDn9/TWJ3NpgC1XYBJ7x1nc3huIqjwDZ5hF7mA27uun b5Q3+6NrVWoJLDRUu5fq89ShSKcm5j9FYds6tS6Gjvj/taN/BsQhS968beCguoal8SJ+8woA4mg9 BEeHKbXZjuGW07v0Y0pKf4ZUyHZmnVPX+Fedoa7j44+TcxukzMCRn8EBMYLL6LQJXBG93LVJ+fdl sytgwfH0C6LdWMsieeoWtfXAJAkBcfkmi94pnc2jzgcyVaUme9sdFwq/WnwB6vUCr7FdBCTXNkbl IkzcMnK1u5aiaTZouaEHcLmLJvwCuE4geuGKx9zSh5I2fXJxzUxPZGkZv/a/EPdK9H5LlgXKZc1d jDYDCMhWPKhF34Ww4Rn5bIf/3B67x+Z8Axd300SZOpjpejKGJ0v4LYLpIL4FAxXjmGiHKoj9x2nt 4yDidy2xSV8jwTYMbOsmecCU1e9uCdpb7OjyBrOGEjkgzF9SjkqnDWK52AM+ehXlAN1qb5x0O7+I cCwP/uO9DHzM4KzU610r7oKBo/6Q8roQtUdcQ8gmG80jWBVlf5dFFctyB6cHlOcFYJKRoalYuT9p S822LNhC3xQUqnjFOFNdnzKD0ru1MDfVcBkBjtSWCPogef6BEpb9fXl4frjqDwIt1R7OwUaZWNhf yXwlD9rp5FU72cUdv1RZkC4yc7KzMMPWcuBgqkIjn/o3iiUcq9+S/iEQk3RXPcwewotnfv+LtMkm ibUpM0MwatgsmvxoB2p4JaBUez3caLoWqtgVkdtbfXVOBzwWfy0QtenfE4OqNml8MZM1IAjUtdoE l6ElFEJaJIT/cUA26eP5WbMkzJYtdrIvaEvmcUdBDl1GZ2sSCcTmtGlB/3QHzXxvzxRh8E3ehcQF 3psuqeNSva4v1TEphDVKWPtLBFFMuKTxtv47ck/dVpY5Y84wID/L+yhEGH51wMTuZCObRWIT+HLY 1LSi+vzv1z1gTOLD98Kya6em1T2amZ0EX080gsF/pmuQweAN/ZwJwBQ/FHbaCRQNOPzvGz8xxYtF xc5txOmtmB1kvq4G5rCLt77rWUFd4PBBjvPF91Tnkhgknq+PkFoXgVsGTgjy5OeXmlK+AIayrK3j tsDJOA8CDbcguNfzMRQbj9QUErB07US5TTaC7nFZrTtqx7TzPaoV3MDN0BRqLgo40atLb4AfVYHM q1bjEkDcWZGdX4MV/+TNRyDYd0FnuRhkDDNGVKvQkx0XXHEjQ/hOEQi1zkD49yI/8KhilHZHfV8q jKmkbXDxstPc5CYGrpPLXXoIk+uTvgGpr6egQO3iEuSZlw0Gx77Mxp1/alWUTlgfZ2rGyOqssVYF uwzuJe4t+PCInBaYkoiBySbVYXq27sJMKVUXbwYQKca5ppP19W5nKe5ZKk5lbypyJPZMcZnkOiHD oaSpjbIx4BaTdMSIuEjblA577g/LhPLP/mQTz83/hvlSngWWMSiIbgoLv5ta24VK6Of7mRM/Ke0T H0+9iOhjGTWyD+tnTe4uHGb3WLyXItUnK8obzMdtiE+aah7+FSvWvmK5wxZy0oUvzWwI86fUg9Mz fXZcs+tTz3hscKe4hjdVnlqZQTbbAmGdzaSGSpymLZRfBvTtb4GwHYgq1QyBoSK0QccahNQNtQib zD6lgkMpXu6Cnfc00Kti84uleBTCBcjBHeRA8/iKBvdvbLROMVOSr+wdEWI5uZ8Z3vA8lc4/U3sm E5FRV9LmKTqL2gXmygXcBlH1JTLmq1jUoi0XcOQk1M0vWrJqoPrDQT0/wgfpBP2I2ag+9BW9oa1y jWTm421+WQp95tUB36i9qrRge0d9CHNjr9EEEOAloyihhoVRjyyYb24VFHwrLs5Gu7zv2pKzqkl3 4HVLmAXjis5XWlq9Vyxt5YR/4ciuJWIofePfuweXB6tTFlR8mL3UZjBav6Zt4geJbUKJzPNVen40 CsjHo0pOaPe8xtxYCZCbNhtqQLMMUfNFi4ts/4eu1jROL4i3m0t32ubVLO0TgYaZsmLh5AN8p28V SxKEHEOWYt/VqUEiionlWnVIEllMa1sq/a3YJ2gu6q9vjuam2l7ROM3prav7uF+AkjmcOMujMATx kv6wQVKmkGq1AYbM1WUw1XN2NvFSsw0o7rQzDb7RFPkoYaMJ+VYeN7RkE+nJbajPbHucNS9HhoWH KzJoE5MNein/r6SeNT682j4KEO0GGgv9tkPDrsqz1A8xzBuSJGczhQWLcx76d4O+8gTeYkpwrhTx f2syN0l0nZRjfQ3lKnbf+2SG843PLgpL5mSag2eQuibkHixfvX0ENhLUOG495Tmfam4F0h4qPqAR 96YeUVnUn5c/OZZYw1oylrORYeXRgB58Bi5rOvB5hhXdFV+icQQclergXX8tCxyCRNkwRWnhPjoq XiEFZZ6ggbexkpZdezkUJBhTUlBvme5QF0ZwSsntgklQw3oIyQWrFyLGEKEhD9UUHyUGC/vqeSvl q2UfDm1el1G8XwRtS3LenCPRq5CosUJuM+QwvwzAIx7HECukaIK5WUhbacWl9OdzAUUxUfPdYBsQ 7WzhQ02KtWHPxyaNZl/tnQsiBASOsLFdS0eh6GYcEYI4dGDVyQjiu/qkQEISNxMNoRINRvj6/ahJ LgtQ7AVJVQzkErDEDtIdgTeVVzKigUBdj3nTxe5/uWu3K34uW2+leIOm4UBFEo5SE8O6Fggx0IIy Yqlr4TJLZ+dERtPgEGSvJi+N3vWc+j3PJD/A69N89FyHCkdjuwgLSFgii7dehNic1oFR2/rfnHmx DzTMYsgXivOWjySBC2ZiFJ+5JsCRggvm5gnikZwEnw4oMToYMGn/WFKNYI1cpicu/TsdownR3/NL KmsZPX8J5/G2yibmESP/9VGxQLCvHXW+QDms+8MDsaV/zJn76T4OPfFmEdkC27ds7ua+8EckXz1T TmPFrY1+9F8u3+9ZSKCw6arQEH/RIG+Gd/BteXq/idV4qSpUX/jzql3REd5CYs1x73/GevBfBwU6 LLnAIIrzu2tdn8lS71xcAOe1YPupbeYhe3Ufvobj3P18C7pJb2LAINu80FAV+xySQLTmw+CUXCCa 0+ApgK79064XVZKHPQR+PN9RmH1IU9nVdy+NRc2N0Cs91cuthJiG/Uk8y4LpqqagrzhYxf2QU2i6 fIm9Uh0vMhxmWSXdFfudVNt1BS7PprRML/srrFudyUuloT3lZZmxYxxwewJ3vsjlJ5i2pQsCVhEi ZHT3ta0z0wM6MJOzNFtWhiXgOMZ5XseZvVR8K21UmshUJIIWLlvheAu4k2ObsVHaVpuy8MikzUmp 2knGN4K7s8vuVlrCpcTJ7YiZsSy61PM6gOUVD89hekxI+naFU4sMT3SmujiAIs4+EJmelVH9cb+j nWRDDedR3A0nnZk6RYmKT0vKvy+qa2WIJXkbiEyVu4LJfVeRjMxHR01b9yOVduuSpxx2RXECZUoQ cw4gBfFOheuSJZCRHpwyrA3S3ZgLuBbtESiBEOgUj0kpFPaGSa6aESMZh0+RIosq5lEknScM43UG zzaGVRMFZ00y7OsQQmQ6fbUfdhlU1Axtiz+1i+XnjTBPfC6FUtJATmLtax9ybnquu9rCd1CizYMi qTOPFCF3aF3sbU1Rb2uCqUwIZm8tKtXGeNj8dVtded6hprUhgTljZpB0cv6WroXLPEnt/r9g9ww6 58XvE1deL41EsSTLUBGzScEB475fyoIntNb7XY/93NCrgo1pHwATMdYuXo268dZipdEd5m1Ekg/t MvytlRqFZqIPSjY7XERd89t4i5OMuZkrlPXeaARzH7iVTKoXJnrn8VbJ+mpuvKcMOz7pmDsNfCPs OR2dzCjg3vUSk1a6YVDpOdlr18VcxrQySTgRdIwhK1GKk56c2mtimPi1TBK65P8WUhg5Ssdb/iSK 6cEJO/FaO+MrP61v6l3JTpUenKsfsh6jk6jcXpOHmheJiIO6e72TwPgOYIDl/C0iMln2I7gA5n2P WtglUAI4NVHLi92CNfwszoELrZU3oxqEYiJ5dxDC3lVmOwvdWIM3r9v3jdLx7sWJtvNdlAVel9JD uOdYP/P4ROWZu2SQXcHXgEdvwJVf8pXs6BkW9cJJkMRdsRB8YtFZI88sPkFHWYMoeildUKz4ZvMU wcGBmJDdz7Z4bt04FYSwEt6S9zryrhCPwMAs9OgztzCKJXkyhRVdk+mjVIg4Rp280aDxbetHpMRJ hWFXdl+/LBheAAPt+66RrfoPu6UuvD7aBenOEJiUZEdyEVKyP07QTGncrV3EdlrnJX9vw81IdmTA QLGzmkr0pCSq5zTYBMbKUVwS4V9WwULxTlsHBqrVIMy0UlSMrdW9cP4Ov8N/wvlCuqJP7sfOh0tf jQF+1yxfbKnXM1AAx4u2buiTyk9SwOdoPZvMmYVkBJ0bJKFcU6SLIHcRcbe5PRhYwRSyfP+YA3yS UuKxG2gH9zg51a+aEUln5z8W6Y1z/fxJdrL7qyMNVkT2EoWIeb2ebGDhx5J8Lrq6p5dxaUUVtUBV kGjD7OSOmWro1jaASpDGjGepWECua8ZzXeP5B7CjyeLhfbInL1MKWxLkLi5O8XcBvWinEE0JaHT8 j6Lg7/iYwwr6Q7VNNh8nA910GtFxck3ooBJoSo91t7oVKRN0W4Tr7onjvPTo10AvzS37vDQGsA3Z X7yBl4S7U3b4i7m1JXShEle2VifHqbrCq7VVQqWwEEy4BkRFUePzjTqyMhw8L/RTu5MhRC0QPQoY zFNf7ySSgIBFOz5+6e6nL5t/1tHEOWH6NQ1ml4ZeTsmyJZpc3NAUTzzQyssGBM6SRRokQS0Zjthe KBoyzURPCB2/H5w5ct26G0atkEhffMqtvxQAU7AKnpGwuP4ssAgSwU86KDW9yvDK/+MPajaWgdEx 4tUOxRQS3A1Dta0FtbwYLEyFYL8uNqCLDtGlW+P+yBh7WkI0OaEtn43EH09Knt32wMjLuVLIYdc0 AiqoNX9hCLSQDwhvf0N1Lhlf5Ae9v6/aGWxhgot6bgI0soCcY6r7I6h0gomx6KFwthXBwMsQN1La aeX5LYQMRjZD8UolbrUhUb2rlERSDjlBzq/Yb+tFSvgm5Kkbt5r4s7NCdrVA/jjPBD7IQkKAL65E C19HY4MZUbHJb20qkEsT+CYqn12ABcFDseU84PS64ZAeAg21pZpM81dnCUu7rTTLDx7x2Nvg39p8 vf2ubGOSbstM/r5ZnilN/0hvM+7sDHizRRnf0XBqULHNpbMsoW8UWMpXTLQE8qjOqBRKhgAlZVqv DXyfL5vWR1GI8iVYwRV9YvzovZeLMWa8bHApB3zh5mV/Vw03sbxinUxpYw6GINvVeFIni2URMtgZ bE8MvrZj/yaYGnHuZISX2SPX8w+TA+N4NKczK97Nbn0LnBIxh7XuOZ1o9Cl3voGb6RuvgDTu9Ysb pjnSnnB9hnv6KzmmSYudLrngzkjlBbg82IJIs3begG4GZGU/ymleVX5R9JJxcLVvJhI4FVASL1XB K/ao7xYDFHRX6YRKHpvW1RoTVKANJAzTqSJE57w0Lr6zYoaXrmEq0wkLvNcSCfd4Gw/d1wh4t0ud xGWb8ye3xVnIt9SZmshBzCVwP1E7dEttE3Kns8rIDHR4TbBySRksoMXtYPHdnYlwdCwCAP28th9S sMIv/2mk2/0cOWGGRZMZHFNQE4sOVBNQRACyTuRcUikw49nbFBLchkvx3PTwDpkGmWqEsjnRC0Ux FR3BSmCV+zmOuf8Tlr0krYMosVW0Y/xFqicm5RipSTyyGyEwf/iZHPTn7uTISFtYfCHTQz1EAQRP 5TiUys1jBFqna3qoIIoBu//oHGbXCv9/SvV8XTZ040QkPygLcv15wa6mZoxUkVB4YnGbG5CtzXIc 2TE/X2+Z0TQuq1rPwj1YwicCpGtbvQsxkKZ3qM/vVqjui3cAxw8F1wHkQ9sYoxFUnhHO6NdxnO2q tY0azHmcSdFsEhQNxM1kGGOq3FaUB9nC9GCA423g8neLysMNxNEEkBM1hRZNXzr4iehX/55KjR2M W9VVlK80+gjh8RXXu+6WDyTidDSTp/MJwQ+s+P7wgNCtrAJ4Hcq96pljmN+48cSpGOji2dYQzoQX XIYo6LwnQqdJJzi24satgUu5NPngER1JyjHHBVgn+gmdP9iHJrKd+/aDkhnxssQUSLEYfrzkGPVY zmVrF9Iln0QU/ywzOVAxusDpwU5PklltC9Uny7TmpvbTvlPP3Wqy/AaGP94pvnag3IZNBaPXHEFd VTBYO4RoLAYHiXNjYlLqfGKsIrnJmyrSUSOnwgKRPe1/A82zRZiLr6og2CTteIZ0ZSP8fW81t9Ac eJqN6CkONgDyEBg3HQeWIQoTfvDu+yB5iBDqatdoe3oS3DK3TH+M2vdt/Fru8lwb6Xf6qZCII0NV 1LbwAGGc/bZl3kK0axIK0Zj/gaZ58Qk2PvgV+LRIfmKZ5QOQ8c08F3tE7Yj9vAS+AYju1NC3RQAJ AX0mqKGIXCsPO+Bl7880kZBL0wqvwFK6yvhXpT51aZ5oggKcOmB1eDRbV7NOpJ8vKjlot/LgWQCj sZSBrg4fOD3ckNoxX00fdG42epWnPdrGXcD8UgV5JAqPfTf3BKbDjtWlCKf5HVuQ0neiKmhdRCqB 5I9A8yX2ibu+0yaG5N9UHyW/MEdC0/Cr/LoJtuDkBrn654K1RqsThv/Xik4A1M+G89cmqUER+BAw CdIPnpQ98k2zH7cb/ozaZTy+ubY/lfZtZPLFFxFpTjxdgrWEIPACoGNGGuAWKcUrJZJYoKx4Cpw2 ZHcfIr9pz461S7HqCGS4Sr4TzUjFOX8etltJXEEZw382Tlv0/UcQGLK1z6CPOH/S7iolgmFF1isW OtQgWCkN/cTeBMDsdZrIa3leITjW9so1cyxJ+P4f7r3i4KTT7ks6EnU4ldkzK4K3xwm4Jvn2Dm+j Sc4AFuewA2hWEMYSpuZ8E8xNF36rXqlKfW64ycR5gqNOyzJvbejzk00N9snoA40mx4J3742rOBUm 16DAcecRmjJw46a7XylK1o3oIppWtKBZmkiVn5Wy2rHftL4ryBHm3XV36ELxjp03HtHqHVlbrZVf VKkZs1C1sE1Gx800JywESDKLhomcqHsTdQYsJ949YDyMb+J8wSirBvUzJqUdBF+8kOs9IPl12FWx hYyeV9RxSfkPJWoUBbGu9qywBaFvNU/l3WlmZGEedTV2/jc27aj6qcdYZoNutdYmZ66/uAf5SGZ1 CYAFZ7ynQyf/CxJ+PFH5qYykmsYjW0pUj3yAbKxXWTT1bJhCQmY+BjhNkFDwEHclFhJiCu9sCONn vhFu+ZqBhwdDs9vLfudkOUy854lUqv1LttGapnGjjjge43cO1GPEP6He79zInOM9Em9f/AF/ACmj tJa0nt87At56my62If16hecxbFTWOAy488plYoPplTDSePpzQ9Q5KZbEV/L3ah6HFWjqUO3Y2YsC w16gGKdC9aTMXLHxQnHxKCMYq3gN8btYXK6fMeQxEzxn6+1/DT8bruPVZoigKdqPsm1UxCekOwV/ XGw86EeRWcKLAyVZwdRrHk4fAtqDzTOeIRQ8iLM7RBi4eFAqIGR/UzduxtuzAIicFTxf2SwDrnPa B6h1KBsxmjF87iKrdtG6x7CYStpH2grYc/t5G4ul4LyT81OnODhkrVAC8KscYaJVEyiRkVnGHVKK 966jVadb0QXyZYOa+bRfFpirucpQXRTUJzjbIiwl+ROaYU3LviMs28Luoun366Somln+KLHfm8Bk N7n+nR3xeoKhrz8iOmYVV31ppCwknk85svczR/h92jH9c3fwrEANGhsRQ9Fjo+P2E3To9Q0EOFXz qWaXqUD+WSiB1r88L/6+XNgjSCJpwks/IWbyg4m7GToeF9geBVL9QwJYywSzKzZ0K3PJPfhj3qYm T6KGfrp3axCstt3+voeGzXsy26hAwvBC2819Aar5WYeh1k7eE5ZYEhMw7z/ceh3Y4SxfXOFK8anh lFzH4S8FqimR22pVSQhSrwQgr98zzgE8QALFIY9rA0vNufVuRaFEipbPJmpjqPUF3UCfW0MyBik9 d9SoePTr6Pm66/NWHIAMAebKws6/Dc+9GfLGhrwTYZv9vNBHUaPme2x90VfXmuHKAWFKyAKkv/NM 56CU5+Gixa+UIKPvfr3d9PyUvXoFdBzuaPLyb2WDXwRpfSr7Zdw/FJKxMRz2zLfsV/+udb4jt2Dh aohabFHBgte7UXol0I41AfrbM5zEApRpDfNvT3NsPd/9clIphtIH+feWbnsciO881f+GXAt1qc/Q R6B8P09b/wXJ86WmP550rsES1fWcWaYNXc7ZKAkj7o+Sw3njztwoqlKf42yFMsFOS2dWGFV5F9A9 y4l2E/ace91UCkNFWg0t3qbKafs8dYDZiTDsNXtKMk4FP+61Y+orIN/HgniQuawm+sRg7FyGSS2B OBNOuiAzJabNufdcE79Wgd9aFoEfHopnFVsjZyM3aquXFg3DpAAd6fSfNzFQI54SqvhLIIz7fxLE CFHWGrQOT4duHqOFAgamOPSn6+lPhcGMDp9KfMhYJqkQ6C6ZdW2Rs8u8EL1sLZ9CqB5kay0V+LZJ fqUfIa/31tzvCJwf6hSVuX4UcmSO9pel9xNhVg+FOsHyvNasNqoT5PExaiSgA7t3GpHWEIJcUJfR w2xZaHNA291tuzap5D/gxLaIVF6EexdCr9VMOgmR7LUesUY1/T/YNIIBzVksVp57SRMMgs+njE+X X9iuus/UW+dyDa3+V9yNmCfE3PJ9yFdR4bnqf8b8Ud3ZOiHeMQPKWCu4osQiPy7Hkkf5WgF+U8OC O9LPOLnuLMjXep5QxNIgOUBDibA2WvrnTXUIsic5FZDDB3wGuqXHIPY3zwnLvny6NoQmSGgTyJG4 ZID9onHZXgUT6tl2ccYIlHaudWPKZ86bYatZSMFSQUXGl8z42AidG+M3s/4YJBiFx1EsFz4baNEC K1UywhrFNwkIizvnLUHZ6/xCOjqbaZyAGr5fB2bG5hcz+7pQjWUrex7h77MoYse6lUNBiWoTSuHT 5T6sKk8TmxtcN1ffcf+W7oFAXXbCl4jVsihqUPU2V3CIV9vaxC0vlRPk9N7VYgv6JrsS5yJIZSZF dBKJLASK9PczaAl3cUJr+PlDCCcYM+S9InzYxkH1Pc1JI+NicB05nMqYeI0gxUEB61jUIaE0PvmP QG6u+d+TGZMxTJBWCHEQNN9Q5h6DqFQS/fZ55d+ZGTUzqbSljFmDyE3qs1AQtyXPBcNbHlEithM5 PyI5wwN9h6qK+FRdQXEDymgO6NhBrl2aikcoLn+xY3nujA9PYIXtr/rjA06B+KNq7je98JVF44os W2pV29+TkWv1y2aDBGrIslaJ/oxr+EW8Acxek5DHmyvFgQSMYRs9SpytHBr6qXN3woEv6WxNylfX GIhU/26yctZZ6SWYktxSWmKGBCyHD0XDqe+XknkPKCVCEYH/Vgon6Jq6E+pVNqByJwMO6zCGb7Cj +H+DqMcTT0CKLdwXq5vjvVrlXUe2YzSj9Ethac77f1QZRsEIUIHy/ipyy+F8JmBcXpRdeFaZjukx qT9/kyod8MQzz1TdcQwF7w0hBycsm+ty2htnVJAGk1Si9asJjeIwlxW+UfHXVUh0wDW+BdJ3pVR9 gkikM0+3jnWxj5TbAEX1VBf23F/MZ/ZV9DN6NXf1gjIdAI0qqr9dnAAastY4Hp/T0KRyqmy7lCZM R5h0hoAuElblNXafONeANS6WmyjEg1TtpPSorAry+fTcblP3SU/Jxzu6SDe67Eczlj2VEqu4a/S1 96jv2vgWrZpXh1UVRZA72CbP34MeVfJIyu5xaNmpeGXDP4oM3i17SFJfJG8JPV2Erps5bo7O/J7T By8bXVKLpRwwms28Y4BxnPXT+6yr9mW8ObE0WsEEANDgg+k5HBsgSOPQVI7/pqiv9XoOwv3J3Ey5 umTbT5abKC0x5KEjzmWU+cVsll7qG6mIv5RDQ/IK3yqsl1oMkomwi3o3AV7S38qfnDRk8mxnXWts xyH6S5aWbxN3qrVN9gE7NdHHWMBw6NXLNrYxWCzbOusGYrVVuuIe6J1qCrtW7ARLbdDEEEqewWNS zhtzb8fr1ZHo/FgbWfo5gKxbGsO7GDU5hqbXMpE5P4zmRM18E6lrEI9uQP55H675yrOe70SMy2Sr 0Por8cxliroYcrHIi5TAboAi+ZPfhIeVsmJFPTZinDE1tRRg9gRKYC2WlRaEMtsZwULJ9guEgPSw S2Uo1uzLB/jUU5q9N+JE6TKRoDh7iEz2CNRuRi/benyImdQYXHJe1Rt9SZfQIvYAn5f1gsKNcaa9 J/1YVKiXvD/JQC4OSC0NguSeh9Ej/ZkQ8+gru8b82UKXL/t+lB2ztwRwB+cZ8tZldmiQntQLTIea PDdRypjxCGz/bTRUx98XKQk+H7/TGOOXyJSFL5OVWIbKCxsBXxkO3izCqKBL05AiqNg6P5umpZxi m+dZWtAvBtm462KscOJ/dcGx4jpLiHQN0Q5EcEZlDQMHLc46M7GUmlPFMhmf5uyiFAz69crBu/ip 1kJlGnIINqESzodx2PRMDYgwBvIz4AGyDP/Y5sBkcvZeMkrDxAQ/uU22GQSzFi7cMek31HoXie3O MI2c6ixeVbigRXwMema7WPvupk4O+CgGn3zlWZa0wy2G4jOUXWKJmH2nqmfzTzTaBsRl6cP5Gk2b rHzVP2vKoJ3kSeeUkApR7tUP/vKg8II2sznwnXeLGcYZRF4b6UzngezPpKa0QdnbNCL923qJn9q2 dI5rcrKDJcYBl3tgGfUKj88jcUHiqXy6Ab8cZ6m3zBOQDFFLuqrIf0qgbxWalfIs2IP+R1zNpSQr qlN4RZsf5kOpNS95laO/EAu+aaCtvDcwdBO5JDTe23qAddzz8V9tJHQul6xYyKy6LxthOMXIxJXV ZTYg4d+KtwfLrfNWCi4A1Ib4mac1MIJM1C1BiWorD5g10/wpwb/f84pQPkf9A9JbkAtS4tZWumEt HofPjKdgw48VGyfMxU4pDGQbXKaKTv3bFOb7vjqUtGr8QvxdBU/i0TUq3mW/HZDseNcb4mjE+0cM d4z4w7iQhszAQDE3h3uK0Y7YEJy4x4ab8m+7uRbhkgbVnwzv2WV/mxpFtNzxywAOSVJRNaZpzTLC zj3fMSK1nS74Wq0Uc3eogBKeHSn0jQhbvlwqeyqFSrzF2nicsga/DBv+gEZFyJo3PL6w7zXFvgpj fPzETpuTKcA+uJ4yZvCRHPDGNu/4/q/KU5Bq57L778tSL7KXUChcgn5ixeap4mbxI123jeu6g2MT SVnRwUo5QWtasrJjOysuVdcvDhAkk9fb8UPsjjVUeWW8awbYNRZICRutM4RzNJ2At4YsPUhKCYq1 seA9ZTmIU9mbIoDZbxfzcg/0rPJdx9Qy6G/8zrueNuLMwJbvchGA69tC+lht3Xb54Nzwf3rpJ/WJ 95j5NfxPqZSYDo2E/l1ZJDqzT5fFTKuPOGijFizKQ8qHVKRnPe0bEc2163gZtbRw77o2x3SxZB5r hHG3WVP4ikTL+ztDvnnCeSjfJGsvDCuVA1wZMMJmWKiwZsK85WYCq3Ksk25cPRf6+Xu1598GDQn8 eUYxZA1yYfI8a0b0YCI6lQqkp2ngvdIKLMyrplXgOv5iS3JkejbpkWUPAuNnEXxITFfYvfc460lD 7mqTmdNXblSpRtk02J8zkyFtws9lU7CVWOduyUZmL6PIJ09bZOqAYBieYETnxz17VraFyIhn0PMe E/VwVhCL+HhgdNQRSo0/TQDW/ws3ZlK1+HUIjpfsXhPYIM0laoaxTTDxfXoEU1nSnfem8XsI6s70 4t/Fl+bVfvG0p3qEpnuX/YCHGJZIzeoFNj5Ve+VVoeXlmbbERx6IrvddgxFDp92iaDv26t6ksKRZ /n8qFm4pD9uth32uVIIhrSNBaBmUy/dE4xKICKUVqPHBL8RwRhSJge+WsIo2KiaUvbY7FTE7sfDn u3Hu2XvCgglwZtxU4M8xdHFPtJgHpZM2Yor6T5uLPakoCpBHHbnhKGgffDkMZD0NW0RR6UZ436qa Qq5EL25MNIQf920plF4hdYhUhwj9D/UCcYgt6nJU0JRl+f9MZGUudXzIzh+BX5YuFyRmWyBUfC2f i6zm7Wpk4FKrCu2C5oK7bVjQ3uRtKAME4qHzDREOMWOcE08oDAzgXgGwbwc7s7sUFlgTBd8+5F1g dhcuw54UH/LjyyAFSgMgrFFqOhrBmKEXygdV9jHV14W/sJKY7WDGsV3UMCCbKfMb7Ca0n8sDNdvG h81iboJbOFZ0sCwqw6TAqWlx3s4uVJjL2ZPubYy4eB+TOVsJ6DXR9/vGS8nW/pcpEYrito1/vCj1 fXi4+rZlRdjSyylzrLP9C0WdLmir+2KkPikyBYM3DNXdJXmgU4j8xG3ZA9yvL9bbyiLGQNRT7j4D l+oj2d4ezII90w5zxifgeg4N5IfR4cdu1x4JyPHvcwsjvlUCsC1FRH82h6mB4LluDDHC9kZxcTjH f6osF4CXBz/7i99gTEyrALWrLxCdApfHu5N+J1Zrl+io0odt6tZbF1Zpe1PLXgchlTPvdMHC/Y/j QiXEIAyCsqmIRYURfNAmUL+uDGYXk6emWLEjGsLbWWWT9PUtDr3IcmT/uZY87Ap7ATNWoYbR6C0e yk7Bbkqat7+F6PXaJ67Vzi4g5gY7VQ3G34gWU7Y6KKHraD+1cskbQF0r5qoyx8vw+rwxZ1eipWxZ 87MFQGwrYZdqUQ+HhK+YXU4PnPghEanS8nbilHpFfwYq46/S+BN/6ZiMz1ZWGfSQeghpf2b/FVfU 9bndcvngGvzF05O1qKS0szO8WDbAgl9iRAfFVwFQdH2JqbyN3u4zAGvQ2iQfDNZL5v8HsZvk+IN7 +5/0+Gy9LmIyCPc832ArTQaUxKoQnyz8sC0Ekb2aH1BjTupr5x23JHdetLqA4garjPA8JU0w4jqJ 1mcgjLlSUw0DgkHrH8LlwSZSdpLJxJMatsBFRIVFQ9/o1dVf9GdHua15Q1p8tY32dPdWj2s3ouOM xOfM7zUqm2k68vKZABp7St5QUon5nuaZZ2+Dr4a4BZ99MY2VQgTAFnPQd+r2uLrV/y0P0FiM0Wjt SRGkvzX6dF7vKI3crjlrtIy1iextZJJO4fPkgW1dsYXzDMJ0j1vJQ15TmAah5bqJOQRA8bb8OhIg ec0/2Sn8Ja4d8t8HpVeUtbTVCSD66ram4A41CTbvGgffCli/irGg9tE0zH7R9ylzSuPcQbu9N9DF TatDpoAZoSVphgktxPawqfTz5WSz9/MWXP+gAhLlfNuZMW5fRZCCo/fHweAIvypCE+bje+cGGN3I d9YTYSocx2IwfiYjk0mzwk9kI9y2FTG23BgYZzurzOUsO2C0/VzzoUz4+KTv06B3CzAY81dKZYcK XKUAkPb+/mffcjkiuk/qZU62oZoZHf5Hls2sQNXX1mBnI1N9/Sl59nmJB7p+cjpnhbzeo4RubA8f 3cInWUzaY14X6RIy0m3HR13mohYzeCSCo2V7T18hXtp7mEOxiOuYv0Otjj8Xelgm2KryKFwQqHL2 IZH+2mz6nCOssgBlaLt6Qup+F4w7p/pR4KM5k2XJBB9+IBcJw7FjhYqJPAVxQmHr8Fv/P6xf0PiF LR4PwiSzphGcxrn4ku+t93zpEDTdDBKMX/DM5nTbo7jA/LP+1I+9e594Hd3GOkx0m2T7Xtf+2Zb3 PCtQqlHh8IUS0x5hk2GCf7qbIXdV5SxGrGckkzw2FRAxkIWLUciy12O3jj4yi3XN2BUjBaaqaxZ/ pF4XBDJ8f/diOYNjDEXYN/3BkfttzKzDqvfGAw5nAVyp1LKurZn1VLYU+9rn60qpNsdJm366RzSO YwwNgVsync4TSeq6wchfEIEm9IjdEyzB0ilNDTAMFaJCNwofRe9OjF4NO6S9lP21c0Lu6qAsUXgM 3pZLrADeAj2iqNPfR7GkANNug7oe+GIv7cIrA44lhtg680gl0hIksM049WzGNTkcO0CFX0ccQ676 mA2OCy60dYIYU5plalkSg2TbSYJ3hoSc3Qu6SRHZGpkhmyHaQ02vdxVwHdoSCgoXhJOGKY3kCBjt BiJOASTCiuUHaElRCcCJWHCeBwbgdHqQy71yG97tMxoJggOghnhqj6qg1jNmjG6djXtnnE0pp8xS D9Y051Vj173/OSapPODfz1UfSiL4JJq11eeTjwNxbZiriYaS15QekP6Ba+pALqTSx/ocD8V+Wfwx wb5agSb3TCRgqpGHRpo+st4DECqR5LGBLnfARREr4vifPcJ/v4/EACjcXQeAeExFNqG0PLF5jDi5 WsuGiv1d3+8EKg0m6WwYr6zFIOwjJHpk/y6yDXkrIZ1TSc0hKLw4dLPCe0j3kypoEPhVf/orSE/t HJniljQ/qFXxMC4eRCZfXcd6eXKHrXnYvBGHgGDwZ8KQzhLoREr9sivTygLt84oNUcV7i8NZ0875 4KZCWqMpoBm31o0zuTQiyT36U62um2eD9vdw3wCsFdlDhWK2stDbQUHOKvjcU22eIu/lIBLAiQjC lcwv5SrPr+jX3feaYMwe0ZCzeiOY9xXVnY2+8U6NqQetqIYpxByOYq+WRcgTo7qwOLp6i2Qt7E+Z bKPRo/ElZy7puZwzD9xqMSuQwJOD7MJ9ZwbjbfDOuXTa/PWk7uK7vGlr4revvPuyopt3tiSkkdte zJJ34o62I1dggoPvtdSPorPMUgyCuzXBrjoGAxeNPTox8lVlQZHbCU4nkfDBGJjkf4WURimDHW8B U6yeq607xnMqLjPLQUtMEpQJmvD2lFBOCfD8RcmU7E/5OZaaptJy1OjgykIwBNqNS53wKeOcxo3m tkyaSo6LCl6H3BLAn62RwwJHXduF/Opzal2ita7oCT7TGxeDRX6cFxelwcWIe9+HZwCpGh1cQQnm lCeMwpnWXJPgJFGKWyQBqgyv/meqSiIr8vLPgursz+ha2ildmNKgS5dVRkdHSUQcuCQGkkRSn3uH KgaufhIgKEkxwJkRTevL+LhNUCkG/feRBe4Hr80wmI3G/y4Qh0m8IDq+T2uby+VLK0F2WflGog5j 52jLckt/QavZv9f9oFkFR7moqWHv1ZrczVNrTZD4/k6yX/CTIKj7vTnOnh0BIUserxyJcn1fXAW9 nI1BggsmA1+w80GRFbh29clT4hPlWagchRl/vSZJf+TywaHXGJjdndS6yrU6TmKXu11WakkR0uui jll77q2nhapvyUudTZd2dGMi8UMvMxeSdjo5NWujZle4VkIF16C+9zOm6dxXt/AsJzGiNT3zklEl wGLRWIfkURj0caBdIhwDGYnYcuhBd4X4g9mri16zJDYUSHIHu3+4rMeuJy6aYFWGoRErNF6jPtdA /uLov3lCS25fZa4ZuqmAA4mfo1H1HCgxLJzIYuAuare3hU+MdeYAH6mGxKklEMoJIknrc4KVO833 UV3vg3k8dv2k8BAFT+xcwbf3gBVUYU0RKQqJwnH8CcT3cNDYACBZoStfKmYah+5jX7M5rx8WGWA8 bhui1sduxxzwWkVG7O4swS1JrI6rnJ7k2zPlUC4iuhJh7OOi+KrCathSm6JfRwnt4Cj8oWWzIyfH ypw8IVWnN0H3p5Ac1fKKMf7m9tE+Y+gJKmbonMz0Cd0CIMKu+aKELyuUEHcsZ6OkgxqNvlxZgJxF CElZh0yYI0qHLf2H07S7Cf10o0FEAoFO2CwXnvQhyS/HydkyS6xO0ATzGzZTGb1Rk8bqcDcnAAab nWbyabMPB0aUw4D2KCf2eXqDUOIpR09NsU627SE08HBa/WaeKOLryUV5c/nGLYV9M70pVET3cMXf Bfw6awmf/RHW4sz/jfNs9quE35oE1u0EAukZjufw/1d6Z2Wklysq+801kl68dJI7bbWyRWuLU+AS lvNNOpEZrlI+e0lyQP32oNHzghWheI/TTH2LMWmLKyPprkMuwrC//pinEYl46PIPKO6VJk4o3RUQ Xj7tUavnLjN6fQf3xnsxVgH2okVVUpeFMEx3lOhgBZ0aLQ1nyC+FEcDClMvnlN3N0XZvWQ7aNYUe EqpXCEQbLSMm9i2xq+L3QDWxbp0GJxTyDe9bxqsKO2XpTs7F8EerX1yvw7H4QclFfrYb9Gd554GP cBC6rljBWVAfq/06UQmodudgY9bhBa0jImCQEnPf22QAd+IRKtL3EDqAZxeFru18mwZzqVhD1axc BS3kyidG8pjrWez2p5BjJgSPxSc3O5Qp+LDx/8mAIvxK8IL2v3A9AynayG7tMrK9sMGZ0UDGnfA0 D2AaWCaLL0w5MmT5ZaHG24mH9GZ0NIFAvPsUUEDO7GROg//xeB7Rlyglbv9TcVsUDsl3i4MzGVnT FAoyAGshIWhyFg9AfVGwChDC4g2lTlOIxG3340/BhieS0ZvU6yEhmQOvwanK/9nOe5KvRloownI6 jRhHr+DnwfzV2N7O28KlwCdaABnexeRb9skcq5EgaTv1+P873drEmZr5gZRk6cwld6yGSqRtzVcM Yhp4daIsN/UhE7xG2rpdukIbb8LUK9fC/eoex+2H48nzAPZFfJPpMFF+BhMF9z2AFH/F8X8D9FoF tbFOyynHcKYSyJxENDiLFp7at7VZTUJtuJ7+yr9yBBwsZpDUFI3AdMUfTsGvpoq2Y0PMe4PrJHEx DlRRmN6iq/JT937f2LS8WFgi9RF36EK/ATETIfExa9qcXkwrpqY8G7oRMgRM/B2cHqvGzLaFG6iZ CwhbnYrLDBr3juVYDXrN1rRxrL/nGHi5LMSupWA8n2HAqsQ2oBXlCzrAK8fH/CbJJlEjxZ3jBttn 1V5nfawa1wi6JRNCgrm1X+/gzJNoAqkRJeQH/hYPFHuPuLWv0Us+10QFd3nZVPZVU/0rD6N9KwiZ s3OwAPoExEEn2P8qjJEtuthCC+O9ziSOpgHOgeyAHB8XxeaG9KbC4NUHPKruW9qc8G6oZuoipLmS ZuZSHZ1+iCOjR/q3Y2AEuPDqploV8Wbph0J+MPwaoZrVsIyzkB6cue5PS9AtXBJ5f0n0t/EqaO1s OMLrW+1JCPnBmjVelTu5EMjJjhYlezklFdlj/tbOErnkoFTN+Mzd2jw6x1uzvx2XrFmabeom91RF ls2JMGIu74jcgDOZe9D51rCVX2YIzYV8aOMsc4nsyxX6St5B/lo2fG+dL2yhlrsIoX7Y20cQkVtf bXfsvkto5cSddpamlRyzPY60CjOS3LWNknC4/mhC7y20qinunSJXDYmC3IXhgMUyrmcduzEWk0+w ovBWCunCGwy/5s+lLTueKVuI4bp9N/dpjxqQPzYsTAs3cb7uQ281Ry6ZVvFHPHQzHP1lDkOqF8KI Wb03TjvfQaHq0A8CNVhpfglK8Iiy7D7jD0JcRtF6Z3EASzXLXVWDvsZ7URdEqYH5MgjcL0fiKnyN EOErGjnK7TrP6tlzCFs39jc7SVMPSTInRncumlD5zop8fl8F/eYnZGmq7PUJ5ZCPy0OoVUms3Ix+ uYpvEnzMV7Iz7dtnKTqBRyW9jd1tRQuOrjTnqAzN6AUKyU/De0WJ3+FyN2cU+CJCbJDmKcDDCqXs o7ioFIHg1hlpB2QOZMYiJQiByaj0rPtx8Ftn1HeM+JI8UHO1x6CVSWT+wsJkyHCmCQRafkIEDswC 0Ocxc1Ew6ExqclRXKslU+NNBwlDxmU4t0mUMKTsqNhPFleFGtbrwZRbueoUFCPxXBs5xsa73EUzV I5IZbsK5PybYeWYcY7h9OTbVWpQbuXg6vwDScatH/Kh6sL5h80uMHYGG/rncfg1MxGppGSTVMje1 ZMG7HIrW5REpfuDoIhu3LVDk38twOKeiSgOlWk2UpsYpuGqw9OXyeDZyrRYj7rDnTIQg5RJzxGHR WBw4VtA4arkW5wRUyAi/UcGXKaafAYi5O/JtsgPgunt8rrs5LWLh45Zz9AhMRxRBG4X/nV9/AjrE +LgK1SwysUjfOGIDEa0V1YjTxTsQb+mb4Mq/TzZjS1YKxw5HtCAP9ugbWWgdCfn1l4Bv8c3y8dcD eRrZOeNx2OFH5Ei4LksE/gVoJBVqn52HJX0uvKNkRQgdPCX9mvKoo7PFfTCJ+0NJSx84oTUTqeLI GbbtpIYC/oAJDlT46JVpYq7qBDxx+zFBeronC28GF+qkwjfsjznVBjSS/KgHz+hgRFFwkIKl7NSX HKZnd+zJRHXNnYJPO0GDOHEwskmTjLELROv2lFHri+FT0QMP/k8ClKjDo+3EPPUikBNXlwSDAKEO MJf9zFvaIEo91+L4ABD5qX/5j+D4h7vhV63+Zdlg+CVbSRbJg/Ec2Bd8F3UUyf7WEzlkcQ0UNyaS XjPbU5z3OdNBeYB3KqR/ieiW8jEZUbDH55O8GKx62VFQR+LnnrZTBbHlkzB02E2s2yQ7XGTUq72k 9amvNprwiVy8BghR4jFdkUtzMEgxJ+kMJ8UzSJzCkj9ACBQkChDSfIAJw8jt5ivulN2mmX0Qbjai hmoGzWPke7qIulDYX5PdgboBO+dBkDfbaVdzooU8fUQO9dBSIZ+aHbYzuPEs4UaaLMQRxHLVEDMp N0JpwWP/hsz4eVR/onhCfvjizWriaMK+ecVpyudmImRi1e0pEk8jsQKqqj+o6YuPssfoVpGDABEJ WJhXl+aBBKvMYczHS0OWmUIjn56bECBHChxU7ZenvmBxn1DID/IRQ+hyDSj2/J05E/4e618N3Gy3 8aWmQdILA0DqnAx0b8OkE3/RL41KdhbtS6ZW1t3hYuNx+HhcvUDtKY0YQFaOCWyF8zCpA79C1BCd zdx018FVql6AsI4/aiUTbBB0KdJ5fa+amllayCA3h8h8tnRxe4NcHW3tAh5ZZb/psW/LNoUG4Ezx QSBccpr/8wm+WTsLszoLNNwMmMuF+ut4dzIG1oEuxfCPiJVbld5VxAvNV4MrSEuhGxcbjBaxUNV4 U+Q57G0zPrRrXCsBFjqIYtht1l/sFGuKmmY7tIBX1OTblbIVELQSeJOjmMhc1uwOVWMWBTHiEq8E p3PiL4Bcbn4Xk2NBzH/rk1+kmxqaXlke42Pw6aKt+k2TFAtMWKfQlvJ/Uy8BCrBtDibHwL5o+D0b gYTep/pLBm4BQPWZAoB5dQA51rLXaSGzHJtlQJRYrDJKlgzdsWFgclepoYFDkLx4HoQ2PV4xvT90 6ZDCWcb8/3Kq0k0u4Ub1wOPmi7XARlZQiMHhjehv3tX6J0VUcM088dZrh+EQtOM7D5NQAd4OpNFw yLT/pSA+owsa7Ck9e/FwHgorjlSL/jaokECeWtSKpoeI1HVRSfwjZ3tFiCF5tJanf38lrln6iWTy ve+NXN3i47g5VAC4aD8vJRpQQeNeZJ5MlCZpFQTHcMpzH52RWFTj26/YuAWDLWjaC4DojaUkJ4p+ gliKl16xpuUEP1eR4SojUT948IV+h2KPQl48Q41Z4kMA3fcRFP7i8CwKpdYxfE9k1/NTVXu15UPt LETphCPTMt2G0m0w0eIxYdKUCWimh3q9rgTdig6+8fdPqHDpoG676JANXn5tdlwM8xDIcW7LfGzU LoU0F5+17/Xkm9Qbs9avSVIRW0bCiIsYXWW6JN+xX98/rpf5HCd12aSFle5KkohFu9c2V4xbqXjb yD3x/IQRatGvN9mFG45p6GMx3D0S1mCv6tc/Mp/1PRZXKdCB1ELgKYwdy9cz9eWjT+i6HyF0tsLN tZtTiKvyR5AU5VfCZQkqCSSH2ZY8q//QMYXIghIHzzdmoDkULleQzqvm0jBbCAnxRvAZrnrwVw8U cZs1sjnhb3UUymrp/sLCjf0dcwyzEND85xY1O/l6E5UhOEHUNLNwX1Ue5bMWgz4Q3iR+AOzdbnoV AP1eYniOvR7FEWVAJVbQTOhAalJmeSX77HPB6/1MiVVhI8fcnyV/Pf1t8uLooofaeBLbj+YWoyt3 hDHiO70ZozEXmLeoNPsLQl6jqC7CUJfJmgIt9PC1FvdLRgjwa/spI+iI5lDHgtJW72F8LSEQ8kj6 hh7IG0YIAPmkV4R9lJKofIyeYb2hL3S9vsj4y5DU/tkcDEoHdSxHUhd9+ZJTK7+nC+bEhliTyooz vlHW0SxoVTM5O/Lf4NHc6iBs5RsnaCxY46beX4s6tbFtRcfzBy96hLGQBVucGAt1r60RjsbsiUbk M2jqDsaJA2AA039m5cVNkFjPBc+Q6uHsokL+XuiiQJGB7QpaLb89BGHXnrpgXtWHScDRAdmMwrmc jQmUdY0WpML/sQlJBpVC9vhX5ZE4vOBYSWk8xLBvMzAQnPvvuRdnhwkzmDZp5Qp5H0lUYPRkRMpd moli91cVCauBznMncW4khc+77CvtX62pAWG6SpnjpHmGC2G7VLlnUII8voSa0POJ7igsiO7zv7Sz kexMQ+NsUKLD1O/ynKVxpLTS88RxAoITwtt4Ey/EnxyVITp8XiZ6rUe5V5vWOaDH8JtV6GwTi5hZ 9uVYKk8ecQOSTR24ascvZEb5qIGBOxVKy8qSqIYWDRW33mZwPXRy5NeDdRXO4alq3qTuNQhAZHZF i+GLCuLlogn65EORxn+Pq3spy8Pp20jXHxLtl+czcNODrKRVrbZNc6psdP1Yj1Bu/X3djK4K7ogM s5LSpSBeEys1r8lrrRQOmeJqWN+3ge2Z8gjo0hKX5uWaVsrENhp0m31/78iXAJorEUIUTFwpTMlv RtHL5yOmL/l4CUxAVqIBKbTQ/yOy9tfVTSRDtHUkq6Np5VkLa2pZO+6Y4R0HfM+Ladzpd8VtSHc8 l7S16oPQZaQgbO6eYTIMCpBgDR8GPbpJwvcOhgGfSD0bNju6/XFb1hwTOmp8d+VHd0OnCaGjUUgM QpstdZcG1uL4iLbP4Nida89vBlOXMXV8X4Q9UP+x9UPXBpG8uztVIVnCqmvNHpAAYEVfX36DHX3O 1PF3lVNbWugPvGJav/yGud8zEEf9Z0F1xgeYygZl0aCtPFmfrms6JapIkrg+O662KKle31zGYeDt 8AIFzv/ENG6T3wQIAIstb/i99/lkcxjbZR/HbB5CYFB7YSSSstGBEwycFzZbcvt6iwMIPIDs+O/8 EKJPZxk5vvJJtO+GPtTwl1Q22NEMzydutExEsncgraY/gNJ7kD4JqIsJWPUQ1YD+B0pbCgXrSAJU LL2OjYbhTMmHtpZ3IfukdhoGw14zu4uBFMPIrkreYMFgz5zkG0HyUh8b9QkDgIygihpfbRLMe1u7 8Ow+HIKA9W419eh73dVDI2Z2wFeBfpu+lA6GZcy+k7hWxK8ET6wQbozqkiYm5TASTmzW63Abr5qG i4sdyN5oT38+I4QxHE0wqehLHp8vOjkkeByGhFbEyLlIg9Addat/dc0KKqM6ZdKCDhoIZQCX+fSt Uhb3e7HmpPC8ufYmuq45Svk5iLiCrocE25gK+A5phEH0SG0lV7SodWZ+h70Q8cQI9BFyBgCQd/ub z0DRNafS4c1Xbhe5UArLEon7Hjgeav8fw4dOEywBx1Dk5FBpfvmjoueTk1vKJyX9xyF5oECRhA7V 3WOjfd6+ZbliHuovxROAEXSCi/FNjsN2ashA8idURojBIAw5NiOoslpfj5mBqFp2DwU8wUcqn6DU lOLYE4yXiBlWfGGtuL/was8N7mns6ila64bVFCyFqgLUuBjUYsBb7339xQ/ETdScoc1SNspjqe/o peyy0Td8CY66Iz/8POKpZw+HbOV1PROZi9j3wmVg1yZF4NeNKTbUn0JDBT6T4+Ii4LFx3qc/9xWj DYKiBPTwm8oaWA/xxZTkqs1qYKXTb+9f2g2uArKiHqsqEP5fDXmdwZy4MifBeq2JQAaoVQC1UG7F kdZHnZa3+cBqscuAl9nbZKlgPwolZCbzmK0H78pz2huABoo5DU1jI65M8T0GCII98Qh6S7euqZqM ejNlBvnbijsAFP50UMLDcEHh5QBnl2bUgF9vtqMVTI8/GB87iutoVy8BqpraHG5yHoldm2L8Q6g+ gtuywCm90v3PV9InaY9Ux8+QlRhWLo3ghp1Ajbgouw/URwjP0Qwi2LKB9tD17bIISILimxFpVo+u 0G0FiIIv3i0d2IbHvu++GQ14b8JE0ntC+35kH52av8EjoByF1iX6TsEzM339V+EvbddcowuWi3+2 EkNHG/lcdv/YTKRafjabvj4EmZLQGHC8ZR2R/7ysFr44/3pxL30ja+HpDqsBPG4XAgfnkvEc0xD9 6w0nUvS5NAFaBfrKlQxkgZCS4PMiqiV58yKOrxPOMKsLBdRxzzd2Vy6zLuu/F4lySXehKCfk3znO PUMpNpZlhQ68XEuYRfUQ83UPAmCaD0tPMspmS/0ZalPlbww1Q8AR4JZ1Tj8JBI5NIE+lYmh+w0P1 S4QZuod5fpJ53oRltjQ9kCLbZdGMYMSFpTpdyJOgRR36sPi+KRpxBHAS5nPg+j44jF2iP+gxPx/1 XiakOGA+4LAKZY7PH9fIpMt7CqctEPeMI4tJXNiJADL7b5wIX64VafplwJKFt997al81xO+F3Voz Vstyg2CKpPRXWhz6hLuN19qZEnVGorlD5UhJhVzRjLXiKUjgD8pzKmO4Zd/0X9XHriABuxclkKBF /RgpJeH1cqMgSTQeeyyPiTrqyDUNYZlfNimcb210v80ED2+/r4JG2eTqtcfG04RfDyj9XqZiHeKh bQPF+VW9h/NISYjHEg+qgmKOO8olxTBssC88ReujitbfSLOTVfOGySRbUv2kSZPl+DztAExmsHo2 nxBlBrcUFFWvDjxW78175CSqSnJvZmAceO4CDXlboaQJDApPKICdiuBPFSBT7qyOt2dchQ1KBBcn Z8R8QoQgQmUrtsUSS1qi6xRTuqomghkKaY4xbC65R0jBWz4KrMxlSirpqs5MLM0DNS1RZF8nwd50 K692WSajyDvaE/wkO8ArUI8wIY1CnplVxyWR+FFziIHqQhwVor+FAr1xfSuBeB1jLUq9TK9c2dP/ 3vsT/TGCk91wyFaV3dI0TZcKNErXG78stmaE9/fNhe3DixiMpSzvkUDJTtlGrX5z4BXhHBDhn9xC Uy0gFDdlane9V7vwZiyZ8lmJo8xznVVhvPRzgKMabTMjdjv1CT3KghXov4F4CBJkOjD6iGBKZ09Z fO3ddidiDQm3AIPxmGR0MzBxdkp5HbfgrKyx8ptOG1/xUv0527lv9p+vYYwPu/EgBDF+IL4aRRDr FSnIXNuAqQHfbu1OFP6W5xOSP1OHKldEwX3k+I3D1FkszzxxQHm9TYxaUahmBw9/lPR6tkMh8gjC CL+Kold74vWKDJ5S1Unb7D4ah/zF+tvO+jaaUqutLFjkxnd6Na3GMTgdD3RDaC7l7/BqqrS++xiA JDZda8tpgzGFfqS3U9kVirN5xbKsxiGS1z3NBYRiSSdZ9bmfyBRY0G1ACuz87hSS/MCoMvcRno31 ekiw+6is4206Fuvg6akux25Fgc2+ZklUCzudh+djOZfx37TlLNY7z6JdRE4McVfG9yOTYhlg6yX6 fzUm/ggFQ5Oy7U0fhoiGqfVOkwLKpuqi9z6X1n6CavGINkz3OGpjs5hfN625i/2zd7+CU8rgbDuP NksvWxOxVHKRQ7lFR/XqTqnQc3vaIdC0nHDvK/sAb9WD9yIMRqUIJITBiNgzWMggx+vZ6NHvCquK 0txnPL+YesOvDADATgBk5BIwWuBIAiKOd815VjL3+GN6+tWSAjqS+Q8phx3LfNO2pac97Dpv+J3e oluZQ9nTit7RL1knw57LeYSqkY3zKLbSuvQXpf44okiFUlkMp5MUH+PEqpHb6D9yqVnGTl2qPEt5 rIGmmnkmzsVgD+vOJ3CPYIbD0Xvv6O/HFcE4PF7f4jyhI9rpTBB84AgBQ+ymM/a6tOcM4//FRwqw gdC36Fz4qSB/Fmz+7dApqNtx0rpnG3pSrQM3VMDcvzter1ODdxhomm5CTyClgki56LHDI1Ij4yKu inNNnp0ysSbo8DXiqWi6wcin/2HW0K0bojCm0UH8ioQpRzce8MRKi4yc+IsR9poEYEseMYEUZbFK V9ZQcftkCvXLWrG80DkmbyU3/u/iWVJuSbjgJS4AAMQyj8WZ/K3uScTNrVObBGFkGLxl++Su2pfd 6wIvFa28MnVXB3/2gjmSv5jw4hRwq2M7xOvWAkNqvl5NuGXaCN0ibLiIS2WbR56x0AKTUENBfTP4 blF83jl7Si1mrLy9oLxIpMr3gea/00usA6qQIeSM9pcl3WjcTIqztCP9L5eXXf8bn3/sX4kf4ofF ecB/Pq0SbppI7HJgCyCsEQI5nD+5R0AeAeYZ86ZD6OIL7D6ayiUMHzgdMRhb5vsrc2AEvA2yZLz4 OTDmdiE5AGmfEMyPkIMAYgCDl0YjYwOdI0OkWavn/A1vnApnl5hECkdDdmy1a1qlmUaU+0t/9Y9Y YcjaJaWVHx/MPDeP5RD9m/A2ups2gHYCA17WAN+PexEEgN8ODlGKaE8k/nYrPIb0ebPxBh3BY6a5 Z0LPmLZ608Jtw7Gr8KTnxTXPKAjT2AiFTRoeh6/q/dQOT7r/tuNNxlLt9322jmvV9xM3y1M6I2q+ N6Y2wVj1rAZGBneRp7YJ60HXrW5FK4KM3MKd2gwkLF2GST5XgJ8aOzYmxF5OaEyoRB90beWxRQ3R GgHCXNhlQMKDlS/CO5XdgjAXKoiFlA6iUaiJrNMAlqxDNo4FVKZaCFOTVW4xCDTABFCbVJcZHliz J6MZpn1q2olHg5dTkXMmaUY3TWs2fZ9mLqng8yIpH+jgfe+GgZkhjV8sv6rIohdgFONHjR6OTQMb R9jNg96t6+ihBxN13IzKyPJAamjgyRG3L700aHj7wiXB5rl58llJmWj4P/fNDTQCC1/Az59gqa/5 xvsp9NHehgWVtzv7VpcFC7T88krmYtK05ZjXa0+KU6tqdXc3zlUjXcma2eljWcssk6PUZ3kcp7YM dVwU3p2K6MQcOhOCwAJ5AH9ux0wXOhQXsqI4Ch+aUYxY8Rbd673DfUjl+XalDs3OyVpZMyDgcPPX 6IKumk4KqYmW8MB+xBFYeC71RQW1kGtBG/UMiccKpCvO/vAujuADXU039CB3YschxN9iV9yVxAtV g95MYuCxxvWfA6WPTd+VxLm26qK3RZJy8a3ApHTjl4mRyU3gNbX1v0d0s5OdNMP1sJrglHn+qCLV L3lFiR+U69cCjrf1tHl3vuALH7HtWZz/MqKvRKHkHo/Ze+0DamNT1Egfb9XWWRSTjnUgNraSw4WO HKqRI7cQs3p5Y71ETml+Hqh27oPOWbEfJamPCVIfyL8m89U8pyuGWy0SQp6Q7g246JL3oNmVL8XE bEB7pFxj0l1ONeCRJyPGoxjYmqHu4rZDV7QFMbzUiYjUjdzf5u+9SvMmpYXRMms/IpaeXCW8xpxK GrvLa8h3c/Xv7E3773LaFs9ozOo/R4YVfttpGiuoVmSl7lMr3V9KBxYdP00HoL+ejzHgqEUAdhz/ bfDE3+jy6ePPVnL8oi/yJBorODI8V/p2FTx7sX4n7UFbFJqY9b1pJ9dfplGtrqBXVH/TN1PDZMqN aDctPF4vcu/4UG3SD09l9vZhrktPRt/ar4zn9DfKpE9BMtOT92UE53HdVjBpqjLJHNNbMZVBWpt7 TXpryNt5KErmWk85HFFe8ETyTyhh6b6d7O5pDnKZwbl3nJQgihvsF7XBMc72hPcZ9DFMRo/EvBrM dK7a9Bp9vOnJsoKYouHvx03VlB/LhkHeEfC3LJT9TYaVBRmXGS9eP+rLLCAZFvDOg/7pXuUroqMt py2WJRSLtVRD0Tclir6VOtugXjc6dH1ZhtH+CbCkTNmQPEm3NcesLJS8nT3RKPb3B3iODAk+sJ7x P08Yt1PzR8kmccZRjQLbdcQ9SS98Ajh3y4knQDRKEnIYxdvPR7saoEaRdPxLJ1arqGzroxGD8ntr P1/SjYDfq2jGE6XkywRPEP0JiUGikYCJF51U5JgLBCIwpZQGKJuwxSOgbJhjdcQbxD2EoDjN12WA qzg6g1Awo+mbR2rpUSqirjDrqwo53uIXCP8Ih9nSAD1FNTm+AZVFPiYtJKFstf7llNeDERf5HPXy 94C1fb42fZ2rAuips0dw+1wDNIkzWmKgYZ1XcXOJjRvVoG8rkqNNFbL5NxiRI8VGcERnDQAYszCO HyL2bC5WsqhEAh/Vek5ZxrBfbv2q9NHXa7uvgLhIXM2j50sdTFeo0SyYix/sTwGh+Rk9ZFlQfeip g214ykUH0W9QWaV+/HcL5s8SLQWZDfrgDAXNm5T+YfDTArCgTri/Dl1N+O+0dZLWQxS9Af/izO1+ 1xn6q6bQhRt7aE2YiR2R2LXvGO5wLCm6qwC7/+8g3GZ+/Mh+9xW/6jA8BdEwrqco7Hvez8Ekr3ps nYYhVr5nbbH8TSf2l57HVNzJ3SKjI1jnLTA+F7A7zMvVaYEKAg3mvmKez1q3KmfV28WhKmg2OkxP GgWFKyDTaz0t77rnLQsvtUqBi3WSBZpkoDvAb9KI4GCjgJ2dPAtPBX8EZdL7kLb93NfEXme/V7hE GNZwwVZjAoTdiWIBqb0KIxzj4s8MuwAm7qaLs/VZ4R50VW4uGT1//p8lCOmoIn2VbIbfXQnQZ2r3 A87AW35RlmfWZIoge6YpJi2/BSsL0mRHJpZ4L6623tb0chBLIOVjY5wNcQhCIHjfClWtJIohH/iy R+t4IGc+psGz4UtBkOskJQYpqTgM3Ptntiw5IkHTki5Kdt1stkot/slHx/Fz3rVQCZZVSUdbVzhK 7XZ8XepeGSQaGncP3RuP4E5sVcytO7NAsC++/hH9xnP53yUt3IO019v9aQcnzSuMC9RAxKkeY1fp 4XyMYxUgn0hxh0O+aH3VOJrb/ArDN1+WWUMKHasC9wwYoa7BHnLf7jg9WERI2De6CuweGsLBT1xi E3fw4TyTpRzP73ZmdsdGfnt1vS4bgOY9UMbxxz3qSCJN5qleJWw5bNJokrFN6qY2rhX5b9Qvv7HK MmQFbZ96QeUEVaw+RTt5ppn0R/UTrNP06PGbfKjvd+tpLo9IshMZu3qFjilFiuS0vlctNztEBmqI PEUMzo+FjL8zOvOYlrbl6Rlo8VAUxRzu5AmAeSW/GYVQVT/Kq1zRbB/z61asuI+o20tpKnLp76y9 97QgsOPZmpLtFhCrTaIbXe5aCh1KamZVeZDH/V3Tr0tpZI4/k+rs+zRsb3J9g1lVCI0V9azdFW3w 7jxkAMggSY/I8ipFLo3w/iwZn8ezNimQqHh8nt5CBmp0x8GSu7AZw/+KLwFNPK3ZoFi28dI6dT+m 8s9BSm3fe6zw500ltOyuua3EkmLF/Yvt0IZcGeOZEI6sdaSnUCwojwsuY4SKXLaf5/PsMn05ES1s eSubv3l37Q6lqijXhP6NOo2hH4NiLdWnmfV+h35VgWdiUFsl5sCmvXUjm01VNK/PemnG3HtIbGvY loHE/+bjC01N8D/mVsm0adaDqwJbIFXGjhFqqlzgdHiQGDr6jOp5v7ElHpRH9VGTZmNzObYFCtCC wtu/VYkmkyRjy33S4UMVWMxDIQIAZGbQmSlqDYydZ7jBrL+eu3kP9f8ThWhxnHPHcdh1Y4KhGd+/ XlYB+SibnTrzX0o2dz9tkWuqfkxg7eps626Y7fRkPvA30i5YZjq20OHXxiOaFD8TOchysqVvE5yM JlMy5r+ewF70DGkWieaABZrfObHfw8J6ftVozi2DuswKVRoYSADQAYyW1E/z3WDZothQkOhrm7v+ Zqd27e+PrRiB6BQPCL0PYnakBaLXWK8dSvCj22soA8ceBmDKmlHQ1uX891b3J8PT/bVzov7Bb0Z0 k3CUenGkjHGEZ49pBNKGch8QYMhNBcWsURw7mCCpG0vih1Ae1Y4/ZU2sbjHkYKjQGdND4zELTUxi grvCBKgUBvQgBZdVjr3m/2JuTt0zeslpxZ9XMlJpOBOB3XjSpbRMOYl4M6ueN8U0OewIN/qwFBD1 o8pwZq2Xip2N5gExI5TVZO9g29l4UO0B92cDIZZLFXgQB928KW2Jcqs93qJLzqgFhuAfqfbbixxy ZWQmBewh+EUxDFJlJj26yMf0Av5y7d8zps58XkMZ3nWQmZEm8LBlBEIc8k3RhSORaYVvqTui/Qkq Z7shb/zO4HEc2+VN5hF3dbcfd9NuO+cBmQEGSTy4BbOkUn72YWp8iiCUfX+hkPV2/YebZ6+Lg9vz b3865Jlj2/0sKwI+/rZxGRY2v9oCsBnJ5v3dU8MLJkJbIXWTmqrRm826D8h4f+1GI+S7G4cTJbs2 hvFae3MwsxWI91bn65wvxcR+eq6ISR88j/wgmde+sFi5is+hCTe7droPQMOpHLRmsnwDr9K3maLA KqfMoYM910JXp3OgKTnCUXUKcXB8yGwjkpS38HM4a9PcOmn+QSO2ic2Z+/VwWyMK/DoF+QDn+0Dn dOUuGaEpK3CKjkiacCdKnIRYW0YcGg+hzeV4fbMLtPhsacDnLNffLjPd1y+UN9TjNGpxjrbcabUd ksU1XdMnNqr+at7ADh6SF5VnZUgXhdW7U+nXikDOiF7PCeFRscG1VaBY+NQzNat2UMia4nBvyqLq kAnGr1nuj+Z2DaLfG5fp7aMgVCu24RiiZjByFCwLYVGfJKRhecqgsaWhAOxlGwl/4J7sOyjttXrK oHgU5VegeYlOVuL9ijuErEC5uCcQiVI5pKdnB07hDpPklxyPJ3swoEnmnk5aN/maMi4XE3kZqrvB 5yYsIgVWwd1D6RjhAPOokTZglwxl4jxtKcE+xADWE+yu6s2jCafPKlWcKt4UqGvqGu3Msy64SSm4 EERANsO9d+QFSAU34jUMIMzJz41U/ZCu/FcWJuWKpMIKffc6a5/kROkc2uTI6sO7Y9lDsil3nvJT Y+6Sfa8Gjs93ijznhtNGT9hXN//kdEPgrsCaOG4uTFEaX0ppK56m7kGHtgJ/STDzoml8kWJZHctH 7w8OZpQTbJJieQb+6HY6KB+jWsxttywObzh5n8T/XJaUovI3SWG9L99lNNYbXCrbhI2kYrw26U29 XjLgg2/+p5OWunYsAhjHvw5mBawfLXxEf3xLaiG6NqYAgeepTNUZe+N3QI1g1BVsojp/E+vvk/eO d79trulTnzS3sFHxKqJB4gBVX4MU2MsxyziAEE9q/zo0EQ2h/KoZDnf6c6S3iedPJAbc0MUcOQDk Fe+Lw/0ICMMoAVaeltzsvLlPF7AD3ygu9vCEz2nw1kNgsFFP7KowxDQUAcW0SoQ10g9tVi8WUQnc zRQXl2kmkWdHi7mj6X/mlvaZOCBUIZhR2zYLDM+wnfTq7XjrjlmPTAdVphNRbCUTTyICCggUrOmm Lk8yZhCS8PYhrbORV8Gn6gH+i8/H4htFayUemtXvNBeKgLTgKR5f5cefpVHYCovVhiH3bkAB8Xbd 6rxyznlgkdzAB2eyt0s/BSK78CUOYU7tSmXyuXzAAOV2wWbb5Oh9flr80Du0lrLpRrrWsUeIMmzi 0nuJq4iXRFhKLhpiGY3/+LLx/J8+ag+bL1aqNumTqwRLbqq7qhhIR6CpayqT2fzV+6IQH7cdLtsY vYBRXPXRXWuyN3+b0CEvjiHUEwLVrniPhMsUL9TeWZax7KRvH8GRAs3FjdpesM2Sp8rUelGHdQoD ks6qtKRWjVxgkC0ns2BrC/UORoiDlBOjq1Rr6li6fesYEWxnHzOhgGZd7nHOmHtrpe8kaT6Xw23d subvHo/nVtf/C5AHgg1d9frDZxKDNSXiLA6lEVw6XNF5xMuU7t6vgbOETEu2uL4iJQw7zyVFe24Q LPJ54h0CGuktIUYYhhY9T3fAOuT0Oh6Qzy7akSqZBRpLW0gOSucJ7X+a86pUPwCAcyRmkYX0D9gR scISyorFmXA0cXhBpFbCHuWYuiXiK8vcQgS36rqBEI3stP4hXOW10+eKYk9FgZUeLE50QADDClml HpzIyEkHo+9HEPv5lQOKb5dmKwHVhu4kEfD1NyZnVJ3NVCzlpDuESiYWD6oO//NyozvnzR6115Ho kaoe/99CZsECql4s7qosNprXupaHi9eJ4fhwlHCC8KmOvKJBwOoZrTTT6Rcny0qVIof6vwOdBC77 z9x/5nViCRah3wdItS8El883dniL3gAuOBNUViZQ3gbRQ0gE9LSL7t7VX1IXu3tWst6t2HgV3kyo 7Dntgb5Mu+MAFrYxb+ogTvCf9mlcm/N9UiK1sRzYPiK+tqXUSDEjH3ijbzg0+G2cEVSk8lacZheF lfPv10MT9Seo6SCvU98Z5YZBTBMo3kM71RnnP+/qZKuDLVBgU3U4EWKOUs9PbsWZOF/mrPNXF6zT G2u762FeO2z6B2giJtPSx57Br1OlkD3UR4gNrKBIWtEId9XhcZC3llYTfgkXzf9n/xY3Yq9/3G0t xhOuBYPV5nv3CP8o4kWcruD/y7vj1LU2XZlc3U/IXRRodpVGKR66vuXFHaKgTELtKvFVkq1zQcN0 J/ffp1NpQAdzkg2rjZ/QBWs8vdJZGUjwZudvHBwgA2Kre0mXdXs2w9qaIHDP89rbwSGzs6mRZ6Wj aOVJa8zZY/ZyFo91fWKTnt/X9QfYWQvhVGiwGC+4rd3kyyzRZJZLVRbUjAJ+PxA0SFa3NKleUR+v /xQp7UFda7+Z63rTZMUumPophISDP0cSJlkoZ7D5SUMLUjZNyERuBnbo/2cgzqatV22RppoeM01h 6GAx3AQOfw6nMkQ2o+jva3HYkYnN+DPrGc7Z1XnZQ3rlXOPX1hTag/bgH3gsI/VwuPHYKxkWdbBI AG0MDvf1y1Rb36fkUrsHjBQUneA0oF0i5lwfIYshW4QmIHg8kLC9ePUVVUEvvdendOy8fpYQEynk xb4KYADpM55cVRMLQ8ci9bVFybCpuG7H8sDwSx671CZsIr9P1bpYqAzyfJx1OGikxpC+0u0IxeoG HrueYISTdkOBJLOyeMixHqKUEZeZ481hrAd//0FYSt9YdaNaAZSV0gtxeCK+WLiV4jkAO8Lw9qIn MGTBwmDY5GoWoy1yu9zr6Zy8UGuvvr7i5gFx3ZE9G3fzUp0gHA32JQAf0/fVVvA0UyOzsWtzA7V5 UhFIp2EFgl3yYBW2hbXqG4S/rMfySBX3NQyTjmFonnc2UbNuoWC6wuxLHea3mzhnsgwVTAFqJhEU LaZpug27bMGjZyabFZK9KiQM8v4G77n8r3LdOnh80Qbctusqqm0CawzA1wRs4kuyPnZKnr0EN7Zl abaxADyioaRjvIRb+SetiUeeyWD0rJysE5duWJBZnnejJM63k6q11qDolHF1uCvakql70dD2XBSg hCNKTCFjycYB3lglsnbX0JFx2/MdMmA3y0QD4qkUDPVtxzgYVI3JB1fe7fj4BfaeehutKp8S0kp6 MAGflXzqTEcQZ15AnRBIg2NSX0h/0dPwb5FqPBaDxg8yZZVnsSaJIbXM7AzJ28K31oMXqw4booyV 6iVMFGajWEhKQBsSh96qjthxR0hgMXMi3z+k0j51fDEEQZ0RQn28C8h1KbWrNGrk82WsOU03shwH JRM4vI2C7GKZayHFxjJ5uABirIiZfaiTQqDQTtNXWIhK0ERETa6qkRC5zO3UxfyZYGMRQTGgRw0Z OiXrLw5jAtWQ7u6WqINtG5TEcE0+L20A1tesPMq58/Z/cEP3VKT2KoI2HKqm08BdPnziIJ3XHQSL ok9E7/5+h7XWeCoA+ZnO1i0LTHL129MFX61/siSGooHqH+xnIoqL7vBPqWWJ9bZxMbrsf6UY1nmT sBwEuoSrZTl7SQKeyb6OXlAsW0Z7KFtJuLZVANnm7VlmRwiveCtgPgIn1QEa8k3h0yiNArrgzaqq hCysyetWkfHAQLgP2d+3edamEm63BD65+zEg9YTiiLhrcHQ7vwxO2hXtaUo89m0EFGSfN43W6YzA Ov5LZhSi7/VQ6rAKmYhKFZU85pX4hGgAUQkwXi4IdKGUH8M0rdxSVl+oSPkMEiKtxQMbyr/QJn4c 9s7JvtffOTnvbkw0FVEABsmp7Mri3A1C1/BvsH0/YKyCXttQVE4imIVEH6ZJl11P/oOFgxTaKOd+ MPjZyYiJMQdJtLJIkGNNi0jgeyb97UlhYaE2qtNmSRCOaQL62ddn5boG3rozz8lfip8f3eLrRTao w5MdxnHxY19iVrjQTEUbhs1VwuWIYatQkQovt9TP4ZTGb/x0sBxotfCc8k37gQ9fOPL4+rUgqTEy yTCrDS7WnQ3Kpzk0FMRztYfDIS8GfRW1CnouVf9L2XqCCYGLjQ73T6dH0a35VAV/qoYLuABV5zoA vsclxdEpvXPXVNFGvrzt0OsgNwzbzzUZiLSpRI6qtWdzEUWNx2BT1ifGJEEvGlKbc6a4dhdUU/fB NZY/zUPyQ4FgeTI9zDUjhnKcVh4aUrRqewW12fLBSN4iT+bzQp8XEzRgwzPFLu7ej9AL7T4ioQ30 mPLQOxtGBA8GOmm3cHQwffzMz+uxC9UIKBkxJcgJc3vQlX+OTnfYDOFmtNGLxZUlFE2uBDUZkiIc ab0mBmvII/uSDYyvEmsBCZsYb8H8k7GX8bHRhZFZ0JxAmmu33sVYRfOAtE1+VInQKFPN9AiGQntN W7VviHSk7NoWlwiGkGsWOnQmjoNXSNhzGfp0OuwXZkJGCyg17h/5asYcp1T/LSWU3UPqHwMSJEvg rjs2igZ3Kvpm3aPA97l5bUaxdG8vNKLT7Xiawjbz8m+kRofQaGXfJdvyrpXV3gkzRo73ot+XMO1X uC1HOQ3RneqDioMwKmaClW5jN7Az+qYYlpLmNRPG9riWdxL5epu+cfXHuZ4r9P6AGq/OynqvKXyO wVgYZf4N++fWxZDnDRknycV0va0pM7N+OB+C3fpH0Fb4AquV4j9xb0Zj3EzAwKXk2q5SuyHZnIgo Ze4scTLzKzg9S2k9JvMvR6RVT1MfodZZyQpwva7SNrVyQPdgcePon3jjONbdirWspzwq0TfPQDx4 KY5z9+Xpp4oR9KyvaLLU4UTLO1nc0fbl0grfVCmxnlQWrX90khW3/N0t5OJW3Bku+9wv24Pci/Cy 79nFl4h+6WLCFAx0FkxMVfzJzyeb2djUTwG8MxrDnPMPGkYHOaOTNB2/o3CLOOhjroQ5hEhyaVIX on/zzeUHf48rQ1hGVgBoKcoz0dgYkj7+gBzMYCgNWmzQKaX/5z7KTwePtbGJOfBFgiHbfSY7ZD8M /ks9BYakiB8XW2UnpvDZhAeVBCRmSMwsUesFLkiuHqHfqIOOO65EeItNkc29wVcEFhsKRA+EYWOz CBiYspO7zFOBpZo9rrs6ZyaPwE9t9qE66ilAijBPoYb0FeccYWe/XmgpKZhNavCXFUQvpvM4+TKm 6ABr7priCGQ34QHcvGDlOSKwz/shu/kCKG155Mbafb5zNm0ak9OEQ0USOJxx1Al8McxVpkT4tyo6 drHlLV5YBn87QyVcD3YruCdSXf8TOG2wGUzhlK1b57Mgnud85DfJN0Ou/lpBnILw9f3LAQyopKMo +ftjQo7YNWNPq9zf61GD+/f9WhR+9bdKf5TM7a5KFFMRN2vo3lfSNkNIkgJW6HHBEiySC9jS1iD1 lnwH0ghkKNcqHt2eTeJKxdchmFA1pwdvD7rRQqc21WzM97KiKQjKgzqEFcrUqmkWeU0knWkFL6Gt wz0CopmLOeaRP+iT+rdmtJtCkHDOmE6Iky1RpUZkOGHMf8yoNXxLIljJRwMK3FCyRWLi+DyzIVWD +QHfwNlTBAttZth2QNyfjo2+FOGeD84jyu/0fj+xlSMt0nMCJmhQvVg+WBSGcZ2RaPCaRSwAQLUa IdtkDnV5IppqPnRWM7Z9ok78/gQCwoFyWNvfzakm/K5MjO2bTaSNpmEbkEpgtmGjnB4BXhtbmPOg FSxbjMdIeNAW7WTEIPC8RHRmpwvb1JpvEPHmQFJZ6wbTZNjcelYSGGbNsDnPjJBtME2uL5p81Jt7 HclZoEwG6LUnBfd7fAgt4T/h+DLGgjGLieEh7T7WmlEMMh01g8trqCe6I1GUnXRCcTcliLt1C6ch 5VSgylvoCrEjmPAN+eFqkN8RpyjrAgwVodQgN4xNj+1hZdtQ+WKWeybAdUHtms4e4ficONOqc916 kl1H4XCewVLxFvHr4Re36kra0nZKbnVYc0BWQbF6iBi0nHHIh+wA54iuGoveF3Z57/DfWWF52I57 BHAcHbdZQ6najJw13gvkYt0/mcZ/qN8Jp/WCD/82RrfYepiihihMi7ghI7lY9y+Q/o4TRWhL65JS Nmz6NJvEbFa9MXbDnfZpYfheOUHfbfTTePZH4bcgnQyWE4hQluYrrB5N7Yr5ywqCxCJK7oQs6NzK GBmnGVWcdpfRjSfnU3WAR3UTr6pLcniP8NRo+k8pFpJsrIhd+kJEXJgU6BsuNyvMxbIrkSQndR2g T7/cAf5WY8iiYIOWac66AwU3aoZkvdPkxxQfo0A2zUPvdA2e4Y/OROkSjyqnO9SPJ3OB+unY41Vb c9gxMbxdn36arepE00xOVGvzZWuPP1iS1ZhnSLcg/6cKZ11c+cDhhZXakfpMkldeaQqm4yfYTYMO 6Gpqae3vn0DZEwfd/Mm0rAI5ge6V6q9LQEWrOQDZ6pVclL+TYlZpSD7bivCI4Tt1RU1JgW0mzXGS xVcKP82fBijIgSixc2oOoToi+WWjz+70y6GQGAkZPkJv6VqXXL6H4ux6BSX98Ch8k/nFNe0laXCc RAwgxGKP75QLcxkekhGYEGiKZhDu5WdsuqJKhnz9CIezH96mV07ZuQctwIrJiYCQKQWoyzXAkKqS 9aHMFd6oWRTzcnqCPGDALJ1jwNV/wmnUeyStPBLAdDQkiXc4vKBy9l2D0/COGDFSdJXDm7QKp3Zj ij7QYZV5cJNBW708R9N0EsW+ogTCuoxjwqglNK/T3r3Ua5mpT7iaOfToteM5KhrCSc37dKH3XwD1 caCd8M33pFCQkxfkPVSylF1tAIIMcqQ59XYtYXFNgNBQeHtKmOINlgKIa7lFok1JM0hdVrTgNhmo MoH5jso3cjlLXaNlUY6ZQHO9jARtzVr5kTSS5vKIXhWJAgln+lnCHV13p8V2ZuZkM98Flbmtm6NA EqAYYFtOA/dnFPjiXeSZJecsWwjqnwicmC+NPTDNOMxdjEdmCw5J+03JhjMzULSkNCNpFO560pS5 ox4gwfzLSSMKvWB0MiaNGHRUcinJ41prTJMEdaAKYj8tPkCQkw3Xj1nbGkPg6fr3dOXAnRHnzG2u WGYu5bIlk9zwB3KmVZrPZB/LbAEB5fNxFiq7HhoTwbT65OjR4/7/+IuhSTtYLNRYWnrQhyC/1UY2 nJaej3Rm8qSZHBPK79LEOuzO6643SYwr/5nydRig5Ca9JWPfVaNGF9MsK2tzRu5bpXSQO2xbyxju gRZl6SezJYPpBxcjDRYFIBsnX2kqiTHV58GLttM7bWxRxhqJv1gtRty2+uj87LVaNGvH8owVrmE0 6lbhd2x8v5yVpreerrBWJVm4UHuF6ZVVd0fbcEb+xE6qA7yjNyt7uePkvhvUBh3+GQ+dKRo1SuDJ DUvZFOj3yEC3207Fx3nX+AKH7L4HKKwEtz6hiv3Th2/GtpqYk9bNePaguo1qNyEW3++s/w42Xf5J TFygKb0AXExDwIzq+x69vT7DAdnJdCikSzyJDxL1HO71EJ12Kx9AZHF2unVkdIcbZZtsFz9GUHEK inN1BRzEBNLSeCcYxBMWjDLQo4elTAuFd0qXmkCLFHuFMXCm/zrt+1m30MWwtFBYjzdrdVclNAiY pbjjLaYUNj3xumcRDgGz6s8waIRCkr/EPRRMFEuDXtg+63EKHrzlnV4xvNfAZJH3OJKfPJk9SGCK 2p0aALkc2aTsKHEh45nnT5oj9HYRP2Rmb041U64ugCeWh36paMfjGbc801Xao/+8SqZ4wamDv5q7 ItmbHmQI74dDwnc4jqnbBrmpA1rk2dBqeqVYBsu5Bh0mc2ccM8JLj+VDG5k7g5AxNS/zduSeYt0L +UoovXmQp/Gq1LX/850yHdoH2F7E6wHPoHfG/KlE8C4JFBSR/57z9CvscFhdTt5uk7iBi7Repd4y Vj9bDVOpgpN7kYbUupCpiEDHGqAykrBB2tO1stGAvdvhVmacvMdLX4hDlMj6mL6JPdSQi1HSIu+8 3IDZyvncD9SxfeGaloIE4XTbltHz2d7BCpP4H8JcujIby/nGcs2C/6ipFRzITikvHo3bvr3dZXlc GlR6VxSotMoYxdAh0/LkLXsHaBQddrzMOzTvvYpKJ8TW7ki9vhYYmW7ff1TFgFSkIRhrZfmYrEc3 AI7zG1nNRmDjMl/sycnvzlNNKNBDf9VrzwDABO/65MZTtBtsaGnYfCdX3g5FYMwg4Yq2oJOdNFhT OOJhX0GLVcTdDS8aCVOWpbLl4mHNSyVDV31O3QMvibXpW+k30SkIUHLwnWN6OqZYCmqFl1F+25FF P+ZAZ+rdRZoH2X+a2czLdztxuZS9KJ5I9EiEnypbxDwabXaO52vJgR0Vm4K5hSw5vYAwZ7lhbPMF G/LZMactkLPJv8D1zQTboUjVnRVm+UyRBHsxRoRXudL0Mw5+TYzmVAoy9frRgDRKe/7LWGoAvC7k IwOrmcwNgqG/a46sgcc47o6sFTP1D29/8U4Z6UQ71bcXVqrLwpzLIl5rMPybLznQN0WZzJf0vafi hJ+/ta5RGuTZvSnMPHkgZukBKO3KshIcEpuIKxkPd/7F49wQmwvfTsclgmgJbam1pq2seLpcSfjm kpVpaGh0ovS19ke6nQdSO0ulm9s1LT/d/K1dESkXmnfFRkd6D+qGD35G5tu0/zusgFaFz/K0nYZS 44/79+ZVrcA8Fli1fB8eesKhF33L4w+UZ8UM3AOX5wNfany/G/v7+l3jEPMb9uKBwvU+qPptTHCe 7jQUdVr7KYJ4zIqCVOHEcVqGEZ8s2NRuKeiIHck5jlixWpp6nyAN6nuTU+t4tVnp6oMV2BK/BuDw 50jSFfAD4KS63LoNdndr28fAa16Ao1+4yN2IAcMHHvpHVHI7A+RwU+sPz/yQFjWTY6yZP2e1onLy JOnXybm+oK3MkNC/6vXJPaWBQYY4LQn2wyERKn6t/uQP6O0W2K9yfBsZJU2zJlfPJ93skf5tdmVQ rIAMj3uFcaXgrTTU0Q6Dc4NCqR5xiq9uhpYMDDkkW9iWD+f/nq9hl86pTkrq72S0gSIorl95FbKC C2TJNuyoe8V8zzdxwlwUwQJcEFv9q74HqdB4hzGg4pZR3XCpiNpTz/g2ToaLpw8ePLehGYC5zyAf BLPM6LBQZJNixg6qI210jHQgC+aRmqlI1RljuuUKWTduC7S+nKPQeXStbLbb5Xxwj0yvpTYkQif6 rXL01jOA7WstEg2OvcN4ItgNiWZh1oEhZy8LPOvd9XZQ4b3+haSTD4wrAJ0t0xSETpVPXGcmqYa2 0qdsK+OOPBYOXaRcrVMD8vhexsEhScDij9hv660LJ92YtKuDH0XYtbfVjT7M8RRBoiR5HFcABRxY 0SGfy8Ib6NSLXjYNpKRTk+SjDo1h3XLuem29cVuIMcatRmKyvvZCSyjNH522pO8M25uNqO58Yu6r L6fdntahbGGRIX03ET1HGGqC4V4ueS2+0dV/q6M1FfQE0MANNc9HsEUYIf601qxARJwXTnKVQGuU Y8UCLQ4CzYSK4ON+ZvrZDH3Ha8hqaygnM29W9eUtzi+82nb810mQcSxYS65DDE+hMQATbBjCBjB9 OjeZ1N1jYNfI6pT9rHXEaLyrVYEnFUkm9Afms34lSFQOEchvZNmnNAGef8LwWv09wkjyTubeK3ty dozvucmsHsiu5mm0UbteMCErBvCfdltoQdh3Wxrn0qMqtkkR28XRQyXbYP9zYIx4HWKD5wxGOcRe N/sKTporyZ0CVrA81m64o3oGzPBYxqpjvAj7Yl3XjDyETaeNb48D7RAqGQ1g1lROXQ2bGXNpIYyE 1w6QgDN3ctcsUiJmT9XRAi4CTGtGi0mGpapEk0830+On3imSVU5ljvpTo9f0FG+5JIPfFIpXnHca UwhZMuTOc6JWn/GB4vJ7MNldaifBQCZy3NKRYnBykWZtJxfAbW1X3+0VHCAJJi4EZMcfAZOpg7EI tp7PSL0CNFueqRnOCOyXRbQMjhZZoHlJWeP4ht5MCjNzz4rk+epcrGN3cdZaxZ1pRMMKa5C73BrG fiJCEB+C7deVr2wfGZxNFS8mUkDGvVxF/+0oR11oD/wgXW7dj44WjtsDQwocF/nKjFDhe9/CHAKF AhwEsr1gb/7XNKgk2T3tk5cAtklb/vpNLUnX4EsCcRk3y1fUqUYW3OvOgWqCfcxPI/OifEgVLNRc +V9nBFnFfLiBPc3hwmLRjB8BXFHVgQXX23Ybxw21yJkzMKqJB45UjMIieGVBPcz5KYgWapwqotMN +q1hYRdfnmGWn8pQHy+h03oHAA0nKhts83KSYD+sFwzcWPjSMZcSTySLL0+0tGnM8bBHquxviLKo J5bIwL+zSFKaFWU4LvlHRKCnoDfsCD7T1ZYD1VNqA0calBoKO1/fb3wH9P2qWWFCCs08o+l/xKnI TDdNB123I8PGPvU055gzRXEaPysXhoiPnbCmYEg5THLHScXM3PIekTuf+wZkTLgq6spkju7M+xan NxMImD3VTFFVLl6R7eKFXSENFE/7UZLkqIGZ40NYjMxYszuxS6puXv+THqd6XwXUCGUsIUW6nYBb wmZnQo7ux0c973vK1lnCcuihXte+hGz8OE97Y0r/6ZAMNzF0hWFAy8SzSN+b+EUY5hEQEk3O4aEZ mAtKTw0YjLt/ibUKRqlavkfgSAYDf62qk8bSCFrWOZZygE4QD/0sBU08Z6DakYEAnNVwEZ98GkEY atPBUvPoCasycUggcZnkz6h8mRv8kkA4YoY54oH+d+q1/p1XooM/q3XvB5pvBlodD5eU/a+WyuyJ RlFNkMkQCm3LuIhR5dgnbgZpMmqTVTxvac9iotCLe4LXk91waO5aa9UUic6jTdOnnWnDyl0G2yjf CpQvV3/T/MkLI5qanPDn9uhpwiT2NtBhiL2BJNTDW9SQ3dheTIYegWPoyMmjKHZEmYQIZWzApe5V Ctkt//79nT/am1vY0Kc+rj/KdMd1EXcIsTDYM88TuFMbnFpRdnFWNQXwM5tGB07AyIl5bFqYP/tQ FUeWbIuxbD0/LjpccATdw/Rjr9dLwADedNZ5LQgVUTnvDSv5/x3oujd5m5IAarjsDlNZK+hrEJgV Xyxeyd0KffHdHX7iYVQSzC14nQAZvgpQXoD434wr0p7dogiSCZvL5OF4yKIXbLQXbZMHzAofXYzw F+ktAR+nwc0ygCREofMVaaD+B7RRsRJCRScPcxiCFug5UyKt8SeooeAUbxwaW2srU6T60CvOcuZ1 bSKzpbyF0aLbASyJmJY2RdIvhWwFzfWuAnYehuKDBAx6TKIUA1ii6tJ5a+6TbN1h243+qOFoTPAb /ZlBfwWo23SdUrMas17e6J5B8lLXJlYVCj8mxYlHgznmvRqlBlzf6lZC0STGVl021U3SASvLe7iy DhmY/ahNTG1cQdwRJjXrMQOlcHGLdT1jd7jWRMpNSp977zsHRELpKstiPPijCb04UuOvdPLyXuEf JwddKhTdWmMCORoRFje++VET3/fpamm/KuzS9tFeEtDJKll1kYRZtfK8A3Y343f6qA/VaBxh14ju tGg7kdTFI2mc8e9Ze1kOewEwU1vXKLvtnV0kBMlrkx3MHP9K4AnUY3abOsiR50Ghk3wKm4apujIr vlD0Lvpc+iyHxk4J0LRPyd2VQM1Uvw/8ogM7M8jvtd9XPowRHWeiayulyxhuGX3C5x1XF7uJqgEd K9GZ1212oGDHS6/NWZWTC2W0tAUfB56hxwCHM9JotCgMeI4uK4k2KLe7qwxG3o5wnBQW8ExVeW8Z fyNms6PpG9P3B5L1YIIocGz+nLx4EjUNtSALl5SLt1TrJmFbP5+B0sH0i1V/8gYkl07vKzvCU/yl hjo3HM2ln25ZJJLBGOoC3KGiqfyK3OMZ4Gw3DJYA4SRd7q4HL7SfCJBofA5XDnzM+lwEzJH72rO2 hQWDq3rQCok6DSzAOdz7xZhC46dWdL1aD/atSvnYaPU94nnBChA2/mGTUZD8aDV8+hTVAdSu7RX/ Doyy8nZW/d1MWwFnvKLYl2HIQoi7itXFDUoz60ysiV56L7r1zXal90XiONBhMfJgydyS5RAG4bOu gnsqLfL4ffiTZwu+qvS/RSfQmVSfePtrtUfdKGIAsdz7pUWKeK0zQ30fXV6aW3UIdzNfMLUA7UOh i3aPLGRNtAz2vNSEPk8t+SLbYk14d5jSytvTWNRyzNr6Z0NqxktQpleEMlsxVFb5Ld+EGYLwWV0s e8b2d0kmYXQYe+sO2vBd7XEIMGSdD1OMftJPxkrAsE+j6z537zbCq0vionZbuy/jnvgjbYoOeqWD tdEh7ZuupRbd7Bz3l/8cAcsb5wrfVojnxixqyeOxb7DuciFXcU3HypoRsTuMO60yXukAHdN8ouEO SFi6PnViEcgD1uQcKLW/ZVpFt53WgSmhVsyw+B2/XUso1MFSOOJmPmpscTvd3+yBk17cGvesq9mM 9IzihbyrOArK3Kp/PM8v4/lbjsWesMLhdgrrRBYH1v7lEAAotlaVQL5f5JQpRh55QJacevS+/JDB UywmeSGn137BGqqb6PBV9FHl1uAoh9X+LLYsNAZEI/cdw3q1foM9tPLBNVso7qyb3mUSCyLLWgyP qaPjugzvI4cFITO1PbqG+StSl5YZ+KJYEP3WEfZ/6NjyyaAxctaBdqLpY+iwg6a+2OhvnGewZUWn U4deMCtE4JaKMaT+YcEf1WWMUYJ3d0f+QikWQUHnhhU8L+ks5n6aC8v+xxV+jpIC6Y2Fg6uQK6JE /ntrBc3MVqdL+3SgKQIiTz0L+Jdtik6MqziNFwSJyMmlCsLzLzF5vNsgINZh4EYS3ugVD12MryPW PV/2tfBkFUYbbEsphr4qLJTTh5s5mf1zNLMRs13JckTI4eDdfLHeoWFSEIeXPlbZZSp8gVcNXc21 1U9Gqkb22K4NKnkleQ7xXL9iUpr3pZmn0S2HdKuqi6B7EQaTFg0VwjFaq7mexb3m8a72MTfEYcmF +mme1tUe7nkk/l6zbaDx06TurkjSyM+5r7ijR5xAlIwxJUA9kW+B3lIkAePnBoRqWqEOQYITvdvj 9CeKSPB/7XOhWkqMq5wvZ8yp3GJ5YPCcXJE/XoCSdFvEeDUXElsddlKlZVSa7T0FgEtfY7t/+N1w V9rIGjLciRyfwgjmz8ngRymQzq+qJhPSTkYq9Qfr+9E9R7GbTP1obj/hgYh5vaTtHkGSWtnO2x2J f/5fm7Qn31vKOw52IGrGge4mdRtw8WP6GHNTqWp8mzMDEUuJ87xAVWxB/CrUcmRggVXCQeQkrj0u HZqRR6iGVhgiFyj0pBo28uRrQJ9VOMGpCznKZBUyd43HsxK9S29PJVMimmgTK8TSaZ2j5jtrD8en 6IOHUo1bPbNzvHy0GjRWsO+w8slhCstbNfNxoSLZRTJFBCtSX+6GCzvOP30W/dsNVoywWYiO6DyI TvymI2VpCSj2DI9F+nsrtcz7FWqol0vaJFaYsH/OIBO864axdQglcjDVwg/wzRBFZsVvPZ3Fi6JX nFx95hXNnXzKTSOueFjvqT44ooKdxiuCYWA6H1mYZ//+pdnnibh9IMxCizolRrTBf/1NUBn6b2Kk 1nphH9u6SNAw/m6MjNdFfB+iDqs9giTi0NVrbDpv7IqtUZJwxbfspvQkRhSztOVeQWzYuGXzYmdk GwcKAObP1nApkXFdsrpcW344V4EikApTKa6ac7OuzP8BhD+30eg7PxrsWleG2Fy5f9cgckM33COq /vluXIElpMYbNYvehPFWRibvKDC0IEklV9T6iPfq+A7fweQFSRaM/VarcVAxzxRiH9In8oMQxWFM HJ0LhxEDm+RxF8QwWIhJQ3ikorc5TkCArJ/FVkqEpH7y8GquRwwhy285868Xmz7M44cGoNFHlIch nOWYdl5EF4iwCl31QGCMNyRq/ZhJy0YHyeEFpgcnbZIkl96BnCy45jIK4oaGkTFEpy7vCXChQJfV MhaU6Qpd6pLnPU8HG38Hl3Ys4AMSfeV9nyeEz4Z4+XdqpD94FYvolXBZdxpQ92xG9aeKN3NEnlq/ LnbNc2G7BD3228HaYqbdPxt2HDK4FB1RfoqnLylUhRfRA7E3E3htZfZD5Qo0zPpYL7dOg3rloGtS OIdfydE9AfZcA0hg+89CrOvXvqt7OVOnNG+npCdWWiQ1ROsNytGDeKUVVwtTVq5vKLAcE/hhpopy vwjpHLxFTLS/RXesiIyLgA14+pnNtKEt60pI+HNS0Kf8Qw+LtPSeQgASKoWcMKg13JS0ny3javBm 7RsydTV7UeHWQCtLCzxZE0cNhmIaoDVOWTs/8e8pT9RBzcF5huB7FLZyIiiQesC7E6L1fMxKm/oD +JtvPL6JZkBzCbSg7JDOcjzhRXWFGaJ4N2U+0YivShval4awiwUdHn/W6CPXqd9hav/SHgxlwx55 7NWF0GlPvuK03xX7UMTuS1Xp9dwbyo7Ejh9ca8A8UiqypU+d+0MC7xxVkcR1TEve8qvvpDFp9Ur2 YuS45nJStqPTyczeSET9A6YekpiyD/Ci8hMz29Lc+Wl0DaW/R4TPVfpUhwcxgqxZcAIWdZR6NSIk ERyrXRROenF237VnV+xI2eke+w4CwpfkBD0UiHV259Ji+mHMXIJx1XjnBZvOvYGTDh7OgDipGZM2 ZpUE5s26BIq/96KpmAS1PIiJ56FeSPGMMdRF8szM50OkhieEhs0sRSbvI58Wm92DmE8oldgmJ+OY KWlZVrgc8w55QqrTo9ZSuT8Xs5qakaqrpk3S95PXM1hNx0iRiBvnOtKlfSHW0MtnP/nrlx0Eljd7 7UjxtBpD7K4hW5SgOJR3LVHiisMoa0ay2iS8OLEQmSLaFRmnUZ6E7qgBDYOs1hmpRI8GEQhZ9k5v jh05iKnVsVl4cHdrT6f8IYPK/wGA6eiSZ8bRWPmCsN5HX05pr4zm8grVgUVRVfm/F2Cevfz8gyoD JsPogbntxnfDF5Vo/fV2U6kZm32XdpbJNFN6OnqxK8FsWe47RWpiYHKc+detwpg3u407aTXSMcKI 5gJXNUX3Jleh5ZPefWIRE/nffwCi54yTHV2Z84xbHSs90VNeAvsBjGzEdjea6hgoZlLdx/B98rWY 3qe+HulzshwSb31oEIelhSXW9TLAwP/adXZs04ghViNIajSram1keQRr/HRBoDKk1h16VhQMftvy eI4OCDz2p/mTGDC7lqeu4jQnxSCW2Tnq3n6Oe3mhRAJglD90th+MUoa5q6050iHUDz5zf6xeWHvD kd4Wfr+5BXQskRQKnC6iLLg/kvOVKptYZPRRp78lUYIj9ttumJ3TXtNofx3enq8+Qr63U0M5AxcE J41CmqeXPQpcAxQCIyr5q6L8PLdaiJaZc/TUMXeahoMtrkn3ioBY3zZ6KN4xcxotBGpjFPfrmdSD DGzO8OahPbsGyeA8jQBpoWnMNFfeF70tEWVGMUOEJB01CVQnhDngz1jF80eOTpI5N1bDvm4qk7nY +0QcfL4WVNjKgUoCfYenDWcrjjAu8b8MbHnp6YB5LN1SxINQNotbp/xxm15lU+YQgMn0ygXTaJzL 4+73Pix/oDglBkEe6p28ykFHjKoIqRE+8f6TeW6PM4mP67IpnWua3Wc1DRWpEzsIQCfTSJwZkd14 DZAKD3ftJK1Yqo6XI8WVqvF533wp/DO88JVaoBwQalRCMPmTmLV+bFEfuym7KM/eJyiXAPKWYJIn oKTERIwfzwNsMj1/kvgUvNtKMfx/pX6KW9fJ+xIgQudtMNCxfeAiAqGKNudHobKa1IognKdaC7T4 XzQoDBR83l6bQ5bC3dZh3hpSbu9oJYKlkuLyZQ3we0jJ1oDU1HzkMpGPzZdN3T8VyHU2vdQcodS1 orHmO3rm+yWvhIW7OfNuif9qInhbbfBo3af7nDFIfh0XE5mvWOF1vhBf0sfLDhGds648PVsKbxpj mYK0l7jMk4h5YqHugBUMVl9xreVh5XloJvmcKdJfd0UkXBg4ID4gOZYs/bqboUedlbkf4e665rBP frozCzS90AzNXrnQePE+/EHq94ISxQxPLPNu+/onrLvBVHDeCNvKI1b/QEyxoXlzHxMnLvTwA0AE 7NlSDNax7Anroi+vCcswWnxhQAfrZ2iWVv+RkyaSJwcP9Qt8dVpgmifcUmA65Y/wmgo+C/lZWg2z JGDVKr5q4LA5u7+l74RKhNa4on193PdYvPp7CQ7Bwnlr7APpm9kMlha50qqWE+rR1jQM6eVWm4pV kjxiGjCnk1oEUYK/2rSi65J5B3uLRFmHh81NgKyxDxJNdDW0uPfavLpTm9hVtE1DKVWwo9VVPb/O /L0iUHeByZMqsDd+1VBDJ61j5jqxVpKfvqBPA/eQ/VY4tXMIqkMJQPliZKMwoU82vgU3Xars9ELv zDUfH18jgw3GTs2LG38CtJClHUnHwdsBTJ6kRjezOqo7A1i52AcA3xS5mIYSKjilHK4wJqPkHWNy ZUuxvFbkBSyIC+5YXOK9lhXgryZbMCA6PixBbvtADj2UkB2VObM04AIELg320LzLbpWZ10idsi1F q83/PRah7ker6uIgHWtx9drh3boUbwsVsXDjdn1se3D/pKojIe/7A/8iH17KYuM1Sj7cLIvMx0J9 +8zHmwIspOKNl0ZHO/tUVs5Kb+hnxJbpxD64jOCs8fcDWAI0eLyfJ0BFxWV/HXzueWG0gZDUMWHG M+jXg04VcXwYv1MFne+uQKCLqLmk1/U7cwEOilNh6O2fx7WDvsLfKFYW8bsH7mObKkQv98ioq8p0 Gjgnk1SRvNzEoNWoUkjUOlerXL16EjSHVZr3gMl3ye0Eo56EDkFCA/aV1Xqdggl7tAZ2sQd/rP0T SeKw5EngEz8iJaH5npUIZmGhFZR7irI/a9gOowlBOxPzHYka8NhGTJ7eK5cBI6VyuRmpCq+bOfUa PcfizyLtMuDvm8wDu/LgCzLC/9hUUWZs4XcpxslB7KYHRUogF/sk+NkycrGHA45F6EHk9L4wXCTy 4HgEVdwpZ4B7sLXCr6jUZA9OiacxkacMAQdDsWhiC9DljZrxLytXGqiqld3r324m7nwFKl4vX9eM Y+Ay26GmgmzoKjhcZ/oYKz9X2e4ehswaQu3vz5LwRgSIRa6nfjtdJELVEFVDnnkmbJsiDKD6Ppq+ DlvBMrhyrMmwAY4QJRKnl5HmZWy936+P1PPipcRoVJe2pM2fauICR9+foW+XqMfWz1ixgY2puW5z 7L4ZbjaBB41e1JFv6x5G5UxYk1GvwxRTO7Kk3D4lWjsMvzaFcz8Y+nOQtRe1PUJzGZEaR8trrRaq wrJEH1NfpnrF/JnmrADW1VuLF6k9dfFbLacwh8Ba768z9zVvbhy1lQH4QPlPyTykr1cLHUZI2ktJ dS81mgSLpXzoXJ3+i5XUmDaXg/ZJrODfUFZ/1Kn5/IwNZwr50GApbtyzmT5lo3jBB2O+7/0z+ZmT afBPDaZxhR/Q8Q1RxUsZJfssTcDuufkJWjeggNUsRfT81CM2wBP3Qt1mOOCgMGaSi6Qf7Dvyymhc /LEffkGdarmuri3ahzuOJsrqX/3QJkOh23Sc84YzdQlEhptfN4OqCbGkoCaYLia656igLLTx5L0Y lrfex+AJCMwtlmNTVKVIhWARbo05GLBD+Eg1MZerXvLEoMYgTQni+Lznv17UJSTyMNTW9YrDNgBJ GwEs1TAMujQPRGyHfOEELnYLesOY0yPME8VCFOf6GCX4JraxYw/2Kd6rA8svGEFkn84L7dxgveVp TZxSrzx5UgMLmgDHjfE34p+6zfDyu5aBM+ClyPpxBkuGGVZB/s9k2t8t52W0JU7xVK3/VJZoOxKd yDaRteNLnYdzoTsK5TERy0Xb6kqnltBZcyG7CGFuJm+cMT6Oa8jXDfEuzidX91E4jjUZQKtxFjVG Xjn0r8UQlf2v8sdCwC8ERzrfIty5LWS4Pa+Bu7/lKbXlEZCAViPknREyGTv3MAewSQqjr5R+ufRd k3ZAbX0V2SWxtwqeXaPRB/S903Rlwttd2xM3UmKMqV5b6KAZ8TRr/bCSF6Tq9zf9gIVegt4Nt2mj JWE29I90n9noOVfuQSoAtPSCPDseSAZnGglU/qqgyuHzeUi1u2/n4SLzpmpUkDXI4dhYZb8fVUGw DFxM0nUQkUz4LWGPKfOTyd/QEB6B3icozL+pybM0OALQXj2dRxKduageF4GcG321xgn0hrxyeQRV HrIFULztjNy8sswlwpLEx2WXzVDAF2L3eoP9eT+qjfeNYgjHpmvf8hbO7n/IeOfA6UQX2mMwToJJ +hWN9g3t5VCnAQ7It2aT8KeXpWyK9gIAO2LV7Bbxuvjeo1klZGHe4il9pVpQ4sMRoiHNGDB5wxZW dVrrPbsA+o1DsJc4p7hylqYNPpayS4fHp+uYUSN8OTtdv2Pwo8WEB4P8qaPXY7XUNpp2BpXRl57T 0Ttpm7qU8cepjkVQQNZLP+Q16wEWKPHZOqd2zDUw/vudRVQuQYV/D0dTqnPuf3EsGi8DfGMVBnZg dz95PZ631BUaN6NsCSoOxa1TboiTIMHCKUPimH1IQ12iDUAD+6adAuwiLbNt7/sMxWShpC5jB5nY HmICFtxlXjY2iDa364BNqic0OL6zGXQjgUXxjDqOlek8SBggCDD/faLajxY349lvrbCvL9nFudoy 2/WXsV99iFEKpAlXpjRG38/DX9cxpoXroGbdAqgy+7qoj8n1xoVRx6q/QCaOuusUbGGtBNwOwDHy ogdMZPa0hv6rh5LP8LRDbK547LcMv65KAxQx6wrLmwjiNugukKlXmgRhPlARpCBJdA0D11n7b1j4 c8bpd2819L1fhKiHayMHrCTJ2O9hr5uu+UpDEDkj8WE2PcqyOuxpLMeG4/8/RA9AVoRUlS6f2k+s +HhywnKEwtTGyCH4qEZH3T6T2bo+fCD3zZNFfydeY9PpTfzkgvUdQuV8DAiKSG50f5tTIssB9LA2 gPRSAMTorUfpvrRq2hwEUPkBIIiyNA5VUWFPiw6kM96BVmqfJpUz8gt1FWnQMxBUXaL3D2ymfzRe cYXfuqnbH0sNjHpADgXFMbGg8wf3gwyIxD7WR7f0VY+sOdEY/ElBkrJnHCFk9Ujlj9Qa857tKWMB A54gUWevMNCcMe2IYELsMa9EYOU8OpU8TC1A/wqXPPl2cpu+EmsTdhcwvcq/bEA5TrMtiVkaIZe4 Pu9lU5qKw2GdQa7TtKuBbVIYkRcB2RXTHus9VFQK9VqgbcjPbgXsjE2Czto2kQth8qU/HydYbJLf AU85OvZb+4EBi7V3RzI6uEe9veTjtEMYJR9YKuXGATDs1e9qORetZDwXgO27wV50S7wGyQaVXewz RdHEppqDrgMHwbPex7x9DypciXGiHAu0Ra3ohcySDhHgz9ohv2dIkN0ng2+gs1ud5Jc5YTmG9Kiw +c544WsRTN/148RGMpykUBGdgNMCuXE2AgOtVN+BmgDYa8Io/PrlhN8UgN6f9AfbzsuzN5tkbaWZ rJ4krcWUQvwgMkdHVFPOgRaaEsBfzVOI7S5UisZ7WHnivQvy3DFntQ4kADI812jhtVAinUHcg+Wx BtrO8YoNgtTNelM/6Zr0JB7qCqMFQfISc0IF8GGu8N63YOO0ucSBjtU8otWxQmZ/MJWaAhrWMagK vGkCKXA1FH31U8oJDkKph3eJO5yY0B9cf5LzkLvkLIG6jUFx9Zr97hGBe1GFucbVCtbjZxwdEqS6 MDvIlQy7sWxx+tWYvRJSQ21TC9php59F61+/6tXnqQwW0ymZGTcMXbT5RdxXsRDxyEbqagQjfHqq 1x/9ibAqiFsQILC/wN6wAZCW/b1JXAjRqgVwyRmlwQVBPMmbeto3jlyEgNuUVJkJp53acAafcevs pE1bfXRF6kDkw5hdqdDkT4JeNAJQubEQQ985pIGL5eWe4/vwejb5njuiOE6pxLDN3jQTevHiDKaQ ZruEanUiqdVuDCS3rqDb9ZH04x4YojtksUorsIuY4Iw26n1PcFjVfjdvMm8uvnlq5eeoavvWtHtI jNU06teeFG4MaS2r1oQ0e2050azSczTTR5pxd1IqR9EVelWGVrm1iXuTfnVd7ajIItL6EkBC/2Pj 4+NLtyM/P6n0yFH086L2D6pIzrjzAfG9DByzujp6H4R75v7j09+1uUIXxE9X9KnC7UXQvOaBYMw6 SsZ7HSr/II88PyjC5bHAqncku8I+0K9u6ZGGk6GavTYrB/T6frPB2jkhKaWa5W5xp3WwRKOucyjO 70X5yjRB0adgCASJlrdNIJ8b16jeOgtrGY7mffkkKbvtNoMimWwCPiJCrw2q11KNA1nZ0fWZ/EfG 7XlV1nK1rMLdv1qoeydze6SHK/VDLh4OJvNHD3qDL5aR3WVoNySizawWcOgEXBQvoAvzZWtxvFMp GelJ+xzDPw705BYxdROQx3Vj8CmEvkXjcLmeW1Z67hzaaVB/qOtjTWbPa4ql+Z7b9ZcroGneAwvT nDDD3Nf0a9oaUaZK9qRWs2YPbED9TSKckkxdn5es9bxcYYn+yklURv7yPA/LUMFSQ8NcZlnY+VeQ BLMBrTaIwdDlbGh/+j9e8eWYX6MZWcYOTcuWQyzPimqerrEwWT+kAVc5R6jxUDBWYkljExc6byzW +IJMBSBJ5z6kXrovBPRH5I4+hkX3f4YE7Wf3anoMkXmDPIFN4ji+siXRxm9XsCAdQFm9E8QSSHDv 91YNTKIsBSLKO/Flyi2517vva7ui5wNwrgOzqUs7zpr5LkhqAP3k9k/fQIp+FvYUcIQfASN47QTT Xe+HXZ12xdhzOsxq/OaMy6nvxVH2AEA2Lo9+GDeWY6ecEqHSpjcQV/x53+pa1voY8TcVXTFASKqD zGDD0DtiDy7P8GO8okQCwFNJR3kLVXOgmrgqDi7+/8ja4UMzSyIMjHtgcgbYEQS8+kv2Dj/++2ax wU5AxxcKo+c+Iv0oAdngwTLsnP+IGR5JjvfqCbCyrnQ4/Rs8IK9nv+p7QvIGa39OhiSaTuu28Zsk fz2EdewtKCGUUyEaJfcF2M/ntdl7YRv+xcnvG/nQAA09nN8PLVe/aEhhCxSdWjmXM4nYWYZuUE+0 L70T5eFcPvyVpn7/yalES9PCW0BpLMKxtUQ/dRF6ZPZhdCHkpbYErDBMwOu1RDJaFpRakLAbHbVl zxDutmvOGDdAENGmXHqsrJXpzu7n1Jz5FhN64ppQedQ8FLZEaL9TFB1MCvX4kvZonJnstahvDCHK dbPawXFQRA/7z1uEYd4UKM7BdlNjEdaAPaI1/CdG5eZX/7XWpcDCovlFAZZTcTSIK2yqxkw1JJg6 5qjAbAtlfjS7tjSPEF//xNd6OyCeJLaCUuhAAPD4pgrHOpn4bc+SbIhKIi4wLeyO+8YDhCYvN8dS TlcqO721ueG92lu8+2KgP7LfdRuRnMnSI/lcFzPWWG3QULfTNAVDk/ywz4bRZvfKgafezOM0Bdr+ bXn8IbNajj6vkJoH/OTSy9LLLCEpJmWsKlWGSKfN5vbftuf0Vo4pXI5vRa+RnXWxaMu/4n2XbUho MO/TlVuQK8Wqd/RamKaz9GB6HBqOK2RaYrOK78mQoTSTrX3GkzG6RjtgLFv2f7pKZFzmQ5gC2aXz Xekj7lNupqrYYyGjaeR/Xx8aEZUEg2O/aEr21vlUQLh0hu15fs8axvdiRpU0lwsgiZwfJXZ8I+OD /5+6CjRjLx5shjKG2QS7A2n69Mck2yTTC+JA0mWE57TlRYYx/3w26L7PmUKxJU20zJUzX+r3UChx 8E7Yd2Fk2Bo4zMGhch3ElBvq8UJNmKYHHR5zKdHs9p3O93/s0qkrrty/Ns3tA28/g73OB7ol/qMi aCzKJdQWVDbXVCZPMG21Qf3hDZZah0AxL/79nZON2rVzDufzDMxj8NS+3c4R3dm6uCwDWwd38JM2 zrX4T10y2ONqLLUApa5NRG/i23c47z1YDRrhV5j1UgPv7OSfhUj+m0mxC+w/edPU1UWyF0/yl8bQ OJQ6Kmiz1YsNe00OVWlHGL//FrdgnwP7UBIrAbO2Zj8SikkCSBNZq+cgy+LCZFUUtUetcSif5pB3 ToWBBkmBoqurw4+oyw7hEMgWuslN/b3Yz87ZtZnNcCBOBxr3FHqtu2PFk7NYgHSoA5ddxFdP2F9A RBaKxXFQ+SBr98l2mPaBekl4nVCAc4NqkdHU1PCdC3DbPQ5Oq/qyoIxlRtVLIqQ3buQIHw8O0dxr J/PpZo36VJ2hCKgSEEBgzE1uD7lH+Kv77rSdXh524A6Yq0iOSKQlotdMP38rGd2Lgq0gGZF5gP41 erotBak331/Wq+fCBfWI0ziuh0TSzsjKJV/6cqrz+XDkJY7NBPdJiznMqt8cxCIlHkl6PvwLxkjm f8RBZ03ipiIChf8BRPaT6qO3mW+o2d5zqKdJnFDYbOm3Uv+N5rVsKyJ379Er5p3h57wvTi+AVU4y 92tkiRfCvESNkbFlqoO+CfSbGxWJXWfHQ32dt2fjPDrwWXZa+BTQ8qbW46fSOO969aU1MdMvXZwU luEAX6y7gbzB3iMIDs8Qbr9qdhHs9+s7Q7oKc9aGHo26+TXqZVX2CioX5QaikX+b0wNkZ5BjTGR1 yRiZDFs0NZ5MKWssTitFodJcaKlGiL5E315TlxMgH0ri7Wurk8l4Pk27WeWIbGL3BuQwxgR+t0HS 4Uk8ebSk6+ULx2XxdQiN58gk+kFzFHPECqbnIOaBKc4t6B2X26pJ10Elms1w8liBbPDw3c5jN73w vH+gb0gECvKqTlEWCnDr1V3JRrdtwiGndkEX4bHCcmN5/jZs/dt6rBVl7OP4LrE6Ju79KYVdbUHg B9EP+uHs/v0l+CBbpa0+NlEqMGMKvzDkN7A8/Upy1bU+ZvYuB/ToOU+L9EU/I1QRfazMFxYN82ht po0P52UvjVG8ViwEOg63N1db7s39ptkngR1uo3MO9lflu7WlwaUe5N8UWqjk1x9o5Q8cXN7pe1EE gNgfHNC1enmyaaPhMyS3vah0KpSGwz2bogfUuNkm+hfabHVsnvQzsthiHTDu2d4UjktMKZpzZs1f FjcTe4FPzWqfDzyrr2IKPIlvgd13QNn3fTReBZi814ZKK6V1kyyvVz3nRZf+UubHp2uys/ti29gQ Jn++opI/mgIPzO94W13fUFKD6vzVRfrOJR20LYbRoeOtdeuZoMzEmvdol6FSeVPLLDkEBykOToZP CSety5OvcIPTJrkb0k27aPtjxw3ZUKLCmJ1PAAs9pSLgRb0zacFDjcJorTHimdHIxo+JV3SHFJtH A6iWtARlBJxHPRScqsVGAaGTusNVa4HgqmwZMHjI7/0/JED2XKaa6KXjUTPzaoyAoSEqY8CjqS3A DiAnb9BweNXYMF42h2PDi2zr18Dv4xays8d2V9zVzOlgGt8Q/JB3lTkz4fmSfTFesq3YYNDkzxEm iBejqVXbhHlB5Hk4wxJ6/8Dg5JRqshRJ4PvE5NDIHrpS/kTwgUg2jjVjlKQMX01i8PwiUVvrnvGt wG7UuRNaNcR0EH+vo+1SbcSACVWEGzaGoA9kS0VmWNIhj3/lNMcXHW5Ax4H/zJW2/ILoUEIfpgup CPidsvvpwa/dh6dpfB8pxMphKK4I2MSnGMp4PSV+fhcAy2nItvMDZGDUtqODlTH9RU0ecO7Jn7tQ 40JSyCe+6FcYOxG42fkOLnvLsL2CaQ/HqVP3eMN6OGM1ZYwxMXkUl9UMGOvJM/VaSOMt7xRjHBYY ZTbxjCrcINhjwJLXzDYy+M4sMA2KhakBtm2WRQ0idhmKasQSEmazF73oxp7u01+Bz4uehm4llVbc YQuuyzz1MTInBWqVtPFuyBXpqBj65XSYPHM7vCiRD7sP2v46KU48Gi7+eMFoM97ssVIg/bLWWE+N 0NNouKD6lT5TRGHO7MK1K95yPJqilxGR2a9JEk1QaLL5Q9wn8yzPLNQPh4lNlSisX5uP+Uqye+eD MiriWenRXrKuKElMWxXEi/Pdxj99+f9JygFENOffVvxi9zP1QtfJftfw1fyreVaPow1FeqAmjddU IEe8xzv2r8R+towly+dxOJ68G4VzdzWfXxHnWjTuUEO6fr393bl2ST8aaCAXOg/SKSlVykU8igQG sSMZ9KC7ZVXQFVNijANNeyKqMIRuFqAFz5LdsT14OVULqV+Y9cc2Jp/SJfXVhUbJDN6+hBVdDCKc DX1vagkzs6P4WRKCFuya5kZytrx5Z3AeG1QmsAfKK00SgNP7ZbHC3k82x0RXg6AUQKrsDjx3SXxY oTQQIOUM4NbDd8aAcUZdbg7hH2sYJKXiCUfb2ilolyOccN/aR43D7qBE/XfVGhynTBmznOUANTsm Ll+WlsqDejenByLjwR31EIt9HlyELI7mrkuYj/Bs7PF85RTmuEPYtmmQv4bkpz6JxVH4r0oeVGMz EQnVyTj8xgT2WnEQY69pcpdQbXmWaAZCO3dFOuOOU/ct22u8eaRr5bBFTgaVC+H+dtb9bj+iUJ8z YDDExy7p5OnNpH+eV8QTuGqCSExO7DKAk3Pu8xlJdkOgnWkqRZ0epDJEH1I+EdlIbLgCVd9oZ9th 2E27WocDIireukU2u8QlTj2MrNNMw+a33PLCiwfSxKgkDwMykI+4ATJoum1FNG5OBmnk8R+1JWas yjdJ0x/pnCh4D5ea9LHQ6FQA7u4SMCcebBnkSisHDutfImGeUPtFMOBmD+N0IBe20JB9pyykMF2T ZAtJL55lVC4TPIwVoO5iiaIrk58dz0USRecEoBr6pN5RUZ8dBlQTIgbja8qOgbqRH8j9XtRO7QH9 yC//wISMXrMQ5AnY+h3pxCyoQ1JgWT/Z3nMatJEYF5V/MuftAPCVHsw0DOnVpVr9VltPItVNddBu ulfiZoem/E8hgciJgxWqXWfS4DXoR0OKAblXvVvJV04TyCWobfrY3KRIeQNg5Gs9RjsUa3iGkloS 3koKELeLK0pwNWcsGvN4sWfgeJgPOGOJY6hz3HqgpTI3W3A++rhUIknBZ0Ea8EVSpTbwgKwLdnrP G4TyTMwRYguhR8d8fSqru78U0E5DWfDVh00145ljR8tXJKtDEqanhmS9sswWN8vLw6jv8XAf39F8 d/qimxRoN0GG45IHiD+Py5BbtOtWpXLzxXhekow/KcDzqLuyJlZJLAhJ4kPTLLty9jWp0JVNMy7/ Z5Ey+Ugd97gFqzXzbeger0L1cD/wYM3c+tfkSYCj23ngTF04Pg1Q3sHp3RW6vKu9fCibZB0hnYiP m9PZcsYIjIpvnpT5OeY+hU0X+4hHHETaTZRLJDyrcYr3uD5S82sHzT2d46ElO4Pl91698O1TY7g3 hClXuJKsqeA7wxCAnlIXcQWd5XAMe/6Ll7Uqllmy5U61ONiXqfD1RV2pbcth4VO6SdnUrZX1y6+J nEVKV5mnCEBRoIIMgr7Kqua1EjmjDxCYnJgi0a9qOMTiSPRpBL2e59DjoTujJ+RbyISxdja0F+6D e1J3vIlvDLmQolTcE1n3R375lkJ+Q6FWrEyGzDgOcWrbjLJjxV+7J+ESO8K1F9/DgdnCBOTdDhiQ gnOzGI7X5I8jla//k+cVmfFbIEVWeZxYY3hr9JAwsYJc01b5ZZMPnNucsmn8NxxfDrrh7JpTXjms 7fbBWOUCfVVTtiDslH62+RwMFV+t4wCEqsjaKXuarYEnQmp+xluej9b52p5lvQxZkzIBjj5EZykE UqGjBi2x4deGP8cdf9vjDBq8QVGRCHUosC4Gi5vRDiB+Uxhxi+euMYlhKeZJIohefvpV8Ji5tNku HrsbGxP85i96nUMTwtHJT401OtNdL1RwCkW+eHvNJy7JnXiSg0YXwQkPpwS7mh8dFFcMntkN033G DxRKRH22zcdg+Hb8j741SEJn6/b2TZ9hKzEy4kg7m3x/x+AvsHajN8X5gRkQzJpA+QT9+/nOuilM lX8ukQsIDUyGFV3p6DpbFdwPOZuCOiSrB+szeQBoLyJjHL5EFTQ2t1sCI6XeEKf4jwutc373T2pF 5yjZTeRth14IPHWklHgEpZImh3BYPOLDpuXLWuFJkDGmHwMTKG2Gstw895qlxT5nYl1IQprPcu98 OctyKLJ6E/z1TqfpYHxpFuysG4SEggdKJaOAHKbTbNPi1irNvDxxn9gndtmAMcB0noPNns5/6Nsf igYXrG515Vz6dTYf/JvFrTHpDdt1LpVbG23nVhJQmfYpDEUWYlLdIuqGbpfipWhfKNWWrJjniXgU dtaVz4Z1ZoG4tncd9LNkRolkMnBwynPT79RoRAEXzhrNXIkS3WxG/1afBkMGBEkGzuF6u4hmG1Ao VBPGgCX5RcEfUu+SW5AhYYgI9OeyKxx6761taIl2KwIZmu2PwnMu6ajEyFn6VZq9Rd9EHb2Gx37v 4svqSY4/Z8H9mZ7GmKMXs6Q8jNBcOXever4V3OwMC4/ZNmXhgtInMD3f4mrrVXI5dFAVXiL5hsfp 9KwzatjLtWjXg2sJkjEeSeFmxjet9YC15fhxpq/OTrUX4VsH8kUUTmRK1cgJqRDqkLYcPkxlftf7 uyeXruQZ2mSukdn8KlRttYeV5Cn6FsoOsBjnH70LpjjWD8hftCt66OfLalntcJBvmBDYFARJP0KG EpzpZxjHaTkNuKGIz3oFp7uNLrs3CMXF+NU2ezrCEs8dF7MCuXMmL4rJyQktdcCRISrUpyMdW1XC sWGphKmDmv+eyOFnsqpARCzM3gpQ0TyEN9GtASh9IIbUKvU4vZcvMuJvZNfsZq+20aaAfBNkgNPY biovYRqdjlRsDeJz4U6qmDExlveD3IXF6HmjYy9HK4xSxITICxJPIOHyAuCiot9Bwaqu1fcXXJAo TniJlLXJhwCTxlWslPCMbM7id/O4LxUte47EsZidBHVaAWOXO1oA8CkFYjW9ME2Rj7b4wJDtWLCx PWw9QhfLkra6vL6Iwk1O6ld3G9YpYX/CSG3rMxJ2aMuXTRyHzCWwuvNJJlRsGt+VLAKVjyCn1R8E reamzt1IB8cDunMqfUxHdslzx/v1Gha/1Pd1p2PX4q7pKbnOtpkukf8e3kYq3BuYZFfIssrr2a77 1wiapKr7m+v+aclx2FfFJUIZUj9hZoVSF8X2OsC3ad3DVQRW3gI42SKMg8KuDob8y+SVdZXifIio se2K0br0897jgF3dZaYZpMFWYMX63bVgiNmeHLm9TtMe5SzVNjGoEjZm30M+1+P6DSN7XdPYO+3m ASvCc5Ull8df1ZxyY5oU0T+vscXgcMOHskT0PR1AoGONFMylZXbofO+FezmgfZWVGA18NnqpuzU+ EoPTtKfp8wonzitTrGc4KkFk8bP0pB/paPEvdngZZo786KWNzqs3f8l91+8sIQa01VXtRJ+qYxYX QGkBFpushJqLuHnzj+o2Qc3POSRglPSu1iSa+E/BLf0c0BViBPpCgyB0UFzYW79YUIEL7738a7gX yy7zdGfd1pnYGMElXqnTRZOqVrvBhcD5Kbfgj864RKtx6CK46yGtSPjOkIgSlke5bve80tBvsSb2 XBS/GS4O51s07HkBgsewah0kYDaQ+NhmMI/rmtrFN2Dyw1qoiOhZY2mnGIegDIn1lTQ8R8Wqnjs6 5a8Dhu9RX4cpeicBTRiBqN1NByvuHve0IJ8VkLX0PF+8IJ4GTFjTM840tHu7HHneNpkmPLsI/RHM m9BsBt9NjylgnbkU3XlQFKQ0H/BG49Rvivbs3HQbreAYvIdLDHAneS4Kmob1f2vFJtnxmcXZ8GuE 7cSuwaFpolvLzflmnwQTrQaQE8auaw/L9oZNEntmVz7ioh32FJjZk7W+pHQyoqJlTOlP/P52UEMp Xq+D+4tUDQv6N0v+c/IdghInAmEza/lDDb1tjXisN6UHN7r66BJpRFDjOcsYHiZDebdanJdrvbkp XecF1HuIC59Dd0MBjQagiHbN0g+Xb4d2/NhS4/0sbj37iYYVore8oAl1GWmpphGRN4LJ0momagtZ hxF1kjGaY6WW6aGfw7enRp8gxlrcLss2VjrftSJW1mjCvXpSV7ta0QCekO/DVy5+20rVeCu1GwMZ K58zmb5fzDvRuFQejJMC27TYS02f44ckQiz/JlSefNEL15vfwgJ6Gpf/dnBp2OgRL6AQ6PDQjRqZ zJqSI6lCjY1EWWPRMoHDCd7vEyVFYIcMhwIi1TiMBWBstcWazWU/8VMdqFv+W8vWUBbRqqIqv5yO 8vlbjc+NfrdCIwzyxazTBYD7tdrk/VZ7lR2sMcn7gUWmv19O8jlZULBQfWwjH0QO4lZMs2W1mzg3 9KASShJrtZkmoSqyC0C2osxzxO2okhpWism85DfCpxRAWJOy493YMiV4Iy2+MgambrKj957/7Kcq qVh1KAJMCyGQR7JDF8ii0p2dREfq3Q+vb1mNmOT7c+PXgybY5N8cdFNNDCgGltnYhvTzKVTy+qXl OyMVnqQCyPnsg+1/rUPkH7eEyiHhcpm7wyy+/d0Fe7pbFJi8vKj5gpfzkItbW4iRZFjWoSt7P7Ax roRqDpTDpjTqRr4oUJNB+mnMHtGotsN24pxu9dyKMb29kgF3J5Wv033VuB1cEgTU0U5IQS/g953S rRFkmha42qpXRG+3/XT1UPBn/6BKpVUlvMKn5vjBTqvgkIiei6FrJ3AY5kh/kj953NSqP1nJQqae kBeitvaiTOkJTeAEyPJLjRia5Ixeo7cRLyzDGJeiBOe750WUtN+69L0EAgvEBFiIwMinrTfDmBjW NltuQdcV+X+A/kdA4Ukvsi0k5h7GRmXAnyeXsLle8KW/358iH2+e0G+nc3gOj5cwmBaj8EpJke1m IbKLShoZUNmgWDqMPzMv1HPtFcRr8yXq/owJU8x9upTp3i6girLSyt/EWTtjeWOyX1Skjb2LsKH3 VPEHgICVKuxkAYqlNh9awyf+Q73xCHZKGT1LcOdXC8/DnjorwXfsEcXkk4Qsql7212Ep8Tgd9dj9 dYWTW7hh0UBJDZ4GKNvAf0VQa8sSa8kpuDwqZjc9AMcyH49yQQc+DMrx7/tNLsVrf16E4JRhV+mT qjDSpomd5jkksR07eEPST9HZ1vReK0pyZBFoDAquWpXl4WX9nUDb0gaPkz/NRWTgC3+b22dr1TWI 6FM1MdBONfSnTzaSFD9UO3Z2jiT+X4UBB7ZSdhg9ogJMrn4+BQkQ+lV6wTh+/mrwdtKT5puq7+h1 RXiA7rJaJY1KoPgGQi2dWiqFjLrEUaenIfkqTz9f8CNItabl85ARIW9HOutymDxurppU8RGaHnfF /5Qlp+/bPf3niEfUCv+sd2I0KTlheno2dEatUhdnvWkzcEul4KI3q0c25g5vR7+G/9A/ElwCQ0AO YeYStaYhhZvq9A4thfyWFMgaHHvhJ6Y5zLwpRPT8ZsbdUf/QITE5osS882X11blWOnkYU+pWGFpu OrQeNyLukig+T3G98cp5pMFcv6+DB8iCqUrJPjYCRppz/ssEVPp20gAk3TIHD8c5vix1dqjrrnw0 QfWk99HIH/JESTxNbWPIEhqpngbkY2DKv2ucLoHlICUG0C4R6niSKcliyj/dfdDCvVDD4DSPEuxN /Q31Dvd3imsjpmBdisrAFq1KYJDNeBTGKp7aE48C21ax6SKvdKyYc+NgdTr11eKwaGEhZLfaaJv2 l9t1zAjLZ/vk1odjv8Ub9mvZNXKz0lam7sWt+CRufT0Pb/R5yHEWw/nJN6+28jzVBNtfykQzdVQz qsOOWBQys7orXB0m+zouvi/nwkBW9a71O1/f2MJDoD1wak4j6JExYyqNWzPCw+lJewfDoUmQfgQP UnXbcDHUHt7VC91BT6u8m1xIQ1JR1Yw9HqRS8V3fiekt48HLML0XIrF1CwQ7fSh+BSkHTJ2GxY+4 z1MXaMJUo18bNx9tra1+LJT8u3fz2ZUKJw4YECOtvUBpSq5LqHSqqgotD7V6DsmLyY6hUOdXgpCl AQ2LbPYW/IFWt5fjCWK1H9Q61FEKIJvup78oo+Z/jAiLI2wJkfflZhLZu3ss53l97rYYqOwVSkN6 qnX08PhNUfEWFfB0WDnVLMxMGZy74cbyTWu2lD281s8pfejWLvh0xdkghU0wfwH2fnSZZ0nYYHTQ ax2Ti9DeDZrVOzXHoJFhM7cR/tZlC5DyI2XmSvUImK+Chv268/5DkopMZy7ZOhQlGSd6PocuhYA9 /i7eOYGB2Kci9SNgrDcKIVGJODvwWqXILeZOX6+EHWGW8H8z2WBmvldMJTFRPHqLrUWRTtkR1JTO KYEUcSxTvpINAh/e2wTbtOVB4NqesdHNBq4ltNGrE+hOZ3o5JWHJrCh0dvAVN7vVNQln9WQwKH5e CDPzDWpZUqPNkzevTQXVE5qyqYE5aRFyGqY2OtlLNXPK+NfIzppAwDJuap26ZPs9O878mPySTchw a1QWUFbNiPKMaSRFKQwUgMYIuIBlItGQb/69Hg8u7C8Da/mypW87AcMaGRTyYb8hEg8AaS9sUsIN nyaperTSqxH2SGSlnb46om9lxJYUuYaQA7+k9IquJKTDJxYFgY7et2+3rMENsx9h1fflTMYiRL5O ceBhqCN/y+OyISaT1SktlIWJqa63fm19/VPh75YOOOKR4bSPhtSRgeQZN3p1r8fY2gqJg5iR3NT5 3F4pZ6Bnlt21VV49PXjFbc30dJkqJ5jcdrAodjmfprfPmXAP1PuPQ04PNvzao0s1GDUZsBzhPS7s UBinZm4J+fYziuKH0raw8KOlBj6eKCwJBSKRz4K53TZiRnd6/DQfSxerhpD8EnjxcWn/SzSVr+NL M1TEjkedDqnMt1NVBwgVZ1zDoIGS2yKfyPYMdzKJWc7lifKafPNAaq+LGRYMmqjvntUrhfs/PCXQ 9MbbE+8wXxvzi5aN+EpEv0BgCJ2zB+yYiCwxtnV+cXdUiUymeGfsRb4THpPB1Io7lZmcuqc0aovQ IxsINkfSJNFMlDpLIQX9dwYX7A8fUVGJHDRWjkC2OjX45ZGVcavgqf1bLpemZF7vRUF1b6aMHyAt GGD0LP7f+/AzCS6wPaifSVld1R6VNSudaypd/vZdkZhg+1V1zGcUhAmCjGB1/UxJqO1wUN7hJjxd qljeJV7+HsKSge2O/NptRYmBYvCSkfbegy4sT5fm9ekfyz55yQGv6y596of6teEUaaE1pT2jHz5W gQi5fgo4u/HO9Nt7gelk4PpN0BNgfvdRwIh1tAIUzsLg9a35U7ZrzbWwqvqlPrV/uYIIYAH1E26u a5VSt7/kD3vBbmaW3Qp4ffnPiadoV/NOWtbltM0/Lwak329qaLVr5r8AFK9OmbSHIbebMdbBFiPv xN30eNUTw2stbbCWqCqOxDwntxrhyaVAtz7jDDxt9X5tbORq1cJavXaYkfzTRIzCPcV34ZCvAh1z 80NEPu25E+Q1rtcgP31q8a//npl/FAm87mof7K2cNWBvVy7MWNRB/73p5DDgoMQERICoRqloxYZA VKknpIvmh7uzvg7afOTN81ej3bW4wKCdD9pGsEjn0Eb83uMLiNgcXWJ1Di0DTweccGu2UoaHY5X4 Qd9/SxpppJVS/8+yajCPKHTza8sPxVLxkMANYgzfAqSJ1x3djVV8Bl/8rHmbcwvL455KF0SU0JBv TxlzwvjgRmC/zdVM3cnxR73uY+G3HOIu9hI84tRNcpLGMZL2LU7mM42R6/r5GdcMQ8mnkI0aTcU5 d3yl2B45iI0shyEk3XzGjawrbQ3VVX6xPfMRGqZEHQPrdZrc4d7/2wqy8MQxE/lBHizd3I4tunUg lwGQg54VvZ2yAM+b/PLSRIX31miMdp+FT1aSdhn74rPu/95NqQ31uOmv8U3Wgiq5nLzqI5lSiaji o+S4UX26dI1PQ7uSqfSqQl3r/o/S3kZnBX7/lBCQ/HHK77K01/Q7T/QymA6gQJJTVDOTaOjgHI8C mZivm1wMvgxCgkXLiETmI7Hv63AlhmYLkX4QJTFAxlytwu+sRZrkQaxgxDqWrfamujK+buJIgaeX O9TSkHt4zM+sILSIP9qLc9fgBpjKaLstghhE9+Bn1JgsGSdXShLsewcne7fXYIWqjC3nymVMjAV2 dGbJune9f53i817fHdhDpJwWemMo46IRimbwORcjLWk/HU/7Yuv3pFe4fUFfvc/u/KBzQMNEH3Cp CtboGeLBKaa6G+KLhwUPrJVksSs6S1BABfMMQ1lOba8S/b1rMhm3BMjpB/4huFAC1cZvUpnLOohE i/888q6MXwif56KostLgoCfXPp+MsyG2w4xDYjhjPbu1br0EipyRrXDYe33m/867jhiUnXhh1V9s ZCPw8x2j52BjZ9152H4EUdh66hRg+PzT2pB7k/CuSph37r531VJRTxxqguTXksiEDxvEU7pkGqIa X9bJ/2NZM0j8GOGKr958jzTxakE4uz1HsfiRAC7HuIlT+6LtisPD1jGlxjeJ5sVQJPw6RrVcTeWg iotFZyM50lDzKexTNmkLJG4MG7vO2JZQ1WD1fxTWYK4U0kaoasOeOi5pYx2Podh92ckMAz1zY2bU PzAIDvb4RqUXd/oXaXDKGJ7SFupfiz4Ke1FT7VeoNQ8xKR2+AHDwY5jUuJQrw8Xx6CGggctMMfBy +4RJmirBgKD8c60/yBbXyQyrGovOjEJxOBOnDoa487SH4csuWnC+OBqU5Pi+3zSdZr4crrvLfLWg 0jGFQfRf7AeehMdoi4N+zy98izxjokUn6eCT8g+7xnXW4DOXb5/QdElWSbf98vHg0cxdrlMzDqvC HlhDZ9Ibr+uPaJJ9BCLoiTz7sVnXZzr56q1lYPEBaBKgh2Twkhcu82vvr+gAsEGs1VN6WGFvQGcQ ZmHMs/ldjY9CyyYcwe+FigjynlQEBTcWtYRGjxbJSARqmfv1TguOif0Hhu+ochSY4J3ctqFFPkQA invUmzDGgSRd65wyA8jt8/usZG+L2ccL7TZipBRpRD0+3wluounqdSdoZjYO3wAtLMryi+yafdSL vcAyP4ghZAWIcvnxQLey3VGA5oqf8NY2ncy3lNYulVsuiZSBMPK8LQoOWXpzKIEnS6FMVcWrV+uV QOTKboQ5xr6r4IxFX9Dlq0lp+klo8quYH7eCjRCbYMInohLBWfTJfwM/htx8DfdpEVxrIuoT3DVX rVyKlIsrXrbO+KZ4bExAo9P9ajJrE9qww8CSplFaRDQJ9NuYCHpT8YcOUJ1yP3tErQXrLTLsG5av D4j2cQUB3UbZWkIFANoWScneb4zMEJ7f5+pveOqhorJmMSahuQxC6rLSlST8q8PJmxVmQpKqC76F r+cilehIfNzqBKyaM+LU5ScRgbCymIFJkp8Pm4JZhNu3bE1SpXQ5wyJLsWQV4epJRJfOUPNbR5Xl LtLN38rQLto/8ul1tKIpqUNckp5AypxU8fh4OJXTf4oGS6YUaV6C6oIeqaJHkfD8WLnjrVyxeziX zKbZLv3qxtHXmUdEiG0f0iN4Kveg+5Sn40dHLb3gWT7hMrD8e/9PP+jCYTCTax6+uC/utDneZl4P bb5uEooZhJm5HPttm12fKMrLcJE+F2vS69LahLoSigG44KwYrKz+ZQ3lwL/OVnt+/8p3mJR2rXAw 4h/bSm2a2iPxa9KgfPNWCcRIvTUUlwYnul4+bci9Fc7WD30DSIr3yxFH7dJRHKnbqMgRdKpKAwnz cE3FRzdmqSeIvjowcFtkV5vDErzyHZIt7iVFSn83Toq8Q3m83cgAVwK5ci/s4zJdeDZyHzBSyxll Mi+/PG3WVkFlkBJMKQyrpcqPWqmdrwZr5TfNeQCSungYLaN7t8yvbyu6KJFPZtOSMutlJurXzBK3 LXrh088TmkQjbyOMvviVLhs7Eg8NaVwFjLqyQtqNIHPbpRAbrVuZBfJpDU132+dHsgr3mB4EQxoc /HGWPDHV33/KzVEFWNsQy2x8f66Bax3fC+ENmMpocMOr7YI1Td3N+q1C7KNB1leVome5EcOz23eS JGdawyjJevj3VmCqrEZBYBJuiZSUl1UIMlBDrqx2uERs4ub60ZgJA3FOXzwk5o1gxYLAftTPFpv6 ZQxUnwdBsPYht8FluKsAlEa4M68YnfepmRF0T5zvlAocTCQ7X5jqxqqXDVGCDiK+/yErdFvKwgz+ UhxM1Hfy74qB/ouOJkrsayCudIbSFVz15NI4i3o/MSNngvOpBMHKYIw0XuGR0GVaa4cRAl9xskxF QVXqhxl9v/y2eHhPSWuJMGjeFUrpE7LmOpYEOd5RZDa23GsLDvLkAugyptqX41XgURumrnAlsihc vRsIbIO/QzLpGkM8uNz/BIbfBVVF7Xwc1JSllEWTXoWdvshMJBHVAEU0ECW4bSeiCjRcWfX8Krhp 3/F4Vs3RySOL84Y3a1RRQbGd7yNcJhLbKCkRGLq8/Ovh+kve8nTi5BlMxEEdDPm1Q/CmXyvJIHnu 1fSVOFyOT5+yyP8ufgJIop3ln9ObjUnTvJAKPFRLN50YpZdnZw/2vemzQXINP35wMUdVH5E8vD1r VYMzAxvc43878NsK3iX8sXFdPvjZynQt2niWBB1lv1TT1Jxq4sGDWFJkmx7IkK47ZwVRSpj5CoGR iIe/IA2Ct7/XopJi7eb2ad4iIRVYh93Wa5eiLi91QZX+slDUzMSyYidc12m3bfj02Et9JH8qmf5x xc0q4zsXSpSrQQA6Jjg8qdAcKYWcMULebPqNhmli1OroEMXu9YtqsaPaZEBTnjbRoBzWgreur7QA kKC/S1D+RyOxdFvyGTYKKyX4Hr/ylrjKlpeV95g/OG2g6e2XKx+38/ZAHC0bAQxuJM7JkRtybXj4 T87XEuLoKnYV7NPJVMV+rDi153ZwcfLFdJVv+3lwB+9dcDC4znPiITt3Wtcob+7tqLg47tNo2gQI t8F1V3Kgis4c4ZL8avjEHlFvc3DpE0gpwAc7QmJdgQcuv7IvmKi3atjHuFiogJTEZ9XGYae0v0wE PD/O7S281A75R0BCLdnGksb0wQI7fwNs36M9ydps28orD/h2soQs3iYJY0cHjABRYMqXdCI+PiYQ YR9mB/UTtcY+QT8ibFtoAU2tYg/tyQK+lacVqLmToSKxbYxXT6wBj8cPUwHel91PGTBkHztCvtAu 1c0XNo/DQtQ3Ggr8r0zu0IK1XEtG8w2RHFliouzNa7n3oM3WWH1gjHw5I99+wTZ8ZdRKsVnCIMQw m3excXJWtLAIodO8cre1vdmO6O78/aujrM4+i2u2mPs0Q4gzpU+n/n3FrZIOF4HycjIhvXJmIHFQ QMhZvrESAo816oj864xPRJg7qxLtGjQTIJZJZuDcgMQdVI4QVuMU8wanjUCReZCeavr/hkpxPBzj lfN6bpcMgl9fvqRNy1Br4UU7+GexQ1Tz7ppEkgYiZYj9PgOU4YjQc0Ndlgf+ItQ+tSyxvZKLNmiv pXPgCxkzqqojUy9Ex1Pbqxa6X6/X39nY21jtc66WHhUANnpzs7SO7++z5x8ok3ZbTJkJZAGseVN5 LmxrYE38T6C3swRfMbu1E2Oihceia+2XvIQh6ujWwAj5pwDTzm3atFce9ZC1tuWU2tHdes9GM6bR KhdIUSNp2fD3wXE2B1tHoadx3gy4epABU2Vq5LiM+ziAgbRrBa7tfR14nFelTR0ZYbBPa2aNUPxd PFTPyU0mXEb0IYadfnBBpTN28LuvGuWuZgv/6YEHmch+XP9x1eEgpzr0hDSzmRrdVGX6MPQlkHZ2 dhDniIvNU+SdTX6PFC+X0aG5Dtj9VRopqWAL4wk3cXsLlXSM0RnXRVdoqrPkGjdrTxrkDkt9yIHG j4cKKnqr2/GUkd/JW+pcv0XycMJ6+CrlIS6s2duuUytASBLm5BE+CvHQtDupZh8g5kaGmLFdbO3r 7GyFzYCRW4j49Xjj7pGBZz/ffC6A6ewmOfrZI2AJB9jGK0M1yofq2aOOpBDC1xjc/KIJ+AEPIPYw QAO+IiflJHJKJ6sPHr9IvRrFQhZ6LcE9dulowIYLCLN4lyvQ6pSKv1pLy/XIu/PCpgfK0b5ZWrVP 91OlSKkydIEOu+Sd4edR4v0AI9ekqsXxXjUmgw1E1RK1ZuiK/jXhYuDMlRUizX0IyZ+bZGrU9P1W dHy7262mWlXMuHxN39EjcjVYcA+D5vXXtYkScIb9j+2m+PjrShO4a1uXrINwps+0g37kbQ7pZBMl ZYc8gcVHnZRJ2F7HbapjzzTWbcWUKXw9+i/nenabXG0ylMZJSDhjxUM8uiCgzugP3foNOKRX3h9h RbdhbihYMjqJVOJIXKfPEwqH+T4YFB9fDc8qiv9GMq4OUjNjK92J7Wd/DsDY7/DJZyJRNnn7jyhA +WJeU1+VIjyR0AaQ/QumZATrfEZxY8gCJELJZP7fcqkni59kQrn3Z+zAek99EaYry0N+bhvkUflL 4B70JP08FtRAk5NoWRIzCuwQN5qNltczGT6JqaIGMJ9KwLJma4RyaD9fYeHQRjb4TsPk8MjCEzGS QslWqW5h4ZZsTLmY6nNKyjG8zm6XpeMdhKuntcY8VJMQTAKbd1q8b+waK0JOdEKyd1B07Th7kRFM ti8+RPpksJ5Jlsg98QC0Pm+6yKdG6oXWvsSks2pZ6vLEwqsooRhyGsai11l6qTzcmeZCGp1fw0od aMMUypQyAo+c3q90N47emfgbob5m7e3JT9L6ltc0XUtJzdR7tbzUg+rpbREVBjiBfD5pOxv7+tPy k/HJdgOvY3RMUJLAYmt7BQpV/HGB+eL5FGWQgALvUv0fkEcU+zCR+cIjWGxPNhg3xz4aSp3A/T3F IuFhVAP4UBbNzLw1GW580DetoFP03LG0g7aOEn+9vyaGDK8QE0aLMB2fGni+inQvaAHpgVPpvURq ebgVx7Z4weIfdSEUc7Qnw0jF0m9rk0qUkFvUQJ92Ngbs2LM5HgYmovOeEgW6vOPgS6tHBRjZJZ5F Xadpt0ssUZrArcW0m6imeIC+18ud8klwUpRen3CKl4lNfbBQyIRxF2aZ3Bffnx2EPKlVdR0LSUfi ClK8eOLCEeWVbh0fm5Xc3BvrUzaozExI5OSJyYnexk8XcqOc+NT+zzSQn7xvxKg48ypcuPBTS8AR 4CU+cQpYtiLB9AOep63cENCz49UNX/r1BUivmgYHzhExGSwHTV112R6gJBGdXN9OCgclxNx/jGMd lUkICOwtA2dkA3q/UJ7BeDlQV7WC6Qazk8vTLIFAjg1gCrcHKNNiHOBJHbdNwHnPvLVGdcWyE2Gs CW0xlORtPQ1Iry6k0o+Gt3SPAiiMrUkWV7SCksGgiAcAXN/674rsH06p4G6fhKFGbfDiqIPV6Fih zexTQgwGfW7mPySlWpyhjLosJKtgvnNZihYnFVfxN1NoHe7Tcf5rxdBRkDXqDlir/yOH6CuN345z zWvs1wc7gAlt0um0q89pDTP0ir5fO/of1wMXmF86Nukrc6vgaRkDzCKQu6dSvfc+yehWh3o/YJ+Q DwxGk4DD4fzqOOQvsVZvci2J9DRxQ3MNOAf5zSnDe5CKz9NVX+xnDIBLzunDGWEJm44t1e2W7rwX mYVbanlvdfOrQBigRleCSKjmJOTFEUU8wfG8WRuHVKSYogRSKMcQ9qrQMOWFMKJLB6n0O9sdEO/S tvLY5PktCRg8hzf9TQQsP22P/Y1sI0YdKpQr955OZAEM1p/wTrf/1CYNM3gVz0BOKN6i7N6SoOZs R5UqlsuFpOO6Vvmrm9AGjjwMomocDkq6O/bQAn9NdZID5rABezRAN6LwmK0zI/L6uQkMykJDYQli y/yqg5mfWHghrl9N2WglAbqBRP+M4aIghTNbqZp1iCsGojhcCgnn0LJZWSx+W7bzwi6h9iYNog1K O0B2udes1agonr+DQCzPEnkLU6qHBqIp/ZdQA/Mns5TdCcmDeUqNJ6CQJu/SWqRdOJL50fv8y3V7 /qdwi/1j7t4+GzrF0gP9xFEZGrXtF+ChZAa2CAtYu45ISjIaXSiuWWeYUNdfTqtNOEQtXVvx96GV 8KwGLaCglK6jutjLEDQ5NkwOCM9Yf/v+AUIZGqI7+S9dj+WzP+dbiTHC0TiOFi96CESRZojkK+hn 7SixO/qnWYU+WnWtsA0WBq5joJxk5XkGDDProEsu7DluqIO0q/HoTa9VfQ1TT0APbZKWmJpd2L9r QzrwEn1Uho16zgXFeeWPXHwz8EV8W3y7De0vMdtOCe7aQTnFCmRat3R3nVYyWxsWHamvKpM964HS td6TwngCQSHOPl4eqvIdOMwA4Lh2UbpXFGLCOTd+1jQ7+Wi/ydanwGFvS8t4LNpOL9oR5bYZ2+XG pAhQO957mgt3eSIZDguyLaFiplPF9P4IfZV/BTS7Njwoe/RtBnnONEbuORsaL2OPBQ8UZVqa+4w3 GVStDAILGwRBEA/rN+xwEYelCPIv3/NL+EV7CDuQ4p1wMQFuyHdsHeXpU3C6vWhno6nr0CX/ekrw sYy5DTPobVtgiM8+ONKOh1cvbwlc79l2TKzmJWyWYL9qwf51pf8FtXWgCABQ96b1FzNumNhRBbxx UsVjcojl2y3mG/QAwxf5WXL6C1xHtEaRgBPpS0WETZ6dnWRyG7cs3LbjH7A+hojs6O8UQjSdYa7a dcL2FgV4bHtCTKV4Q15RtQppz0zxofVE3q+jU86oa/YgJHqOG7Qp2p+iFAQz9Cnv4t+OWBMKlhyh Q9FQ8my/erjRPlDxRlG2rcRXsdTioG44j7tkQJLHE474vCpPaqnfMw8vt1XbHwAL5zyRZF0998mb wcKYlT0r7W8YedSvgGhAW+kkv2O01zpKLh5FkJsPF7lks10C70EiAb7mfzMmAZHDgxBXjR8Hlfob VUuva+C+7re6VK2cIGYivbfFBEMtG7Y3hmPUnb/IJFYvpqy7352G9Drr7yxyoS8dPceNYSGr9mGu uWwSmnS3XgVd+fKFw92feEHKwQRmRaRTarnBnifQjKxo2uY82FxEoNe5nX4jTj8rptHJPOY+gsFk EiJ1mqAzPdiY9tQHmBZCbDXXCYLUq9mvRzR9w3cpXN1KKToGzK03Bdz8M9HQf/2H2A0yfTJWP/I7 pT340cB3mzPwS/2Fh+MoLve18XzUiLJr7jW2f2ogFfuBJPR2BtXduSqLq53WB6tLv2i9KYkfVybn DIfOhe03ClW4/P6SeTITZ210hb24U6tf+g5Be0FjOLqodxdSgpAW0pvoFHcXlUsuoRnrTYgc+u3y R6bRjz7vz4NDxTYvEA+TnO6ji/w3CmwJZfXjA/MdVIlvH2vhwjZxBnU59trLYPNacZlSp6QLuYQ1 DnoA6Y3XNx4Q/m9UugNqe0ELLN+F5M0cvnbopIDzGeBna5SuQXM8oLbqIIwocS1SfiWjTT5QitqA 7hUInV7CI7VnMiSHYulhqXKOOzJtL9+BdZtB6mqTXvKOm42qGLHgrhui5FRFm4EfXdyMhj6S/Lvt jHxS9uMNDBYuCD7gUJy5wlw4JiH94NnEPSYVI3B2k0/i5eh+X5Uffm6f26L0yYWaT+ar0Rc0NxyK bLOEXXT++e1pJQ3Tg8oULfTOiBRoHBDPBRJDKz337WvDvlDozGqYmaDTBNYF/BZy+btdz0cLlbgF F+flsZ9/Epnv2UzFOKsyDM5+rb7v2jIBdOiq1F9/Uw5GGFXZBm7BQkKNeqQxH+MAk444lt28y8MR 3vMTpzdbVYuM9PpRxXamSmqvuNG7QMlUx2SpyCRkMiYeElpOu9Y5lUV7jSV4Si8LZlaAJ46g2Y8i jDrEbqIpKIuXz6cfOfS9t4vvRBORbKMkZbKAKwz4x1++On9gZfTPxTr8kyCXwLyksjffhlfPXQO8 JV5jy7bBGBLgFAYb9g0APCm/s8FA350eFUFwwVCQnwyd8LkwYG1R9+nmR9pYyYMbtS1v/nKdlie2 mN+ft9xb17zDva3wy78t7dcWG61gHaQk0qyy1x9brGCk0ldfdN9Q7BnqAaaD3aEx6v52a05ur3yt lCUf9hfWBHas34WrVomXTefnD0x8B+0sXOVL43MDlONOfF03KSBvPqTaWZuR25jlX3MsnOPi8kfd 1wFOLd5VBeN8gIv9qKTU6EsjSy8Oq+0uhhK6RFjzPRavB2d+URRz3nfrZdgh9oaexDUQY59METkx TmD4iot4oG1m903cQriwbEjIkhGa3M+vzrZT4KNXYh5J81s8UGOWnD1R7LIKMA+a33hovyez7Ce2 FGhwc3xpOIt590XubLxw+q18T++ugl8+BJEssf7JYiiWeP7S460g/r+NMc/RsvO6BW5a//5lefzF ZnWee6kPBwFKcDggEPKKPUFgTf8lxg26Lvae+pZbkb6HEKD6a39XsPfEChIRmLSoirc8vAdGMhEG h/HnoiySL5yFguHY2H0cSSRpBQnjp8xSjrQA+0IMJryH8TwpIXFYwlNcqTtVMEZTabFZOUx84YpH Ddwsbf4uA61YC3asu+IIIS84vRflRrSilFmxqF9cBkfgICvqOHPtIbTdwLMVzeQZqnkZGx3jYPK+ bMvh5G4tQLMK7HbnJPH2VD1NHjEuWgonszFaRP10I3JMGLT+ccFtjhGjmSqaOkXGUC9gOdtXHHx7 3cLeOFVrKCGJX9hBXxNdGKsSLnz0f6vgypPYvm6m+ODax98VVa1+tXMOmvfcT6LariMJ5hVm+SGq TPC+oe2vpx1JcP35osWvExPCmpjF3SAwvJhH0Sdx2T/V5DfeOM0bt9iGH+xL29b/y6Oblt5Zlk6Z 8vix0p+0FkuZ9u532lVV/9thWJRunW8laZoMcDLKfSXMstTm2ApC8k1/6ad/YfSJMth/KQ87C8eD LbvT2x+lgpiAPaKI8/gv25OW6dL1oZcxlOIFA5l5rr85pVLyWTLtqiEDzDMFMjj7AV2i/NZjK920 uVSTRX+zkJBNec9iF/biwzGVvq95oZCHxnn+ViMoTdvg71ISRIqni2xIX8iEcqLYjJ8q32bUhrGP 24vLsCV+CCybxI5MHhENmV9RP5RFIm4bUwuF0JfoWAbrT8jkJy1yxlSMpYsgxTar5Y5o+/4fIKKo wKFDmQBg1BkDpFeIjSAGj6rfdjYeL9Q4zNGgujGWJhJk/lTHHzIoDyoK0EQ6I8JjvPIfrYRJZcB1 mEtuYSQASFltxmSabJHE60Z4Ik1yduEBlnvlZpixh4Nf0I7gk4fK2m2u8UGPZ7XUPGGNFNra0D5F 6vRI5BKB5TV7iX4YEoLC53038d3OlMoYcWWiBc3obc9S5LFA1NFsQaM6WF4wmXOJ6q2iHzxZ5Flq MFPWmRE/gIH+vczjbjyTiiDv2gS81P87iU69pYNtWHOx8iFNFtT/svp7xF+ZRoWSZYlZB0rdhcTP I1TNW1Djq5Hkrj5odpV//AJdnS2g5UM2LhrSjqiC6g60uvUaxCIUuvkr8d2TWqYGx4IqbnSOYPYc YqGij2NQ9i9ziahxIHvmed9brf1iSDu3xnWjo5WPEIq82USgUYYPgTp8a1Sj1TQl0P01rq36euLU 9cxjpw3PoFEEx/6daIBTp3ONBgeBdoVKWPhS3AIEoiWelAkN719OID4jq2GY6axixc1ownUgz4I8 gbJH0BCSzdzdek3IVSPMgjJNnn/Kw4F6NWVolJkXm/0s+hpj4We1yve2JYI3Rw6h/M9PWuqCqqE8 lrTamfU0SjWgkdcHzNXORLHTAUiWXbu9dK9hi3hAt8I0hhcVjBPSGR9lisotMNLFyQNbSQzFpA8z sytBexFm9KJ/1KMqbRX3YfdqR7O4/D9sM8eTmp6mio2JM+A/gUcHcuf7AvB6t+ntuYkAh5oKAwcn HayeUO35X8W++1INEQ1OWxAuAoptM87RFeNPR6ssL5wHlaFQVHuHqU80kGx617jyTHha5M4vsCRn BpcDwXQYEAQpJ5Xqfr5UVTJCVRhiNntauMNZrT9rcyHS/i/9qNeKqm2CAxQThUoqleyM6ZjhJS4F fh2s7qcjXMzyRkx3n3RSyU9PrRKScld/AnbXnPDxAH89z4bvg7l+7f48hgCbYZIoUOSgD7ieiAqa gPd/ilpP9KOFgj9tLPNW8RKNvKuo++0w9t8evLglmy0go/bSs1Gde6WEnu0ksambXGCLoNrLurw8 FsgNOd973jYcV/Wv633hNtnOFJoh+lQrR5speY4WID079gZkIZu9Yttxb4Pbt6oh8xHQty1HLypj +B9nq0S8sFDLyQskb7Y7brr5BTmRU6ecW7U4cTMdNQPNSpDKIKCmo6nIyqEfUJ2REUtYsYRIQpDD bmKx3/kpkj9t4bf9/YTN/GwziszHc3C/64KWhQZQqWrred0Jbon1QcFX3BxuWGimsYajSPEtFFaW OwxipuKzzXuIkgWcArtmntTrDWf+UMz4ZpIWNfhWjJvfx673ZczIFv2+IHdNn4WpFe/LC6v7zG9R u59PGnhGAsYi4P6QDgRzW9c84lyw2sSeV7w0VNpnvQ+KfyrMzt3u0xpYTBCUYVNWZuPxa41XOEWj 1TQirOx5vqgC8DUwlm7zw1pbgJsrDYxVhgBdP+rSL72OFUs5WBa2zRuFpl8uhib4ANnXNye5ysYU 5YRWcBx8/iGqJvuo2HJH1f0wZUBuhJovpLCWFOxChWm2IxqcHnPjI5mGMsL0i35O8TwzO62PoZ99 ENKxSayclhX+vtkOCLwyiszS3zAQZQWdWGkDakQRd7umnFj3r2MwBNg+qIuy42ET4x1m+PknDKRP jXPVMzyZ7X4VpB9OfmoFYAK/2Tcf9diw7wdpxhlj/mt78dMdiaA04sNhaB+m5UeYYl3FKYszsGTZ FT3paayN88Xmj5zcfq4uEn8CjrAfCnODvUIUwqwXfA9YFEPTHeQDrgt5shmDNNkjWUCr3fzJGTaJ WJsEzkDBp4vqezS9cAhYoZrkV9tKdxAmL8zDlZZzzDLevpYhAXO5SxrIrLL4zvLtEek+VyxCOL5m Xf82y7IG7GdX+xq+OLlm0PhwaEQWUNDJdwF5ee2ecYT6Adeh0y0HqWskzQ7xKGtxwCg8Mmq+HcrC RmW5S57w9D/D2O9X5UpyRb+eBpSyI0qUM6ExZqp68l0BD03Daa7ReRKkAZrp1uT1glmEGeHogdpY d1C35sPnY1cQQPmau9YFIyMlH1NkwPxWf01lBYqj4uIrukdxKqvt06SSGNP/LBqoICBVXMIbto5m F+7z4d0HVyFXnOppv1RmaaTqzl0dzrBQIyiXWjsoiqlMfI9KHP0DKwX2WEvxWxWFm2iaHn4ySk2g 7XKdlifnMcsOcZtM5g3v+tAQF05hn/Rrgm+lBQcRb7ubGAkaZsIMB8d7SsDPnK+McW2UyDclNMYc 7xiMAozS6yJG4lGAeHKQN4ocDcOPwUQoeRa3F69Jrcd07R4CFYU+srpZkVfbRgyaNkHnKKnsGbKQ 75kHuaWQlGK54qjpKZvw7roLyf+2vXPAvsx2cisHElcFK7IGh6uXVqpyMh8tyTE7Iqnc+ioNF6po xBuR6yGYvPsqVTwDnb0vhmO64UT+C3WZoZehBpn4Dfg2skpkRdC7b+vXFuxyiD1hBFaLYsoOynEr zKre8uj8RNJpswm67iGKb6yNvit7e1h3jcGndKUHI2ROgUQK8OgXSAf50THDQwuS+OtBBzo1+lo+ t5D2kJ4U1WIoNODr1Ps+jpfDPtzQhgPFnH0aWpNqX1gmx9ZND2v9Nu+/PCa8F2TnhG+yG8nW7syy gMm2C6z6uyk5b4rtilrvULc2j4JhCU6WJC6csXcr28km5CGx2zOfFkEzjTh3OY/NXQrSiQvfIcC+ FM9xJUfegv51KsH9THhb8u8XTAchGWcPjHiAd5+z2EimdmpDYOJ5r9X/C4pc+on13QGGcdrUfdp9 +7p/nb7eoIZbvXdee/ieUKWOzXzXEWE+7i9Bpsy4PXn3ZeZkOa8qthc2/YIqHACSHgLO6CwxuYxh dZHe4gUCyHEuCuUalM9wPkOV9TBpWU9MyCsfoayVssyns5k89bYl2M30euIbvPasNgHFangB0jRj U0ueOa1bO1NSLEMnFWa6fTrJ6Afl+kwuiOTzGd2djNkoXXJvDv2Vz6lhdlKE3tqussbFjnN/i8qd S4j0msFn7mAC+MGeBb5dhR7JVHQlQNOzmzAffSc9iEbs510tzxtgAnuF9WjID/W6XnEdjRoPT6aT H1ns+kOztb1RYXUstZBGwcZ6jwLcLVa0fYgvk4gK5o7P1iqlfeUblHE0Eaz2SKeUdanye0MqMn/P u4mjXecpE69mDmQ7eJkjt0txm/dY30cHiUKKSiybRYLPlvxet6r6Dqrwp3x1wcQL41ht2T4WNLW5 qi9pvIyM1nt7VIUyC5BtPIrvRFnAxR8RtSVh1CumkRS834Gpr7joOvXWykCWpkuffhkLicKDrbWn uvkgos6Ph6cGlBOFq5zW39PEzx+6QKskSmEpd2hy4DAcqxmsi/T99AjQsVHl/Zi4krhMU21iqbc5 M/LZzqZpFFQcn11RjPMewL6daQ/bX+ZMGTGLWrZmG0ar598mJUMTeoS+9XhwX+WHhl5lCqS5nKGp GkyXQ6OReaVDvwgB5tnMXdKe/srVgtrpU4qy2PluDAytu0vGTo04JreLQqFd9g6UA6MJydNett7E t4mVP7lP16Pm1Jpa1yfYobcznxWFXPG0rZ1DkDEuqbIKtsGZi0WiaP/9DXIMWtlz2EoM5AUQSAy2 7KV/Bga8U6CIUJRvWbiMJ3Xo6xXXyb3M4P7M2IjofhhF+SfDSrOu2j16fA5l3WPGAe0lKk+eNryV KWrhpH168KguBQW/Z0eeBrWeu1pHiRtbn2unK2XTKY4S7YThzKrsVMOSBDKtrr9/pjv5n85bjewG KZMbaSM4ApCYvUVRCzYBRXTxfW49n8cd28zkSQtGEG9OdZ3PwzuOqrcXSOS1C2o39A/y8iEToGCO Z5IO82ME1F09DHOQZKe5tMCbVihlYpk21zX/Kx6RqNZG8m7mFUYZ4ayVFz8fTkTewxmOIHTpTJxQ P3LfaPNUhygs06Eu8Wor6oQVM3qFOc2v6Eq9lXB1d1DfQJmdA+sWXq8lWLNZ1949EMvQPhebGKUc lzY+IJuBzqhXyK4bOrX6Zw5No7zVTZiayHaEqog4ATcuoVuFCACQaK/WCkHt8Sz/xXCXPQ18rPdb YC28NytF4P3AtylqP2FinE5itxcuDr7t+fJU/Iz8Mn/7nRj3QR71J4wJmCJYtV1nN1Q2BwbEzzoz sALqzMHjwLcDyO9Tl5DsufZCuLleeU1QcpYLvEG5UL0oMgCs86fN90GLkPAxmixMvFEHFDC7gAPA V8EFBjrR83fVHppwS4LK2/ezlXffKNagdAYPwr/lCNAaFRrR4VxogXnEKBqJ3m2mXKK8ydSiPG2F Vtdy7yJB0x5ALv/FXu6tBSHaKNhmNdjGQ30a3FleCLWtIDkCOG1EE0vap6I1jouQwtwXd9YCNUl+ CBDTU+NGVSH8l/O1nbY6b4wZGSlYcbqQQkHBK9DsYoqnVreNUzBtI+eshCL9ChpB9QvDikOZiyMl oPo9P1Xi+aVV3hjRFC1PM1jvNnF3hEqyMHvg9IxVg58oW+70FSTcTZsYq4nbP2W17RMk12x+8xR+ ieWc403vZh41u0NMBNwKwFjapNXJ69C/3ik6n/yPrNPgjLStk0r7dgqqKbx4Qf2ecQsfSXOaSrqd X5Ip+t0stSma1STDFTSJqpXJQ3MvBBm7x+UXLwebQB26opnB+U5cDtSfg+n/QCisyk7JbUUlPJWX n0wfQUAl3uIS8xYI6pe3dXBrRA45zQBDWvZHe9r6KvGmEGrKvUPq5558gNbUq7EAcsScPrjzEi4A bJrUBk1JhSsnRBzopErMjnOF31HJMCWYA6ERHsyTbv0pzWcVihC1uXSGzA/w/tcQ2nRr4DUw6Sox CGb18ktrPxJVw3RCSNUVD0hqojP9uNLDZuUn59oQ5BtShslddWVHc4bSocWoQMFzaGLYSgxh89we cPKC95ulhILazfChjWt4iSBsWARI1JGO4tLK8Xnf+ttrqPM6WuD5E6oxCV0kRkGn6sPnDzH9BUUI WfkfX119TVp8O2vipZegNmzvQ1+zo0yd50rv1Eftvvhvx5d2eBCHepyMrHyvrkKzP08A5rLfAnsJ LV5oMbzAdQiEQgG2Z6hXCExSJu+NN+1tkBwoJEUb5PtqSk2EYawJGoafbLIoKO6uz1lVYqX248g9 GwI1MQKdG4rzTcyikgdr3EfM7SGj/2ZuTocuq4f5MDyRjwtZyqE/2axPLl3qEoNePxB6pK/TXf++ VptP3LeSQTJYfWmoWRC2Br58SM/gKoPBng+4gu4gx1BUXbBj26lsdfKL55om9zoayYYLtiH2bVmD IlICSvGqfxHxH9CwIcae3hz/T/83TIC5obKmzgC36YtGTuC4sEvKq2wENRLc33e+qdRO9jrWMowv Lc6lcHs1IM4Zh9BlbIhKR+ASwYYAMfbpwVslV7rzvSAzdCUPL5GSqRLAfd4LZpJwrwTBCLst9iYA cFgKw9w3XTY+X95/262Z8qKH0PkJ5w+xXilOfgbtOA3HrvfGnDPnTUijTe6NC7uHCIkZxvjKmYqS r02C8z8GbVjWwqLps33Tgl6t2+R/Hnh9PEzUYoNIGOPPiV09TpNtWKE3+plwQu0yf+qJ59DDQsLu ro7swdIx0HXJmZC7wY6he0VxOqhrdjp3TsaM2t0lwJh46ZxHSeatJIb9IbUspcu6jL76Bcy4e++6 QMZkNG2x4Ys8qzt1hHHkCquVymWdGrdDOLy1RHl2t05lcfDBuBtoz8tyl7TjYJPCdP3IOICFeztl akmlDvKa8ABeYeQMytO9oU9sKeyOtFYX/56Un2k8ap5w7bIC9/QaJ+7A2+N5ceoskcStasFAYg0h pilAo1n0X9zHQNjkQ5XrOVRV2gRl5IBK+374Z9+rn6FPQaIUGGo/54urolgaSpLmSBfm7f5Ayqtw KcEH7mkI7IeSdVu7bSVPhKB5+sXJhpgqI7Y8lIND5GrsRRjNN9ZDLOm5XyPxu7rqNmaw0wXyzWIW mVbTpYadC2CdQwB5gYsDWcSiYpL52aUiJ5ME1uohIhxIyoO4tDCgOM7RCYu3x4HqCJ1+Vr5eqUeQ bEbZ2XM5pmEJPS2q8DBveOjd3aFgcaGJMI3VTU2p0Cf3+961L1tjuDr9HAOQb2+ttQVm4TEhRHbf 1vcg14515enZdHyNPbfoS2ZpEtuSneHfTb/l2hCSUAvU1l0Rfyqp+sDdGKyiZK+vomxTMBKy6abp W119vqtoC9tMvH4oqiXMmk1wREIahahwlRWoVhn3jo+GJ3dSOF9Zrvj+iLseLytce4fDrl2HnpHM XqWVs130zN4tnGGwXwx3ddk+m6E2uui8tqqQCfDcMeE35ugV6qCAtH1C9n9WrvtFglimabU6KlJz E0LwhOyvENAlI/Le9UUGy6BgyJQK6m4/kZoPc0cwCo4If5qUsYGA7YgItyeGR7odNqqFnG6lxiue Dw3AJvZr0zrjxXdhwe2eem4bP71uHiloPer2hxYiiljxD1iR3mnKI8dc1WJaZ9VYcAHg/V+EhY8G +MqMUqzLIAQK0oXK5+ViWaEuwxtFNODMGQWHGC1NXmdsY8fdWfWQy+dcQs3aOJc9GFpZwQY++y5H iwOLxIMyR5D4Om5oi5YEusVVBYBqUH7tLBY5unu++MRULUem5xGleuOGDgSrRJCk2vbmhkRCCU6e 3uwcAi7uiXEPm9acGUJZUSqpvLu9zq1Y/LKdKWLWvc0d8N/xfZcbApn/SsuZIJyt8ZQ7WQK9zeh4 FpR827KvDjtv6tntsn5BEzFG0gbYSm60/b57SYUaHbW9AtaRM2yGOIqPvPBt5MxtIIELafF0MFVn 2C2x1irefZRuTK33fKg6NcIxR5mvVD5pQLjdEx4bi2m4iwq3Hf+eSWBxQBhPGWh1hoXQI9RpUnP3 NO5vofHzRAXSKmNy/cSQf+6nwb4lNmtCVLkmcLUYSMHNdZBk9ou48MCagZSeYPvH+dOVW2M+43JQ PU46Tu/UJUOqlVqjXip3vL8ePQgsgzJyGIAuKd52zB8gapQI9WKou5BxUNO0dT3Cnp8YhoHPygKA GwCnhlDfq9GtLApTy8DHalE3G9h89Hph4qj2nDVF0nomhrp5+lr48I9jgDTTquWrSIleWQ57KaJc 6QtK1cySirTh9FXFlgxS2EtGhZNnY9v6BqYMzjbjGjET08igmZinCCKMwWbxbf8JDg5t8oKgTZ6u 9fQX3DUlgsehUUo7eXfutUKrE8cGBNBTzeK1j6lGTZ9IKkaqUTWM3wUOYXdCi7e8zqBr/94Oh2DG J/7tWVtX1S+NP84B5qLlb50NEhAqdI0h/3eAc7OGpHuda9vvy1k22jAejzjw2dXCDWVvMSD/wVws jvAiUqFhcF6otzBMEWASo2hBmIgbmh7gb2tF2f6OhouYIgmiOKFl0MphfHbeBO/Hx1KvqC2b2WMX CI79Fxwi8+xoBEURg1MnPBFMXzbQwEDPVo4q36oqHi75LaCCFDMcWtxVFztgJ3zfccEXnZElyWS4 fdNgmHnQ9CdfNGY5FWyXzF5pem0DKZ4TSrF29cFjVYgn5tyL6B62CHNfpcMrAMeaPJYncw2tz2LP DScMK7iDFPN7THt5msZ9xfVVnKRR4coujHzNdE4eoh0WCNua2reC6jsRlNeI9AK5Z+G/G8GpGoa2 JfSp0G20LGsOFstw0lemANDTw7mpXNIKNg4qMBohIFJ++N0q8YIqSnofU+NeG/3KTQmCksFB3BqO 9mIio3m3yJdRrp6SRi0wd244+RVxCok5ZTsqjKY/UQas6l26k0Fgodx27AiPPm8dv/0Y658ey48c CczAv958CBnY3vMtO111LDHR3SnG7yYZX3gT6YZeKM/lNc21/kNWCwnK726l8ggT+awOz7Q8bwrt cldtwVMzcOSal6fIVOPqvzhq+4jyefKDXDbsR9tFGJEpYoX1sf14abeuYWCdlHfWnI/+kloBb0ew KVPHBbLTYvCCrr9jnCyiaTgQ/ZPZiK5XT86rifWIkPb0A9EqHJ0YljtrwlGQ/BqPfowH4gPehLhg VF4Dojwcpqyk1Haw2AAmvKfyF0CInqebW6t5pcSvXxNVDK3S7+FbIFnW4ajV8NkRJOUUTBH0o7Cy iQxrP4dm0uov1XL2F4sMGSzkjnSZZVv1/sCgv0oC3iejv8E/De0ueaBQk1p8HrRRZzD7ji7eqx62 TZAb8FG1KrRv/KW8mEfd07xmGRD8HZG5c9sMMs/eR7rrrkPoxlDhbNG27v0MwPg8sb6yI1m21EkR lyeuon1DUKGDvrH4yf8X8WERIlyP/atJUBBhuRHCE+iShhCfLGa+/j1CDnG2zKLj8dhgaHXTEvMy OqIRNU9wAt4bWGAwNG5WccC0gF0yZ3TK6tZhtF1epmmd0QPi2IZXOV1cMAwICFbGPgrY4IhzTuml Fu/fPNZdbVpB/yhrKrzGYUa4K6S9tSv9tUsrMaL7155Jyhuz6YwIC/ib9yzoePqwUeF8zQgphPmB +5HZbs8TLfhP/UYL3ZD1025D7WuePqQrEZ6BEKpsfLjtGu+EbkZc/VbSUltreqbAxmHTFjs0Ixtb 1GWB3h8ZVCJEElz5UKJrg8tucuzKOfF2Ip++NHeLLlbZhm8r8ajkblKlO+xD5zqt3x27rO9Dw4bt GdpwtVPmp2zoxCQye3U5fqybUDkjBm5ywEKYO4/trdkuUQCtu8VBOm6PlKUynHmC5Swo5sbipvlD qIndcC6+tMwfkV+k/7Dc6oeXEj0B39iz8kOMt93fr+4zedRM5ivc4hWYQSHTJWg+7gFEITy5m8y8 wBttzqip5PkI/NG6YWRZdW5uWCQkt4QJeTIA5zg/sxP3ikF5J/poQ/gf/8PdLX0z7EtdlKvNDnE8 MaX5UIQwU2lzbBbBp1/GqSp7qzSrKrt/OyxyPO8theWeSDDg0Ix0uYGtnrCw70IdWtUYhL31RYyg XuElDoipRkWZ+e3/w4lps+oLiGZPZhcczWj0Xrtq/t/nqeAbs+J3Kc1UHxn71/EEbSoB2kpegmAx oG2P1RzGfPAKOXTpRa9DHTm6Dla5UTtk2cx8+CdISS6+sd9xb607wVRNk/dKEvKXm5jFaLi0eYmf Y/TdP2clQxMxJKoWK0Eky+i6vrfsdey3FOg/LRVCF+8S3keh5hi9cW2yfNC2THHjBY+Zjfb6BmXv HLGFjDo/NmXWIDiw9rIO6iETGbHSGGsO1A/unOA/Etm5Gbcev7tvMbQ1TVWiguTaMpn8PjUIB0wJ PIfnPcUnI84YLrnUocW/h/5cyGTobf1rz0z0BQ+W4UUJz3oxsrDO54inxt0/WbH+lQETu7lfczsp EaWj1459pi3UKO9egIpSCemu+IHBvOKWFbyA/46JLTq2B26PMjaWJlo3GpC1FAN46YYwL6VJmoid gj0borWuIyS/BJeQgmk7RvVqmLdgBYxQMkyHx9ajoQ7oe4n6scl3mRWqXsWP+KnNrctB4yup2eHr 3LYiwFkJRdodPRrD1uEZMae3nz04bAONelpIgIqTQ9nx2RsvSbkJX7XT5IbT3xoVZDfghNRQX0at LcGGWIPh26srf5CBobThDYPaayeQzzLEqUul1g/Yfq0HmaTBLuGdvvMQrX/8Y/OxHXcwlc3HBVnG 1jGG6XHp6E4we0nKzxNRkwqs96Dss2Ckj4+BbSk6h7ha3Lc8W0UcK624it1pCLsrn/evNclbcwHH p64cHBT3gsSOCjQdJ+5NLcep1usbxwMT1ze0KsErhohuqUxIhdfach3S+tYizefLMsYLHLdk0qDA cYTXIZPeERrBs1iurRyCggqiUpn6DkvqeA8MdOjyuiWHdpmHDfrihg0PlGTKI/1q/JeuwaC7wzpy GC/XFq/sfgSJ7hPiIYJu87uLDIQcjyPdDRTAH3Ul9mNoI0K/67zYfmt/Zb/SKhrfBqunbWYPKsVh WtAnIgjvPK84tLwx/lomn6LZye85Hl3hvB/zJK2URfDLYFWhB0ms0XkyfaKBPCign2zMiwqHU/mV FUPxDyCQS5tjcQey3nUWNBHMaNUJjSxcj+9hCrtnJ+tfSpTSF1LIOQgRN13dE3eVdJJjOXwf7Kbx /LGwfoAhjnXqvBfPA5kzlrlybXgK+dQlywBbl3BXQYUfu66Tans1l/2fi8C2lYzVuti87jeWLQwn cBqfaCPM42uPmmgjTVAhIRQKi0Lcf2L47ZNQQVxMhu+P0Cu6LNtGi2BHPnOnCUwNN/GKwJD9hGVA +zAUdRpvO7/VygKP6ck9+SNA+uNWKzd80jXPtw7moS89JTRGySpHqpVc4VE2EzQVy87jn/Y5tkHr nVMFaJhrfM0lLgUwHQpjcwGZMtp6/ZeFf5Lmyw1yEvEIXY6+scoGTFY2XWANntBFU0BzdFIAMB1t 6/B/hhY400JwnwRpZ3USTOt5nRIpwNxNoPxKZmvV/MgI/bP7u7Km4qScdi8YsMfN6ugW43eosysI UgqTdoNuFZ5HSdsX0lZbQFEywpa0pwU8yp7px9xXgMaiXRKQ/IFy69JbSBYsefh5A0MHycmtvCtP f752ZYTn3ycblEkniHOcpspNIkL0x8JXjyNQt1LrkQCS8ieCCwQ1p6DMxK/9tFlANXxUXhZCE6BU 9gyLrr9JpkD/YaoOBXTgUGHmbDMRM3Rr9AmGSD5Xm7sHKsJLxyUMuUVT76ac9vqfBWd76MZZQavu 8GMqpQ4LJRABYd5+lwyNvfx4YpQioCCD/0gNsmVJv4248sHw5+focekzd6pKU3Yac/3ThfK0jjNJ bJ8Mbc1znzRM2swZGWhRDyNgai1I/bGFMXhSiS3+btsIdrn+6ci+XnWu3cNJF2G2GO8ZhV4Hi2u5 vSvmIprPfto5hw3pbbc9KRMW1/ncXAmDIPnjSkOUVAdL9F35vbM8v/Agj2/1IytvyldTrAeSFKBU Qo02KVr5t2CSN35LxQvPK/l/X7kW2UFLL11UucChRrSLfuGJseTH86biuQuaO7Ku6tdDT92lUw7t Y5rlAhhEQn2SbVJpElNB6B3E8sLGUEZF8jpLTvbss1qarizYzxD0P3ss8DgrUwMaS6f6wMfJI3sH Tf26TUskayu1OwdXaEizdLa7pWHj4DSnO0SeaUiB34wql7fnimEaLV4TfWNPnC/jMX42kPt78kWk /AqIDAWzYh2+nCaxl0KbF/0io5t0RzukpHg6pOhTr86iON4a3PSi4IKTlfHpuWnQFu5aH+auU42i jGPcwMbrjSmW7K4mdI/LuogEDlwVJfynqh/VFIoZX92gRZDWGN7IDXEHFA7LnpebgQm9fI0gfbw6 7SuCdDduG4MdlpNER9Xj+gCDxuOlbPiKY94Qiadbe6N+RuF5M1KX7LDz+VfLvn+XtMAfkV4YNRHl q6seiFncxIi5iXncmCvIFWA94iFNNJeGI/SRugIXlzsOKGpsTLDd7btJEpY2Hnk3fiK2fVTWmCVQ QwMwqTXikCkVLLf+8LOX8AGu7/FONsMFB7pPHim/Xo3VZKyxevzTXAdgO9hry6uhkDMoHRRPUHVC C8PncWFbbzp7rj6pQiOcMZbm4BL10f99JkKYkL6+r0fHhsH2zcL/qRZVsb8d/ZXMreDm4LyRBw1E yD4ofKhQ1cE+ej/qcfomhSjTxhHL8MmmOHefD8AT0yCzIhxhC+n+riusInIm4lugdlyst+cOK8fZ SWdTG4D4fgnDZMJPrBWztgqxFYnw9NXM+8cIBKIKdtexDhjt9WGXnkU78y1tDQJuFIoCmcH+MIBn uiGwNlFF8DiEnCceu04D8oK6zQ7rp/aQ3IFpoJN/P2IFUCpGW8Id2Vyo1Y4977EYzkiB3Oy+Dq+W f2Wr7ZrShEsWe18mC1+3jyJ8V/dR+/xbcKVsteXOJxKrh9y3jTeryaeTPL0KenaDz4c5kVMO19N2 azW3mjjNPDtzg1cYSME9QtPH98MEA5VcE+ZZZARVutpGe9IK9T2mJdJdUf682LxTJkLvwzPxd0JO 3jBcJSx2d+vIFOtpRbUUmwq7UjGR1+PP6VTvhn45GrzPyHzLzqeSYc4LV3lU83ItdPXHHmREkw5B a4xLO3cmv8cbyCYulVSvizJLxz8sK9qkHP3bjaPCctjJqiSvPv+DLfYj1ABYALPnTkoe7BQWld+O wTexFTv7I+6os6MUKWLGADF0QyyWCDyQfq63eI1RDoPr5INCVpLdw2vIGYNsT5wKLpGx/YgK0paz IhwVXL964zUTXV9WooztW4tR5kr5IqJk6tZY+dQuzindF4b7eruFK4a7ExexV33a1Vf5sBCrgPJX MCfEFYcvWOIsNbAOUjWzoyrzSsR7XLWYqq4OGE0Gxe48DljVh14DjXUFEXHQGOTM7egfLbkSHVXf nPN0ItnxDVZcdhIQW6pUH44J6Vgyu4fmdwCZyuICzRFnsKUZl1GIgjiQauYW+YyC9ZbKfoBP0Sfk z7p80VCfZfraKDihfk0vLSZPH7aue+LV3HxyBSjGegYfKHQqS61ZiQEnaOv4GDv1tUoDxx2E69dQ YFfEc3tjssATlaww2Qk/JOjLR1lUKSQRzvHvuxGCv0zHLiJbHQCW0MLOuil9q3DM/zKeTkxCVIkP uuPuBei/8RcBviSeoofd4FlOlJTSduTdseJS/QMJProvbiBqcUCtdFv3g2tlfy84SXYa/7VNTOrf JEzOEvm4yzDQrRZ9eKE15KyFxLFeCFa8U8nIML8u9oeOUl2rRZd2Hu+ihvBOYA5dkaPuqBhRAl0K dWmti0AbDkU/IQFMLQmlK2XZUfd82Tarwweln3yrMhDT0bnjoojrUKfoF48ZjLX0H12EUKPmvM0T eMcQTeiHREXa7xMUFSsgPrw07c30mTGrY14YvwVyZDCGlr/bx4mWavZcsFvWMA16pX/w7yVN9a7h kC5spFqkAAC8f8HJ0cFnKsb9yr7oOFRjNn2l7MyUOW2hQgslpKzgHH4z3MyYslF4tdtiktom2uxV nmKVRcyYSo8I+aAmOg8tCEnnDz9dgCqxnHlHf+43Z9l87U9YB6Zo7P7KED6Tuk7UlvbHTMOTMH8v UfrHQsGhcgbuTq5/fJa5bbjKEBa6mKQ2bm5tOBaetr4X9ALnM613ZUAaUjt29EArQlyb4GPoTJA/ 1R3jnAfqK2wi3DXo7K2+yA5HSimUA5NxkChx3R0mIhS3YcE7ktpuPnyUg3+n020gaCfMOoPGK3b4 eLJKb7vacW2esBgWO8OMkgr++RNeDivGc3fCPRTxrjumpO94rlFaroDvDBN5lPOdsu95wnIezGN9 eaiIyOJPUMUfVlSaj3wFo1VX4c3UTsxoHgWC3ACStYqCPlo12/wDHzL0+0RXmPEPNb/gFNWKio6w cm1ryk6Zy5e0COFo/trS4j3oCMq5rdiL4wo5Sir89KWb3e2NnK9KZ0AHjVB2+t471q/EApG1UtA1 uCJvpWZGKhuDMnAedb9HhQboJiYXQzDfzI4eSFM0sAPdatAF60NrTbXQxZX4YhXgT3XuMzKfA6ke R6k6SC2YYN4j9IAF6S4+aQzr+rgtnDZIIzweS61Us7jeQ8VP43gFefbrF7fcZtAFZ2eomGVuo7Ck huF56ymSOpN0wlIPNgQLllw//eEEPdwCe0/OJlvrDyTrXTW3/UewgoehnFLl/4ojUbYqNLQLaTli RSJcd2yzP45jpocHm/H4aAcHYpy2CyvpAz10SFYSRmRkLq+XHRI14W8TWBdDGdw/Q/7bkCpgbEBe eZQvKbCJSiyTo85tEoL0COOtLpHVYzq6GRXQWG2EO/E64ecIW2U8OTCuA2RVWfr8gGlaHokz39mv X1N0Mm6j1dPa0SepUFTPR5TgFJkZwCwRy7EFxLKzi4iS2KzJI8WdYSW8RsHoYCkGcC/wDmGZe+0m QmjJp4lc/dpS0NFzucdBzVB78Zvi6WQlKQHpcZQMLxSYgT0NlTsEjfJ89KA3tWIeQq6Qoixk8ZcA xGPXcqZR/Tz5hKNMYXAzkrbLoYB7Up29hOmwhQdCmAxUY0dw/UboS5BL9naOk1cjtfQCSRNrR/oz oD9CuR37zcafXuO0ErC5iKi+XyW0Ph3PiKHATrrCkWUpbsBlKvDwqEbk7hkQpxTTqWN0Pr47hZEX aDzzSskDbl2U4FY0o4umUj4OcGR0e+7ZHlqBwynR7h/7tBXZOtMprez7eP/D99ufP/+UDBIC06E/ fRYYZdVI1CyqqYoWunIfQPgWXpis/atjzuQzb+TzV8+Z2c/jPLhh/0s/6WZqbiZ35RPUrakykdGw Xp0wNb8Ff2uwrSKrropWQggTasuDylyQMaN1RXva/Y9GMe5PCMfchpRG9PDw6a5iNLO1iJT5Q4Kr bld22nNGslSXb6URq+38TT6tXkUdXrN8S+w+iNvF/5hD/xfq7HcgtGIFZpPDVnoK68RlcXH3pkIa vGUuOHKeGFN0lf4uXU7EUuJoeynBLyh7dAG0s+wXJeYtoDuWgW7tEYMPmGA8hK/5z983Rqqkb23i OgYp1ncCjDowv2SKG+PWGEgljLIQ3lHSE/rFjNG44KEH8Gq4HYp2W91LHD1SYs0sMJShtbNQPn3S aUt207KqD0EM8/3HU24flyVsDOpQxny5Le4B1IDQ8M069AbLgoPHZvnTImFynRGb7I5fOWjb2Lz6 hMmHt9pHqxC2nN0TfuByqqxr7J0rWjlalMLDaCrkw/48KNYaW/GdiA2OfMdc2agGozQPFDM4EcJZ MIC8sTFL8PLRM+jWTzwlofyDbi9qUgf0WS15gY3q6faujd3jeKQE9pYu+WgmDoJ8bfRK2/U/aamc yutg3no96FQcvnTGXR4BhcTdsLAmW4gkJVYVDvLZc9U7kTAQ1JD2Y/iUhKMyrCXxLhOMLX0WcF7k eUSZQkgao9j662Gs1p8mG80nfqS1lSrcgDw9BpAY1zmNap66aQnDf7NnJWKVyBLQ18arQPUl1cqM wtMxN+jyVhNyunmwwhEMN/J6bK13n76X8DOhZNjV4TNtlV9cdAHk0ptiRFnOEINf5vpuWa7UfvuM bixS0u11j9jiNqKU26oaBhRg7s6bKRPkHF+nFwIOkZeWTJoqj/ifb8tptqWNITeasKMWdHfhFBY4 jrUkArQsdJq+ucAgGhcJF4ML6Jev4iMtdjJsExDyzVFyIk9tk8atupMFhCzxW+3CtiD860Cu13fe D/vH7Cm2KVI3oV68CsaU1E7Tm+Z5B6qZtbasqN6uOBiXEapyp2uEHBCnVdbkJvK748SySP64wyfn W9IDoUEnOSS9WCa1oSZ7S7XHl/lIlezb16IJk//fclLpJh9WEIsgiuSZXuG+guhHbj+Soju3IKzA 7498jdfOmpKLlbcfmPn1TI4SNxVPhhR2XM9R2l6mEbgvuabsOLEqQAESrfHMccuIZcdZvzGhx+g+ JyyXk3pwsn9fAOLspbEX/NWY8iWy5IcGWKvAsYZxcM6LTdT07iTIuqCJ4gmxFZ3oYGY2Y584Hiu5 vVBC6/H6o6FEf8ki9WKlkIeOf1M1pAF6aVGZ8AznbGwfBJQTz5G+qEgA2UZ8rY3i02IWyThRNDbM gKb9P/1zI8JHo+/ggqe/nq6rhwLCqy9iUA4/SrH4YoqUU8JMickYkMUmTfpd5xIbpS6ydMD5ggss VuV0HDgIQ3KKNS0+hyV+nhr0u19U3zvS2aDBl3FQx6aRDBJXyaf7ZaFcCun7JN6+wy6YaKNuWskm TBB3n8BOc3LvbwLtXfksuZhtj10i9Hs1eT8tBr4nShw3c5+lWiIPM+CzNP7ok8ak75Ktpbdl3Y2m CPV/P8CTpT3NqFkYn5yY8lZSfdjKNmz+iB1EAQjS6uwRSOWSCR2ZnngnESUwyS088c5tii7hk2aB Mcy0ipfAcNkwZebeDjCnHctrrtm0vqhiOACk+YFFlILOVceatTK3sY6KjngslMsc9/m8JLtvxkjH y4GLuTNW1OfLonm3jnOBvtXqnerP2RSYGCpM/ZJnSDSE4cUM4MDqsUeHreuAjVOZMVW0Kjx2U+XY me/i9oEq6FeJyeacebeszIWZJkgAoRd8cRgSceRR88PB2XdjM9SDFr5ECeYWTS2xzSx5CpanV3rP 29cj9yQGVTs7oXEyZZej0CXVUcLDHJb7F1l1gonpEq6p9bSpgl2BZX37GH8ICSzXaZvggge2S/Y2 lUSAWhNz0TUYXggF8iwoWm+fzrnvFas+jGnuV5jDURYFpLRGWKINwXxew2Pkp7FJX77X6UHvNHU+ 12SruWxDL0neTIgJgmm1SBRiCAx/J/70qoxVbtdINTJRu30bGG9jwDaJm7Je3TPDfh2kwCBLT+ex Fd0PZuIE1LgotxuFHiwU7pCGju6bIUSbh+ONDQQv3NkD4eCGiM4/mHwQbut4hVzNVHoN05y8DAsV neRVeBo/GIzoNZWnlDP9mwdqxFvd7xMqBkV+JAmObNWxolDpFH4AmUnycKUtf/YojdG7EbSnBeAb MMNWow9iK7y+U83RR4jis8342+NwgSEdloZkzW2BHqmlwxr1A2CT2iQJJcKNo/xmg9Mmfi8bPP0X 32ppi1e2jrzkAuRz+98xgo2Y7P057qGzC1qcVIG8/Rhhz6YX8rtn3PEYPzGQCQGv8As7jrG/cpj2 9i7KOGddzAvqXTbNKhPkkD5ORBK9SCrYDG+vgX2+z+OBNTW5JWfLVjMw3oFTqRk8xBpgBgwjDPDZ 0my+Hr0o4iYsizzNFXTA+rW0PrDtgU1FjW5YkYxjqjQIg2hiLeWHWx5AVEw/lITvPFr+BGNdVJE+ V85g5mjBQbA1egjYvAc1eTri58Fcy6GJyL9rble+ArAdpgCUdNGdJi2AgWyBUH1NDl5H9rC98cvb Rm9fdQqv3/4/fW8mrxs/uuJOWD/DDBgD32W5ajcNcjgYQkk0EhFTkcjuhVJ2zJ0qSRoGsnBNtVLp gg/SSzHJEVQMKoof09iZ5raLdZ+M18fxP1gni2NeASDqLt3xDODSARWYdOBcv/Oj300pmNcG/RTs inTRIo+Gsch+muyvGQKukldx8pjZaUaDbwO7JTvA3PcBosXneJAlxjxXtVLvtny97OM5t/kTiN+s khvudN7WqnVVX9nzMnImGGBydL4QDUvx65xtnaU/wRJqrH05OH7cCLkuTkzG0VP3R1l+DdSZR180 1O2GC4SiAGqT496H613ShHYKx9elx7x8+xm5em3gysXKK6jXjifCfSk2J8ZlynjbLZgA/8LP4ksQ 4O0oSIvmBtXF5qo73v/hhxuYqgbai7ENcb1SIMg5Te7gMrDtss6o/78rTqM9N8pRAcFM7GfR1uSP IqUuBnce6DeZbshUXoknRv0za6v207D3WtuuUvdqgXpHXFH4nNSY1JoomeFHUEKAlzMvNR51R4+7 wVC8Pn3Px0OqE/X4Gjxu5mM1UCgn/QZRjxED17XF2bBtrlG5NzwtqTouIA/V6WZL61R1Iz8U86BY ryA9zesCwq/3xaZVlK/KNN5pQVtaybuDqoys73Q0uJ1oqws8/jWQlwz+pJjJCggdN4LiaHgLEopN JzdelM1iKCd93SxREViKuEKKkQnI9x0mllqSetn5GT4FEBXvWogyQyoLiBXZyNjsk0J2loosHlxf qKI74PCHm/wFFzWHYuryAZAC4yUcFxM4WlTgWZSdMjty0gcMhAeM89N6X5yb78Lw1eKPLps6lsma A/yKbqllTU7wzSgWqJvDG3X+iCklDc5kdksqpiw0ggXNqMS41RRdpEdXV6GWWdtxF1LrnRuI5bGI GZ17G+uChCKcJb4tiQnhemFsVNnJYm/fARnLZCOEmQ2Cbn+IgbJUhDxCLJmD3nsBU13ZFaAMXkCS Xq90qQq4Aoi1HJwVTxFJrK8RzYoeYfMxjiMBm4OI5kzDoVGoSRqZQyyrcgmwLyVoD+W6WTDuj9q+ EFHYoLVlf7xlRiAbQt4hdf66ZDWTRZ4GuTzHf/vRc9wsJ+F7Q5cM0hT4W1l9fPtETxvZVFIX1cF1 1y/Gz3dBmpahqJ3gvWe/NQSPOBoiiD4jOE/xxHFn/2cddH0ypnwcjrSsb6pRx9iicc2hE0D8+mQu otyn1af/YROXiRFfia4HnBsp43ulB/naA3HMeZnONeYP2Hin7usGaRQlrfWc2pc3RdazQPVED9/7 aILpZyO6X+TXiN4P5rV5E2qPzO+7Jwn27QNWSWCxhLCkLjnt6n1L/h5SikIylu/Yc6q43mr4mF2s 1x9Fadz78WkPtN4LiUHJpuA5sR4a0MWJ6v/EnO7Z6TkBKwuQIJ8xD07vU94xzpXzYw1DstgwZ0/c GfDtWxhrZyGwj/2cR+w+ibQUdA0wFPfir/cvQ/YJu1PhgJCkJ8g5u9LV8cnnBgB1IFMgcI5VdlIj ZYoDHvhgN8KEM3EdmoYaJDLS/FhbaHQWG+6Zq1KMKJMpuOEkdD9T3zoM6XZrr0qQSOf/VrLUIorq yLkdN0m1ZVHJ+hVYrYtXwsG86c9xhjbkKBpyHUdm6doZsMxCRrhLzRgGH+7YwRpRQ8cYulcnwiBC 7fxeug8dACm722ubyEdoSu/XmqDZxAoPXJ8jXxt663uuh8M2BI5HcA8oEqxoJ4dr3JskUz6RDxob rv2pXB6uATKnO7Loqc5upcwk4F9zOQ2Poh0NZlXIB7TlKq55LF1zXGJ0yKfXbUX23GlYCdCfTYW/ BWc8PDLhoJx2Yxj4c3YQ7zqwWNXuHzjPTVKUDMgbXhe6H+QSFNL4kjA+WuitrFmJurpfuBB4b06g DGSlNhnAQk1cYo4lz30cig/Oxj0Mcm1bDCfGvsds03pdroBKt+0Q/ICJ5oFaiUzm38f++ujZAG6V gEtfJxYaK/viTpJu6+bMJE2nCtZGF5WRLZvjStGdhd6jHVgkovC1PWe8FO8x7/DBsiS2dpM3pl8k RtpyZotS+dwMBMk5dHUIOK3FrOEvuQG+Jcys3sKK8QfQlVxgWHy0hDrUwWusbum1al0X0xsa9kCW Fapcm7W/U8y29QLSckFkV0uhHJgDrZMzXqtSOhwv5LLPJBq28Q7cCd+6F4GUbZpWCIT2xtgKP14A J8TwZlX+7U0YpT9XdsBp0lln8Y7hrmAywBNIQEJW5rWRRn65HSUsL+uMo405Xv3keN/RqR9/Ao8x oEdSJ5tbscGodIRUjufJKHlHEzohIJiyBzOgQz9pEdc9poU6El5rYde0ABU8nosxWVWgVNK0kR5L SyPheIQcq+JypTRKrhNRQaMErwkjlV97bSKQ4nKgaZ3h+nxE57+ot5veOWVrT/RI1BLrHSSSfKPG 9G43PheX4ozx/jEX01xe/W4st4duDDago+Jl/p/l9DF3l5eY8f6p1II47JT+s2E6sr2cmcmf05uO SKxcTDUd+27PiqNE3S+FB+4wrWLt5uDOFyWFoX0X0SFncKf2YPKynt2uiUemr2sncD7+YJwdEiFQ NIUs4i6W+miFSEGKNMb++ZEsmHEpKwzErFfdmI2Mt54RR4PsftPsNzIr46rpxGja4b3NafSCdd/h q+i8mJKI33b+EcObicSBf37JZiBsk84rw+2clB54VjE64p+OKcFLGWtlNDEqWp1SNn90hbRkgFKu SlwSKyNByHKtZCplu5ztfs7Cfa10OuCC7J/zjA4Kgv1t6dQBUBRo/EWhn/9gxKF2sffyaQON7Eoa FR3TKR4GPnjO2OKl5p5xOYKD2CGuENFpIj26fhZ6nbEkEJh05emUNiE+s+hPOZq3HnAe2zI/BGJn R821dpjFi5iA+2UDaKxJcAvu9hM99pxmLpJWyf1v/APMAhWGxbe2wfAH2vI/J6hnyQFSrIcVC9Ao tiMfy5axPxfIsffmzRSQVGBeaDUs1vbkMUfeuLhVVdmUj3aHSKv1VikRUcvP7h8fu2GP+vBZuWhw /Vh0eWmZGYTLVs8DPEbw0LBAhWtPmMQoAZw+1yK5muGemDN4piq53p26Xf740dot/C55MZ4nneqd kMNU6po1hPODp8Grr3au6+Ny2lWBrA0QdJ+UODK/nandU7CJtH16N8XtRMjaR+ATkI3YhNNupKOC NLuD7W5+IdVdfXL/UTDBpiJZaurKaL6mkFvbXr8qaclTG9aPfNdyPYOTOwAn9L44vfzqoNWO8v4+ Iebx0LbvA0n/A8qpzkZjACCHiP8ypTavc/4B/E1SoqYIFReiwUBr/iLkkA2rGSMJJAdV9Co5AD6F PByzJ5CxbcFYCndDio6lfrtnPvtxQ/BQKGBbDEbr+1GVlU9bkfQtUPVHNZYQ/hqVqkLo9EIQO8aA Pm0x7itq3cU8mL4OPRmILIUO6egeP2whDnMGE0CqxieqK6UX1qr/Ly4wRiOn1dckco/Vm8GfFcPL YeafT8z7/JVqj8dxuIRIbxBhFPC3TiSgxXz6Jr2orNr8KuCQdUfFExZu6giU8wCHD9pBFzFw4Q5G 3WXbP7BcGsdSp2Hi9H5MIRugumQrY7Fc6lN8wuiD5uKj5ai2PLQtqCvB9YMOc9lOZMW1u0PPY2iz 8iOAjca4f5DesbvP9HqBwa5AwXGeTjMUc8T6xwck90TevedqcMnTHgqxW9TUV7Nvf3c2Kfru4+mg 2ipsNbUqime8NFADdalPnJlYWNOhUyx3JZ4SaViB8BlTC2JgIvtUqjCNZSTnh8vAaaxWrNoKEP/9 bo5VlZ2FkP4AqXbRApnDSPLz7L4vNIFNZsVzH+xzbBFFQdVHi4XVPwubhlfTiuwEUWO1FQsp4ekJ MNN/Owiu4R6F7oa3hqSRdzcfQ17xSy47R8kU6zlvtkM+6XYrt4mig63nygkJK2mkl//IvI4wQ983 QoltoJUaqUJms8Ik86BETSxKV/PonS57EEXD74wtAuwMNkwSoi7BxSAOCMeLbnwCw7kfyMwjeiEM EQjgHvvb2Ok8jp/1mgmGAjEdOTRrPRaqCgEioY8FKI5LOuJ9EOCMaGTOmFxC+ny0wymweAbysJgu bc/tJZd/yjAeJOcoNDr6ylsDHV1maEDxAijaTuXvfiU+0IKZMf3opWkNplGUFbAaMPZk3MG7itEk Bu/GM3JzDihr/QTAgLNXt+93KKs8dH9tZGf9w8/WENr7VelNMRXBdiFxKRdCjtBgG+jey++gjxqs 3gGRrmJcav1Iu0gOYPCQTzew118UkbsZyCTx5bEMr5+WlzXUm7508p9+MjKwEiZo3C/JuSjCkGZz wVHAF9T1iWptUG2b4b9Y0X5jTPIsqNyU/gBSYV2JWNhxWTRTDBfCQ4I9RAPwBzmXpzQhovIDEa7t 2ucRnhLQpHQpKbU+sP2lLYNvrosNU9d3w0Yfp17GpZoMU+0B4W3FZGKbBUQjbh3825e6kJMpOX9f /3Y7ZbMYuHmVs7KOwvXlJExaqnIpkEgmNgFc/l6ZDwWuOTQoXeZHK59Jgchry/c/uNw2/bMfSz8b bgxlAfFSXQnL/CSEYMrG0IOR1nQpCHrX6C2hKEqA9v62zhhU1Zzvbj7jzKPAFw1Aj4at0DK3hHAb qvbmrLuY2/34tEtX6fcYO83acwla65Qwppop/IhDLvyJIctVGFp+xNRa/qnTCYk9mow/ubU9clFc 8mQaVDD2kfFA/+rCw5sWXXGmnLkvNfo/KPXxdXniraDw8Iw9cI7UezImOBkZKm1zRF0HhqwmBDtu bz/FV/EkyrxX/ddxivFBHPgF1MPq6f+hkA6xlLcs21Bo2QhI9Q7ptNHqqrSZQJQwniyMXbr4RhuP MAzZ2+SIqBsPH9phoUPDJrZuvkC/LMr14dx9wv21G9YEP3et8Rf5CaITss6CoiCu0lsqG/S/2Jps OtOM9+wsGBf1l49ZAU8Dg00nEYFoJkwbGwFQpMFRIdz/jf25rV8yOBsAKOyNyKnYKbueTfJCtH8z 0wcPanOcCd3C6XZUcG5TGV0z44CO1SeeWMByP7PH4tJlhef2Hv12m3ZDMIaIZrRpBHiTW9BdUVsh apUnsbTwT/GPocv3KTOlJAhqwa6M83igmWX+cRxizxliQamssuYEdJK5PRz5KLXhVLMab30wGK9t 8/ynJq/FfHq9kbYXyfQpUgWuYjyoRCNn/5OGf3dRFX8lZ4f0q4h/77eCmP3ysoAJEpGGBrZtNb0f 7kjeE0/1cknPq2v/1DCBUMkvw+78BGtDXYQJOMD09yIOIFOmVazhRblzruL2QScnJi9Q9Psq2ktn pSixZ0ebh+KNJzjdcrbPAhTZIKq5CdFsWPyQJjUQ43MVE9TjwC2ZN9LK+6qxHu3YK4iXrlpR0L1C SJ95ZrUbfJzKHD0+PeqeI4R/VgaP3aXsbgdCtC365oBZq+6g+Uv6yBCIho2+iJbYfSoDHCTZ8jkY 5AP44j2OZ6oLfaibue6ofiNPE9uxfEmZYI7PalI+TbJ8e8U794wJZJc+2psKWGN3Kll7eq6afJDP jmXbwQHo2UTK8kI/93yYBKR6xE9An8wD7gUe60O8uIbQ03BvwE0+NXFw81EfiRwowrKb6hLkY1ey 9Pion82TOYRIZ/HhwJeqH6zYv2MUJ6Xa/CiDnWM+nY2Jr+tmfelpjj25gcEKGOrbqWIMqGRNqvIb Tjo6GMgWCT3opiRmpeV6pbsH6kqIpGg7IeZaZTd3AKOIEWbxOMtwVnpiKTHmBvXyaC3YDACqhbtz 91oSeaf5B7SEHXT4ZFUqMSkRoAgPJ6E/IzrRhKOD2IPy9l//UKIe7E4eaoeAjXfOl9ANUuNHIyKy Y7rRmB+55tMonl4ik8FVloAV8QfqS1mJNUoxa3rUeBBGnGTbHXJW4Vk3RWu23QUfKySv+S/xkGx7 sG3wOo6HquUiBk+XA39odvVP9kPOW0/LVfPZi+MnJW3yYW/M3k6TwTgMYaB0oxw5YeFdV0CY5CNA JenomMug+03fTVlbjpGbaJZ0nkg6LmVDMVcE17ZCH0GBGdsefYxO1ZIAjY58nef8tt34yzRn5AlB c9o4M7uijw4n0GAz9kKnyUxyg6dPWaZgd71mRVAkmse9KEeispbQLDSSguRBUjF7GwPthCwbN9+Y XROetyMfRFjy4xDjPaF+idFEVDZPhN1L5EDSY42BdJ3aNb4cn4+HY6U3X53QE78TDf7a5W0qRyJL 2FrRkydRwR2EVnCEs76WW5bOGhyU8obxNGofx2LeRKOms9cGr3Vq44HGP2zPQuDh9z8XDXZD0wWn YQX93sPfnQ6s28gTLXM3jszPxbIUZRfABp6pOBhmQ+f+85blYWqJlRQJTZ5/KrKpFoWg7+FMyU93 yI1nTbIxlmOed5q/iSdq8JeXdQLgd1WIxxPXNcDC0EUQRiRuZK3YwBnlZgyKekjr9PE7vt7peAN1 Q+KufHyoaaDC+Y1tHy3IG5hJOf44H3POisswJ8jlOcyuKFNBZtiQOVYLb0YORmMqwIYcKlJkrsx3 xokgTZE94eGlHopt/Yv7mLzC0d2uBEWIUxRc7St1Bc6p5s1EIRU1K4kKp8iEZkr6Ibjdk2kaxbyU +Q5pshA5tIOm9Z/m4u9Q4FYWa9U5TiA6ifscIgkMUms/LxIFAGo8c2pZ22cqfx4LeL4e3PWOXRNR lxBa9y8wzfb0kEihsWxhpQVplpmYN91KBckLA1PMF72qXzGys3/2No9QRLMD3rP/+5KNsmBSnM18 V0sJt1cITkGE+yQ/LwEeu20G6hviRpt0e1QqZUUUOndK+WoFABD6ySdk4VZzVbPjigp2ynCnGcHT WTSXLiQxekMy6AtF0paKUpGh3YWFQtDcXDuUFyZQzrK4Wlr953KjttuPbXPlnQiUveNIZjGfWRWz +LKh0i6JrIiBYgwCNHbVMM2ny20Rdo6dzk5YMU8qE52Gt80upvUEAx940S4v4irTBz03TQYro+lJ lfoy0u+eo25hNOmR4jT1yN69u0ZSTRUuEUPXOuWYNWGPFe0+UN7aLjWd70jVXvI4DGqJ3YM+dKVB 6A55OxBfD9H5XcN2mtvHzA80M2OhA8mZQYHQWXje8Tzheg65f0M58NIbCYDmHqRIp1l7D+QM0RoS aJwBfW1qJXpxMwWUmNGVZIYIh/uS2011wQZiBIi82cPT26V/5PLNByKASKPA38+DqhmNVnraIALM CHN95MVcdizfOTxAqjoBydoWeKSmBGnbbUDoLvbVYHfPkePf1TXur6qPq0INxA0/CHBkk8anmr5A gx4V3JalfQzAJBbPB8N41KhQiesGhP2LzKI9JElk/5HgQ8nfxFql8ftynPFyDkTPHLUX1CXWaekd qV8cV2pE5LyNbWU8SMKTNdnFQ/Ekl4b4kH45mv33Uc8C+eG/uARDrJuGWHJNWRMnzcomjHTu/KwW EfVPsHFalMdfxJl5jiJKAuGN4RFK6BxhmAY8vGaO2hZ9QUm798qmVlDlHRg9dujFMymYeFEQA5ty x0g/vmQf90zMttG2I69DMTxAaUh6kPifg7fm2IjvPwpCXSIbDcMI1n5wcZ5PG6nGg6MZ25y7G1Dr HO7ZgsSajmM36fbugslhdy7Lofs+bQiVIZ/XlukJGq2Uo3XNBhO0fdZMBsjGtwRtjbuI9jxKGopg moOesyr8q3g5nYtwYryDs/Ldcku49of1E4H4L+43zrt8YXGJpdLgWX4lH7dAJyiYmSNoxmVtoj1t LySxosCuTS2yNgT5AP+Dkt2wNDqsPqJ6bWU6KMehDWIE0KIYGn/DG2c9iOdd1h8LKKqiWzFNBaQ7 tvHIAQ92z8VfIu7CiNOXYZ1t2GWOxSjlDm+yLsSe2thh96JQfEaE0AwArTEphTAKouVpzlyO1qxf V+wCsrhDLV5fi1VjVOn/SafwN33+F9YjhgxjQlbL4B7HD/r6lDAUHama1O5C3P08L5Y2mtkxtCqq gQJ5nf4LJdIUaVLfIv7+2s4S2YOAMFjfmrFaNofvDY9O+Kx2A5Zug58WIMn9sY4gkPulm61JBGB4 zvWeVU674vTXwDwlQMzen2nhZdF3NYSDWNpNOmkhLPLn+EV620Th+NSAiE3gmqOtWY0PbPFfA5zz 4Fkh9L2UztSGg+qYLoa4n3EU1fpqhZIagtmH7Gf2sYXRCJUSR38zJrQ/3+ynD63Ldpim1HLBt1hs cJKx8cEs/JyhnzYipC+YCz0T9rMflGykQRBZfgW4TwPvQ0+W8HkSJyZQcEq+3lJjdTK2zcKClKVf YsQeHEfYAZn/8CiFYSh7cjjP+6okF8YMEMurXe4hbcQprbOnAIEhejEFY4xem3wdWuHbhpEv67Jh KJYPOjHo9O19bKjhVbEF7tjmC6Xw/rTl5S3zkBpxNyqpWORqIWV7mEOkU6HH7/zC8XfocC3l7gIm U0TesQSrTp9rXTy+ga4or+kjvIjv3Va7np1tEXUJRY2lI25p5eRyX+tJJmJroxwKWDHVCYh2hawX uX/nnUTgWwtfekSLeM5v0a1dksq61Wk0PCYjo1gj8+Tncdg19tkCAbogCfOe+c5zedwOAXEErNJM 0MZB4iDcvyKSZJYvjCbfv45CreqY6UkCuk218OWSiPpqXMFo0VWRA6CO/fLo36YcSkJ6pPniUZLy gRPZ/XbD6DXCHeqkmGydt8m4TMhWWWtu6b5zyDpINxJv7UG3dTKlW2WmFzZus5sedGRfRkiVdUdH HTRI8rwZUWR4gt7i6tu4JCVhfupgqel7YxokiiASFyOiWWX6+Sh32eGLqGBGAt12H3w1n7WFxOO6 kgsUs35Ys6J8Ur546vjzZxkFt1Sx2QwQoOc9/z5xavYFJGHx7+NEpw043D3OjWBZOYOL84DUp9Sp L/89tBdFfimvKmWyjfCzyhJaj7knem65HLJ59RTRqfTUCRjeJlWbhBNp2O1fWXH0lAaZnpy78edB eZNjMcuE6zH+3otffGLie58DkrfJaIIUxT2L0PndxaHiYrRyj0b6mW8kH3mIDqHbwyhgZIuYzrBP 63HkntaT/nLfZMHxY9IUezxbUOnZnSO305eNOoYnfPhZcOSLPtvFbdpjSdcZF/8zXCGZMoolOSho fSjEmyC7Zfed+fQQ8zYWmlCWs8oSOg821h6+FKsRwNn93rnhd58PMRJIElxiI0y7LB7UGjwgrzGe j/W1vNRPsUpWxjGwfKbGdERgD7D0olsz4iKkUyjB+sRmI0Dws+rnDG8TEn3YttViQGrgumqTHq5o d1Pqjg3iigoSTd71zMvuDB9FxLi//LzFzCWMppMQWz+cBk4/MmNE9bMB57KTHVf3HJOvLr6fLv+C iwcWNvzdv7+PxnJuKtWHeavI54feG+f/dtfEs9qTzkIKmQU+x94Tes12VMTkhfr8x9Uz9yuponsk zYI2oPpIAREXNC1LAuLTxDQCPAWUmssy+FEGV8QyXMmge86qhd1gdrLiyGxG89AhrP9IHtqYMHKd O0DvzGib2dHk57fZCQWCi8nNf0ED9oNKUTr4zZc4WBc5B7a7fsfQvmDlr/AXMeSZeu2LfNw8vOBe L9PyathDaBoiqWMCpjzEAw0Fl5VP7Mgea6pZScz+0CCYsxRaQky8SQ8YhgY4fs3VcGEMOsDMBqnL PPdwiPCRGK45VS6HmFeSZ+0MyO4kEyKBp3rS2i+g5yL4+C0lFq90FrCcBYabK/qgzL43mw30O20c 3XMOA2YvlzlPRSNChcfS1TGTJuiFVhHrq5mRJD5wW91BbQaMUpWAG62QZ0vhr24sAWGtPI4m2lWu pzwzZcnP8ENhKr977ZqXwmaxImu7Q+6ZtyfcmxTkyF+zOqSDhiTgDrrA4XrI5F+2TiYpxw/pcAFu Q2T3VS31cbFs1ZIerPbUhvuNLQD++/qgzewLULgb9lJw9wdcnqOlw1jGTX0HR2dDDpYTmUp4dUlU LzuXcHo+uQGaaXiu0CT4/dOQa63rPGRfAxTc9RBc7XIy7Mns7Bi6XofCH6Ez6so+p/VFENDrp6jH HjgJHE9xkRfUDVSKrFDbk/sqahqUeH7f4wVpKwfGUXUl7Wr9QGq1cQM1v+l6mqEWIuzoPOQTnG+e gOmS+vb8QqQgQPeTBSRedAhyt4LzhgOKydkPGa+Q4R93OikZKBFMRGvylR/hdinJWgfQ7wp/s4l/ kERRm5tLWD/5wAiUr3V1+a7PMt7LvzbNTa0AJIcjz+1mhs2dIXeF9SZhkxjUMPA1K1rx6zSpvykK 43vyJ6FX5ueBoFToJjTOcIFDsRm4/Dt7ULIpenQGStyOC90oIDyUlAXQqxvkDa08uSADTNcfLdrZ UJKzR9O3JZ9l9USz04WWB4EHPjBMLVA/ZSI710g6z6gWudNrRNT5TWdKTfJuI5vpX/BZzDJrxf+r YE5d6dqN+1HIVqcBHYGvmS1u8JuLnOBE4C3g01JvTV+HClGLO619q//1JG1jXvztempCw/XbbMhZ HtEHPHEH58Ey6QBlZVHUBxMTqc0t5gUGeJ5edvSOLU2nN4Nt88kpT+ckcdL0zSZsEo1Agkvy/26Q mwAM/WJNFv3zuO1OPan3hxHcP3t1/6Iv9478xqwVpe3lW65cSpfMxJ/0Pjvm24PsIXTt3D/1acsK JFOrOZzzxg6sEHu9afO+TivEyq/qigg3HlWblqoMZYPUvVXWoD2t33OGFDpAvl7WuqubvFVcuIRq LXgq15nn5qFGt1QHKy6vvxNlp380PkkKXolpbnP7uRM4SoZPEDtpGlC/uA3BaT4SuDd6f+rpPhsB hqeQk7KSn7g1fk0WSVRoZhThk8l/OK7Ewv7dHFWprHb/l+SGXpiu+YL4yveqOI+bUC80UxNEGVwT 0k/KMTp8ffkBtw9KRw4pFe5tOzruUvxomqCrev9M4aa+OloSFJ50ZYlgcmKxo/uJnPN9glUQr8LB tW4fyfaXMZhVWQ+X0J6Hjqi886zVXtGmEntlHhpoZzw15olxHmHaAmZ7zhP51XqqFod0bCn3TdLB 9rGjXYya+iRytICQBYKwQwFWeFx735L9YXrg60IO+ujcQmmBj2ZgFDHeu6lwitc37rsEkPcCKC0E GcKUh1EGj9+Wi0mEX/RMIOgaiVOdjevAYXF9EX7c105aVN4K3mZVrI2/aqEuj426WnrNljmEh4nr wMGKqukqBsVoUy7OMeAwSTYpgCn8/Rv2uFizgxBj4iVBlMIugWfvy01GwG1/h/q6Z0hrxJH7Iz7P OBDU4aZELXNLmTBctqdR3eZT11Bhl7gFirMiAld9xL5GocTj1lkE/S66jG4zvuby9wWDcjUDxmw/ /uK3PZGszZ4wO7EQcjcbv5FzYwc1xsUSHrXhkwY2APM98VV8VcR0h0X8e3/7KraKL7Zf/c3Vsda2 D360mLe+uWoYSSAEDO5gSalUp25SZbEs5jQzdFiWs/McYkiXD9i8XkGXRYifZD0nu1tJIwhcVLcd Vl/KfjysTsI23BcqlFdSDRXZMQSq1N6OASfQV0Ofey0GBa5aSp12gsVdwc7Ga6JN62QqIbE8Y5GE ChAJh6DJWTG/ILX82JMsLZD6VcmBpVV1IJ50MrLjtcTbwxptF54npCX9DTALMQN2dZWLgQASI5Hk /R0ISJaDEtniY9sz4m8d9xa6+s8ROim771cG6POZhAydlFDO2F9DUwLfrVi8Nwd/+kwnW9ZotqrG Yjw/Z4CpJ1CZ0SuNI4A9MhFkwiRQAfzUi8soGeGk+75fu2xyj6pwQAFZARrfWFDd4/uMW0TJyeuI pIlhUKlUCmf+Ll58Bx2Q1H1RCM3856qm7TnVoyEmy8LeXgq4asWsIHRhIg/Y1dLTLH/4MQJvBhkm 6gFK2TMEn0V+u+N/n5+qTtYwXGp24NiPXu2HS+/rhCPXXB8+uYre6PSKnCpLf384kFJMz2EmsE4W /RjvFxIuXsGQ+4iOvs8R0W6b5kgGc83rMjIuxj+Ame7Dukd0RPMUAu7czhSpctCp/ieiQzsVA/PP wHAVjpmEVHE2LsF0vwGsRgFspjbE57ZeMpgVkvPG0AuDeOiq/oxoGhBISyRN76PeBHVliHU0kyTW CMGZt+VNTw/DR17BkTw/BAq5LbcRnuT6ZsmMIeTHmEj7GbLawRXRNAAAalA0BbAPmx1gkfGnSPox yc1WsAbPn7RUo4BXHomdQsSM16UYbwto+HMWF/vrq0VPC3nyJvKYtLwcdrbtI6l0G2pl/ERESUEK 7dTxCQiPpECVGn6PMqO4CK6gh5BlNKLJ6lAszfM4YlOCSS9pLJPbPC2oVYdn7z+5sbmbKxK1i55p d+zniTtdrgf7mxg85lCgwdJnHI2KZhHfI7YT1IIavA1CcYjMwSvDM0Jk0jog/lklD5x3t4gdL1t5 3my5A9v/WlcdhAV0oeWVueH2LOl6jaNvAM7+VjHzpxjp1YmXFLv7FIDgYjT74d60rfdnbkntd5ph 3/OJTsEYKQW6RbR8YbevHUvcXqXmmVShMgauF0Rq+trmkCtXnEk2bhno9M8YLIgqBR1i/T4qAcSV rcetZyYeoreg7+Ws+3KcvkEpQKqWkG4Iz80N1rzvM2EBpxx9+kwKJsduDlqO5vg3Zqujm0t8uiJ5 qFOsSA/Gq39nPOKSAFtc6bAxHwYDoOsfnP/7pLUPiTjeNixHHq4ULvth7Nw8FUswpiwgmJY5ll0v QdaKoPbwFx1h+DksyA1lJcvGSQtydL08Hb+HtR9E0uaxPnFZivpa3EbPdtf0rAXXviQqrBXQV8ZV VjoCTiI58EJCaXsrA2XJvBpQQspFRzzloU3M9G6VnVY9WaFYIcmP1VLYwafOMe/lJJ6/ZFbTYLw9 L4CYFbg3HEc3SAyVIcHIwZrOmF7FTJAXSUqmZXgGMwY9uGVephuiANNtPsoahWwf7c6luK9A+Bzt 8N2dajiSbHZEReTY9Am1flRYk48UbbSFryuNtp/P9hkzki2OobjnIPTdD2JL6PlLareadKLGagr6 mdaI01HMwaYji1H9qC9fOYWH0GsaQtqfd7ZAjAH9MrUdqxzGucRr/cnRwXXSjz/rq74U+JpXbKWH C3ZrLWPQipDvvpoHUTOkxro4GWyCxCwETA/9qU0erdiBuuaR6aQz5EBfq9AZZAHLPpyPX3TFh3OT X0pV3UutnUbPFp8V2iwPbbCsyOr9FjcCq9Kc47jMXsIkfObHgLAFGS09yacjVUfa6xkTcpThG4ni fC01DFrwmPdiEtbBIut0a6DbXjj7gWbvTQfQrpmsIGlxGbwO9/O6BVsKGSNFZKA6S2b0+eIHsKZy oK8eEazIlVVUSJS9T7DiwxGZubhVeeBbrPZ/48ms3iipLPRjGBDu5IN6/7aWrPS5Oelbx7kwsgiS 9I0unfRxvKk72Bw0Gxh5zLd6IFGTfJfPNNaFFAlSOfyb8QjF5Ne1ewpmF/0nH1VDl0qlvkATXSga VbpbsJp+wErX1uYj180xIH9JZPS8Zy7HwPvl4Qkk1U9yfpVcKGpAIRwJj8km+EsjyoW7aB/Lfm5p XkNQAfFI8FNUlTbFiTHJm5sdncHO7UIlmGM4qWYQNB1ysacLcMTHXsCopAGX9HcZku8wplIC6mbZ XcoQJr09J/hqEkbwWMveGMaeJDpwFG97VsyKTTHFDQjyTj8STulGt1wL7xvtC0X7PV6Oj23Am9Qe yVBs+Eu7+boympAbceVvb1poAsB0J1cTOELzoL1ZnB8C1qM2VC0g2m92QBV55bS8ROCVmGeykH0c 4BWDk1rYAAe1hMRsKGt0Tua5vOHHc6gbhE7uMkNDGbK4grykjyEq9v2G4AGXw4ErLM6LEW5YAOwL qh8UtKJIbvoeG0E9CERecOMT5W4h7wfpjv/Gp3dblmtj+e63zuMuzqtPKzF2r5yZV2Zokap7DdvO spI7DY9qwTkuVlRWJnS3dIKZlcGv1IqMjXIkoEYA/8KnpoDVTla6HeIwWTGB/aZfqNiDw1bn9ssJ /PQg8GK/t/xOfSpC9+oBJrI7Mot7xCff+607lZ/1DXlmgdGEXJwClWZQ1ypYrkBWUSvL+httY4ne oXf+PyDj3B6l56e/DkKS1nV61xYrznwfdvCkb5hdZ6uetl6vbOkhDYrok6883LXJNX3o4BxJXfuo BZOriLmtZcWrH8xXDWWOxjdvJQwQMPK35WQ+3fGGfKz50lg3LeAtkAEP2ThBHtgiOBgicQztRptX S6LaVJVINIg4aZ09zYGPaX5xwr/CjIkH7lzHthE64cV1wAb8u5YYzMK8k3+JFcU/AUsOZfR9gG/l P7ZfxX1b69szTUgia/YZAbi905Mw7tHiYzQiaZ7QFkSiGyVyNYND5p8WXtwJUax8Z1L8cM4V6OtI ObTcRr63B+XJgxx5MANnr0wE4rIQlBQimCVkcamRDeNVIZGKdjkbQXAspQB3KxTWtMzHHR17BsCP q8gtTiwbSZWrvByF2R8z8cilu0W6udRLyiEFoW7JOHK5s/MShKRAZrfL0BRunaiqcsqlUEnO10OF wQVwa6SXZfQEDTKVRill2zc683j7uOii8LcivY1mHgPg/vpmAV19I6KOiNd2lTrN0i8GA0QSo4UC m7eEJxq8CB3VpcclFTqrp0UJwtf2RMe/rpA0ny+tnVwCZ0ziXvl477MQajvjDyb9RFjlqhELy52s oVqZ0+ZCURlDbsvevIxr/InXfTYmMIMdV0CVNadeyyZffCUhmLs8vRgvmIsa8dae+KQ8jhU8zgws zxIsmxv9D/CjOrZyQx2OnXsVT3FjxypCrFtwjb886b185kjE6F2ENlO3uGsFjio7dweLweGWNe2S CWneaL/tfBbCJ/adpeXPh5HlxW2uMpzGnpl83cXBOcn0bn7gxCwnnPYQddfKkEknCcGLli69IHVd l23t174bhaFLEWH8riG4jDP7iRlGScngw89gPxx8CGDQdfZPpyLWavf8Q3gqejdyBgudQVVHsNLS Qv+07QD92t1SSLCeux+RgcdeRcdgtqkbR2BPhH/qE5XQGYWR/0M5+aBpyE6fQtrdxboOidZuh7Vl ZvAaMEGn006UqraBzfwh/yorhtqyHepC5Ixe+gppYpdeQHoH2vxoGErHh3LWbVAaWMosiZAW4TAD gEZsXF0NxRNK95H0lrULqXfT6Vu7eCYLcd5DC5nG5MGEojbjUfvf4wtorQNjitbio57+/DztqMGe zXRzvwTvREXzLpEUnDb0r0PAikIg7xkkVtcpLokgxAMs5dajPGJFjgt656GAbZdErFsUGAY8sFZL tG8TDobtBfrHdWzrxEJp/HAUduYl770dbGnfhk+eO7mtkjt1pWTtrUCk5QMgsYHrYl5VeJS/YR/M bPwxu3kE5Ohe4hc/tH9I1Zbk75JqeRIwaDs6dJjxeMpWYySmmYXDHn2sX8Y84PDb4Wh2C5r6VJPG 1cVhSknBKqcTGGHmdZCjTgwb2eWrvNGKa/WVl2bcjEI2rrmTaR80rM8Zm/5vGladold5jELnv99d n0WH1nqMT/KXwMXdlTI4HQNkPJHqV/w/jSEHPTvvJuuQhwotuUqzGc7E5pMtbh4YwKg1AP59Hl6s GY3mcBlzvH+QV8oWR2vpKyf7SSPkWtUUzODkSI6r5gF6W5IaVanyTgpMphOlrF0AKIrrvE6v72a8 Jr9F7U2x4XmUimeHP+auSaaINs8uTs+q+mb6amHD3bzyTAhf64hneQ/Wz4e7pI1lT6gJtTNNlbsG nmaRDIPgZwhF+eFedlFwcNc/+1SyXboLkmAppzIwnAX51RfiqFz2fnjvj8XjRu6vpd08ml5t7lrq 8J7Vu+BHviYBQOhi7k8ZgP+ZbtQBbGxH63oQ2a4kLZ+lVCe0SD5PZuQLJ8/UQRJtssw14b0acgrf Qyz+U4mGgUC4beuNmm3bz/BWVkqk3hnV8souLSMWfwUN5WZl/7qOySPU487ZouQaJLwe6HtV5c6y TkEhXvb2YTeIiS4H4ThfbwwCOqt5ANWUtMHQvGVb/5SD5KAP1lIouxNfSkayVw7mS7w3mVV3HZzT vQpx53H96CCseNSfToXqTZttOA6naQRvOO7qaShb8NFy0hNlOKj/X2L1DNOxk4KYtegCCgVMvgVQ q1fHiUEUHGdHmi0bzDmLN0lB5XizujEZwPKhKKhj9reYI0CK4yPyPnVH+mSa1p+6te1AnM+N5WXS 6yAbd/+b5gK7pTtoTMYQVqG/V4uxTE49CePA1REdC2yZ/PELnfcJvYXJ0Xg3Z9lbSvvlR+aqJqkh KIR6I7Dd/LwdU0A+b99uDIXLlPOyPk8fXDuoPKccZzIaSC4TnuoT7/1SER8Ssdj3Td+TPIr2pTvP yfPOqwLHugjsFM4h5VsWzLVDriJ9TBOZ+MyQJiRGqcT+mYzBfwJjAn/PWhFc8oJ+Clvd2zMguaR/ hcFIjEkQyXmM9rcEUaZyr9EFyq4/PgdbOd+5IbvObprAJmSAvU5Jx4zR8mrS3Uw2Fr3BadRitlv7 XA3pP73Gmwz+PMivXSAQh1KVOosUABC+qh0sYu3xXhLt4NMLGFzFMUaPLvjypaC/LGDiKB7OumFz fnI5LC2l3DORRd40WvQtR7aoXFJqePXQKRCVoHo8ALeolFn7rzFzKODjO1uU18UiShy1BU5pRNe+ PCduNNI8s4P5NO8BqgFh5d1evLlygyooinr5S2aIVTjRhYp1DVQAVWy/NDUDqGfjcCTQKfNFeG1Z 0jmbzWpyvLXt0VPrYRfrVRgQHW5L6iczeW6xUzrv3mh1yIcWPxqB6srtOsV1manLfavPmqzUzzqR yIE1TACYXq9Aw14+XD1GedGz1KMAxd/DM8wU2j0T/3hcCDwMmIqLSTqI2DAB3gthHvTHRPUeqEdh f5FnHz9qn2t/cWaH9picVz0WJOb0vdKPcWA/bqeQOXRBhSQavwM1EqZRfGvAJHQj4fWxqNVF2HHc cLtg4srUu3Y+/JOpChtVPPTDdz2ltSGEflzkP9TOW7qNAHSzXLWeKFGAl4b5tQiCC+35Ww8oij76 JiPXV+pIQ+ZJ2OoJa0WFzCe539IfOZoHwSxwVJEzSzWEC4LihDvDNJRAPtzu4xIZx19FCUVk4D6B 1rYSItlNXcs64k0ZGRAaW2bityr6qIKYOhxO16tj+bri3N928kBSsKUjSUeSNSUS9qwVf7JK9c8Z EsSxY5xuS/HgwkBkeLtGiSQ4SW8qPFGpVmSAVbt+oq1t1ifgnjLboNpLF56QApfCunhZNxrE9X6q 3J4sdoVqW4zpvdwH1KQ1eLOHDsaEKpOMJ2/oZura5N3a+CxTIv8hD2OIZq4YT9OvfZV63Bsn2Gni /lLDt6NBD03Z/G2EVWOfR4AhJCQJWBBqMmHYhPiKn/CzuxVNi/OxOo/cFGrXniBOa5Uk+Ah/A5DB +a9Nj9wP4YhzGsZ7HlPXRlKyeD83W6xP3vY/0KwWXGycifrrgQO/1xkT5xSBnJvKhL9VceD7ws+A BRn52zXJQNT2j/E+zIr0OGOT6AXtf4CFsAG9ft1IgB/6caefvgSohEZtmUfOHxhEey/YkPtThFCp NzHY6NpAakTEg5vgMaTTTSQe/ZQOEcpd0vKiU8u2S0Jq+UsROOhpPPWCBkc3kI0KqGfCphO5rrfL ppPIaRD/wnq5bIf7vchKgYwXLxAhdqfipzOfPZjchBtIlmq6WOpcRkaVbP2nEU2FvfxBCQn6ehOa yYwBXOAZRPcsbii6hrlablvuI1DQL2HnJvKUEOt4beUS4wckKZy9xbxuR2mcPsBlM6BOs1LxxYnD bVpTcY+BBH2ZMefeJCebn60t2xuv9unvH8awdWyYy+9kVs3S4S+3Kvg0tYj3pMuIF3msUlV4WIt/ UXlrYCsc/gSm2mBpfUQHbZaZnrslLHeYJHZWktrVR2J+nlhSWEAbq1Mv5fR0S8kjpzsmauYgc7Ts saB2VkV0/j62mpuvPiVx2MR26jnrSdTlel6CtvkVqjLY34wj/9GCKczDCK/eLGPHMd3cE7/UpwGF 72Dw71mgPJh49+V825RUySDg578vYO4wNwJDIUV+3Ee/BplWRWmpJSZAu+0r9TrdGFn9mODMgZF2 YCa+GPlQRMAleHJ3eERgKlni1SWJAKwcYwrg/TNXA7eMvgNtm3EfH/DgWr5s8bLkPsqVKNk5DBuo /jKuxq5aqkOURDDLEinHCfY2k+kOxQcRK0gfVV79tbpXo18k2os74Qgro1HAtBiISWThtKHO23oD jUhwUeJpZgQvpAIWaRM4t/3sMYqWkqk+AxAlExCDKtynGtT7Qag3zoKgS+Rvh/9VMpPe9KYzX/tW uO3HJ1LLH8cCffH0fQpLsZxGr7p3v0mXdEd6Gp+1K22hIuaXbNsf+VckrWcaYipJam0QB7EyiXyy yvGRWBikLzl7aBNq43qMDvx8zY0PjEUTL1QUgWNiskCqksN2eKsgsv0htcHmpANhsHqg3Jx6VVYN KQ1bGSfxhoSaxyoX/QAM9leJY3uJEZltk+G08BgYj6WvZned8YrUYdtFc7saB8YmOX3gjVoyKMZb OQnH1i4RcmjV4gIWc2VrhV7a9CVA0b5yqi6OokpBzx+Zj531XR09zwJJj6G/8/Z6gdxKNG/lhPkJ l17H8fQrZj9ai6+0/zusB0A+Pgev38sVNNUyKiH5q4T7Ep6o9GvqRJJ7dJwaXqxc5mFBbsvsBn+f y34T0xLcrm4cZs7QloaMZ5ageEeordTvHcoIgKO8B2FVzzqIHDLnkSCpbQpDL1YCExjJNm0Zaj9D AbOgoVu98X6YVamONppOVdfQQzFDlDajqMEKv02d94zzHPflQ6PnNHr2XT5XLqWO8pttOLj91nMR p0VAXLSr9/DhdAEDK9CoPcKQoUtp1+wNdl9V5OAoQFPZ/Zfbn+h6sEGwN2sYb391E8E1t6I5JKVe JKDuV57FS0PjbzY66F0rVUIITgFHU68ri9OkSOvmvvphP9QLzKdn413A+Pztfg4rRVW1tIGEuV3O 5ryYjXqSYMuxVgDOBkElNyQ1Gustsd7HamS/mr7GnI9IWqVGs4zKRZ3qp04r5/v65T/PYhwam4H2 M0i8nFSTqR1nMm+nxc/cL+F7lnvVDeQpLgRjRP/GZFe5HrjZpXv11Ihpw1JyXQuzDemSfNe2kHAN 3KqOudYSC3r318q3yS8U2JahKiCYtldd4tzWOyKDFRndg7WqZp/19CDLtUNMhw2K1fKaz1Y0RSpd jzqQ+3XvBoHrO6GCThq4HvCGm5Qsb6fjT6M0bkuodPMDxrryuU7z8I6jq42GSaK7USBFs/5Tebns B/qeziQ6O93YaqRTZXkPRn7pO9oLjtkZApuX3Sg2lxgNKK/1rFWUG2Awsd3tzsf691V+nO4jr2LY 2866emjycYoAkJ0OhoDL7x0IY+3LWMzTQsVbL5+x/tIUNHKoyr6DKJM3EBhuMiB2GY+nhlcTV0QN 8DYTxC+V7WsK8N5jJcPznzAcFwXAPaBDX+qyPBKRC0n6BljtiykSYzFisFDGB6dTiY4AKU1wU2NU ZBfSVTeLwokI8gBaqiAEHCyHA6BjhvIpcxjD4vBsPlcSsR8r0oIIpu3JGWif9LLXv0tGjZ8Vf5Ek Tv63rP1vcRTm6hp7KngEIOKbFxwywJE6bgHzZqBaNAeFXMrokJQMlOYbeJTbK09euSnycoVNKGCB l8F3sXQ7+PObLB+/QTrhqTYsDmrWd1RTZtqr63Yxo7XWVQIblWAHJ02Ef5vRcX6EHjlAzHgbDD/n bR99R94/RAKpg7FAkaX3LDR7JpMSo0pqsIkrGE/GSXohSerVFst53dIVF2ISLZ6GW8KJEIVfsYA1 7fo2YEZYvCN5/bDYWn2hWvy7BLsnJMx050jwall8iamGzW/9kKb9iYVia6mbKDljuUdh2tiHGxkg lebr04T+YK/ihFS3loC2/aqzjvrs6eYmH9URncpkreJZAZOP1MSBBqzw82ZJvfyHexFmy+R1i7kP 8LZSvRthUNTC0TTZ4IQisUCo8p/ORCCGy7cG6jpyhlXSsIjbVdRJe4Q8SOTYvIr7WM5ETxT3ogve EQXpr4wbSDcdLrsWDzieYsw5273pFtENPKCqBVOR/5IYKHqfOu3g3ZKvzCgfnbxe9G84LEJ5GIpQ dGnNQn0jHBI5wVQxP5ARLm9Op/j2hQoudq5BmpCMZEkI5TE3O2h3tL4mRyO8/ERpylO3PssFWYVK aCE6UrjwTeKnGlLqXdTRXP+MwThT7t/5lZs6swG+8yDTeBDHbh/pqVM/+2V13YpvJHqWS/rzr2qc vghIW7Qhv53jT35bo5TYvvloaSFCMAHSOHam4y0/cAWK8iFN3XVLY+bes1FnzvBL6ALHNIzlG6S9 qFNIvEKzw+8yQR/3buCVVrcQCZWWtSwc0TM84EwMrSlmLZCImAf3XVFKNuam+3hUSd6JVyqg1jli FaC9VDSclwoT7gTCSFgLBM9ERlrRkXNSsnThgutvYvzxI96UqI9rHAdcTb2lIlCrTAD46q6PE+0U JijOeF0uxh6GcoNRQmBl+kmVdpHq0xphN0lO85awAmhXW0w4JI65N2rcY2HG7FUTs/WeExkP5PAX tWldlnpCqFmMz+jfSwXPS99iw5edXzC/YzqfzOY+198kQtmCrpZTt7GUf3uNGHl1sE5Lfp4zdBR+ 7E+8FNSLX0jQOrq44yNMBETOQhAknVXh/58rCDnJHhckRt83r8xD7PGbxR4oj8DXBc916hDFevTv lj2fCpNa0Y7dXqMCgEu1jRMUD2PxkY4xyO0xg59vSVMSvldCkCAgvUN01PaEWeUG+Y8Kg39WArVF MtKFjlVpIE3FXEg5Oj+xa5XAhucTOcnPn0d4QUDG/Oae9qvOMc+CpbyLs6L2Lzy/Y74Qi4VIuXQ0 2WnEosIIHxWaPp1ZpKcLIdLaPBsJcyQbLU8MX7TH+Z7v5at27RJLEa2ALfp6EyL+K6tWmYDCme9d XYy1LGx3iuJHPPX67Tu0hpqYgdvrZgRiJvs1C7ckPMkFA+BtDmLJNLhyI5AOgnerrs93JS4nFzNF 553lkzRCF1Uv0biwBTG/Kh6WRIu3+pEvz+qCB/GxuRMO2aITRvTtzJD29iFOGi5Xi781zylXnvC2 pN4uVPbsndiu1Q+OZ85aHoeBKHiIVer9AgNthXLlLQtAscxApLOq2OpJnshlmm7jGjHwgLmupit2 kswAgyW+7FER1bhm8BWAQ7s+tkEbqR43dd2VQqd/+iT61t9PnhWYsktgCCpY77pPwBnAPY8Rg5F2 U5TdQotbOv+kTdLwH3kkH/q0GHMUb50fYcQVXXLEpKI7o9sXUQMjSM78RKjThCyJt6gREpjjz+j+ CAl71H+TYbHEM5+/cl9LH2Vz/WjsxCS1DhYZ1dHN24jCGIvwj1TyUd2fkRdYL06YqFfr3j+nlSOV 55TTKHX6U769D4bFY8w1AEiA9vjCbVFRLRNBNSvBhjUuF9I5/XJWPzS+4EyIbX7qB1DEiP5KY3Ff Loca5kyL+Mud2Tp72pN+kON8d+SMM0d3zGoHqXSvgy7YnBXEg3nxy7i/fMDcUSydfGAnLTcdEz1i wYiddIWbTv94ItTB6GCXcokiqNtssG7IZrT82OdVYqvXULhO85RSlicN+N+r4p4S/C/nVGJIzwEF JRNSm6Ivb93veemjmdp4dhiSlnNcq+p7lAxAaPO2n8dd1Ze8QsV/b5EHrX+4nAvm9S7sJVhYlWfo wLcCWrfoLST4BBVv+XkgGmEYqaC9fRmCrwHFdWzfc3AvZz5E35gl7B58RTv03nikImDGs87I6GUP syQESiVDtnpzf8cVVAFtk2lFHLFRFVdmUJatKEne6dW/Rv1pMCHnpM8E7bHTvRbUy4hFsuiX+EuY 7hr9Sftu3TdBpGBvwmW6u7AQobZangrjOkdmfg3rRSrqqSG+nexT0cpd1oU4T7/9RFpLBNpMgwxr 0IAaPP+gmjWLKaVKPUlaQ8uld3pmj8ZAzPesmSXh8HTyD5So2VQne5YH8yRW03cJc9DiJPO6dYi2 etS1BUcqCeiCswi2IiCo6WBFJeBQCKt04V4/55AdUQFDjZQ1gIhgRXHbwK9afc7XbJpsWJKttHD4 KNnBIzEODv53tcJ+iABmN84KN+a/rsfmG9Es13emPv7QtMx9KtRRwLEh2VDG/Di6RqB72EEHrzy2 tbw6WqovdgDW8MmABq/xoNl2EeRg7DAeiDEC47O3HixFPa5vfi295APdQYHZ/kGE/SlenrpWlFM0 ooM02whfSpEXYnkulZMn84oJ2HyfIgERSzkcjR3R1eT+opRcYJqEOtch1ONzE/EwLcd7LSdm5Y0w ZbRbc9FlU5HAWinNEyT8rBY0QU6XOgXnFteN38BRxp/PrYeNhIHvtS3k0DH3y5+YW3gbPoiS+Ntu ktUloPvFzq4RZUv8nt47gTySvqZP4vxnCzb/jR1WpfbTJYTspWa8DRWcS5zaNxOy+D3FS2atLmKT eL4Ckm0mBAS3rArBU/JCQnpu9GU8MW8tjo4kgIMf/vvtgeL0Zc4De9k8JbKGID7naUeWO46RtPDu zUGNRtu4Ypl0beoyZIE4b+afpse4Kips2jwrBWasUvmvcuhEYaLfRDiL6YffUT0kFKBDnueX2KJE lIQU4pxTCeJiwaRy3d/GO13IY1FNG7etb9sl8xiKamJA8BvMLG5hatBWsnjI5kKULCbvO7tj+4vs mtZfdXIETi+L09hNoG3QdztEntnRB/FdO52udp/Sw/AhkkG/htOolq1Y11DaDMwDPZ+h9y/8FCsh /lS5TgQvKaeQDQvCdvU/DPJdjKiwHzgjCTQX0M5vuzKLep4a8ZJkW//NmGIeVr7VSMZNKZgupB+a wgLsv3rbNCbAHTdGrtsUtr5pRoGb7X+4M1mDXy6zHpQOfB54bvo1aSjXbg55Y5BVvVgysOhr75nQ +zP5Am226Ndm8MmtDUSuRu3tdCJzXq6rr9Qe19/Bz8WqeKFeULWA6u6WK/WCTozeyLuAxMbf7e7H aoWhbo//X9lK6VTl8Y2wDrMFH/8zCho95SBEs9WC30wtFdShN7l5BgiLZVLT564FZzQOdJT90QLR rE2phsADzJFYKvVOFWHPkd9nCecdKtnpdPSRl8xQjbS1rVWT2wywXRQ+tzZMAp1hrAwR3z/2LeQ5 vd/FPzBn2CD9VW/I5ON+J4aZqRPShGulUTwVgf4XF1Uhd8OWrgRk7CCHrnIy/2SC+W/PfvF72A0o JMJ83T9660rbCMXIXxBW2U9iQVSc4VyJxTcZ+jdXXf7f6M/y2AcjufIpOE3ivwSew29NvSQM1IoE R1/9WKtALYye3Wr4nsv6S8u9WdPgFdl0CdN2239h46+d98JD8DuUnjrl4AZlkqErOSOIyLB6dixJ EdTv220QC4cgqpr+M2ixHrZfnMzZ5z6TQMsfA1VjPkp7HttZEMBmWcWsxrHhqd1xzmzTnqxCqzaV a1d9MITzY0kU8ed/AqAj/lRkHjwf7Dgv3LgXqlFNY/7RGPnIK6LeA7z0CnJH+X0fQR9MjHDNQNAG +OsIMIWqGaxieez7YPWJ6N08i8DXfYihrVQxyJ4z2EbEmC3AqQer8zIy5PbaFCuwIIehDwHXs7zY NzUoMpreIQHFs8oGcQ9cRKEk5MS6Nbiu9p4xZOV/D8lnuLSLdgiUvw2XLlCni66J7MFtAH1KmNgo BbquM4v8jUk9Vx2BxFYECbM6Ca2wqHcROwKanSlVkjp/xVdh/aVnyv79d4aVLWGt5fhMNjs5WkMw nTxkdcPhivWQvtlJbwgLk5FXpyiSsoX2Mac1IalZ7AboylFu5WcC86kWTF6fM8B3NwCRH6h73AnT rfg91MrZrPfdtst9fCPLLYAwD8btPsEubxZ6HWewHGeiNQT7VS2eFaZp96NAdqSC9fwk1P2W2QXJ Q53jeMKpeI4WPOUPvo4ItrpEwuL/ymFXCju4YylbuXfql1XHVq5L43dGG4Q+snKW4iTBzJqQbYmX NwmijfikjZkEDNMhMWQtAn5axlIFEehmh4VV3X4KucGFY0Q2N/EcVDmX+g8ku/agjDuMcRez+ngb OHxbz8bD1Dn1K3OQt5nFWPcyEvWd/paPSORe32JYnd/mc+0yDp3AGjvLopFXLgys5GiTKNHE18NT Xnx1jDgAp/zixkS/eliXG4h6/LimC2O8QGcUlhALtz8jIEwz/iejsFKxDxuDcDTC1ecrVpbdaFL1 ff3q+Qx4QrqO11zfq2ujrFZzBXT9T62LX9/zvvubanARYqsnBir9et9vGRslbT99QuCc+elscwvD HD/5j1F8Kt4Li/UuXwu7fe9me0YEkmgXkRkxjERs1EUdE32XUdpz0hOwt+kjcG9e8Mej+7FVCu5o ltTqCmY469zjWyT3OhcSzlMaCmKq1zvPvqi0uvOckevFBJO2Wh2TSE4huQgdjabKxAu5fLzuHWm6 0YHHadeFxJsAIu5SEyAXUKbUN7mAJibs5iy619VIZlcf36clF/jg8sBrH05PpNVIk9sO3vhKPbYG jijwrXrNSnqFzQcXrbESOdq/PxtjXWMJN379VtwUtqqUgbDUIvTl9wEJtybjvNcrVbvtx41dfC9A UH4dxBEyFmcjtOXSwhVKw14iWDpEoqjx3kdCnh9+omMPhHf7zDRdjUwwUKO2AyA7XZlV0YkvAm5W +Q42Sy4SFiW5Sn86Bjin59V8PptydCQXceoJODFgQFBzz1TmtFQGo4VP8/SSVziCaZ6iPPFM6+I4 uAcLtjlGYVTCw6inQcWzosXEBju0s3y6Ame5arAvDPxPUXnwNGqOvD/8Irs/uaMo74K5aFmMHodb mzsKETURj8G1fJf8cMFzDk6aOiUC3fywy1MEu6cwFey42ctUsNdkkFoH0vReVJW2mwY2oOAjQOHA G5My1KMO+eoNnjNPpS/EMR4A0xMl1k4UYVpuQDCCJgvF7sgqyz/zwogSSpqFXKp6bCpEMpFsmhnU fWvhyJa16Oq8WTPb9+gWrH59xnRiSQJ0sf8kvQ3QgkCZM3S68In+FuFIF4//sWbj2jedCEjBDQ4r /waJmNRkwCzM5Rrt2f0YODFAt/DlH/TrOWVIzcWFc6UPvleCGDkmtlGr16kjf/y8zdrlc2JrdckR T4uUSA5KYwSn5JxB5wXi80pdX4Tj7z+DlJA9/ODaLhezlvm/b0eBLrWYOZvcMhEnAkpSl/GgHZB1 lFbkUgjt/7S2v5F2KaTYqOBeIhMvJM75CWjB7N7UAicrIMX3G07sTJopNJbtYbwwHQQQhSn2CXbe LtvYK+9nnrvJPrbz7IPgWE30gfHGy0eSWusiJRKKa4KnADhM/z2KFVDY5jbVbfIubWY0k+ZL0Bbp McF7ncRcXdsP1Fk+IyYOvoO5IvxAyC9+LE31TI07IPwQBtm3DhRzDJTaZHbqT5NIRHIhfAwHdt8+ ZhPO56RzKKYkcTLb4DpGj28kYqpf05bkIWpK85Pda71UxC1T8CmiufNC3Y/VMvP0Grs8lTHD6j83 3KLjgHdDfB2bVDqoHbWUnt+8vO7JiNUEnykqeSTO9ANrLOAi6eF2sNfDj8ydRoO05RRwBRTJFfBZ phXLtPdxlJCzhnw03L0kEehH15PRBkz5P7Cj4RWzUboUu5J9IpmiZeu81GSC2KlTi/D9aL4i3pZT feszXQvT84kAx0yt6M68sAooKe2Hv1x980aIEQdaC4hGj1cVeJyp8L2RV90s3qchwJp9HZZPLVv5 MzLwWk79ZRDwvvhjm26hPAPSzo2nUH3oXHsPucC6S/Ro6G1oQTEiV4NtrU4dzupf97maSy3rOK/I Z3gP2M5Oigti11N5juZya9mNX2HtUMGnVU8nRqlLmAkA5ZIk/gQenlb4b6R2JV/RPnyCUZIXj54O 1FCnFeH7jY0dpDm60lazD7d481Vv6kOWe/FKYe2yLv+ieUxKwfNwD1se5I+9TKEHXfXQ9qRFgNxr u3TGxrhACwks4NdoupenH+8FclycIjSwUS1WjjVuwcwgOtvPDplAaUEMnMZTQZsQIWWIXiyCMCNx eWkovM4c4OKyCDtdFYF40oyHhRIFLNx0K+NoMyLKyIvnfnohtzAoBDMj0Yp2KJpf9jU1KPpLucjI MtyLFizmGpv0rVkvEuH+XVJNhwCt3kIpB8HOW19CXQPCCt7gLAd+thF4zm2eOcc2/mWSmMox3YdX Kp/hVO2w+NhwXK7Jnc9yd/SJ9eVFR00utR6J6mcfQBf5F+C1+IlBWrJF3PQgEqIcnSokQlt5sE5n 8PxxB34ooBIXRHCKM33s60Kj6SlNzyTQ+8qyDGLnokswB+woBQplCx3JlFTDBGhtmkAWO5z2xUzM R4iHZiLcJSrXbfVWBvpmJ2sSjcY7vGrzV1aZuHhKvQNlrZPfqgXKsL+t5xSjxHKh9Lt2Lw/pBYkt FcaoNrNngO3fhFDa2sipvsvIS2ZIqkdlE+HmzRV0X2UwmcEWKKrtU3GuG+fGpC2JiLpwtXZFN7lJ zgSKZguzcVk0p7Sr9QXF0ikMUlYMYvl0PoHKpmcne05bQyWFA9idf0gIUbBY2cTjW6Qh6eO/AVt2 7cfmIdd10lv2Unr41TG8A/RI8F8uw9mFJR/jii/EVkvGgnWHK4bo9J7LJZbnnlxuFOHrF1RGLO+8 8y4ENG+Qe8gQppDhJKhh4rF2wisOl/vg659YoxkB9bfHfOhR/jTaYEbkwRmzoafplVXMdbmMm+1d G0cnM5rR/5dl1kmwYfaHzeA8AREldtGAtXmC731GqIYIm553Ef9iQuRa2FME6TW2kXuWlr0WFvih 3Giy4ERPz5Rryx8YjipAa8z8BzPOBhkztF02hSNLrVGV0TeFQijfZSaWaTLUibgLm0X9JvbNwRUT YwIc6qSRSlM6MUCNLkgWd8HqdzXAPfzT6S4Ffj5B/wqAe3sd3cK7s+BUoTw8eJYE6/jQ+1aquQ2k i/pOOK7iib3W9B+OZFuOSHuoQ2Z5McNqJceCGKnKKyjItf9/Kb0QZqS/4rdQEaqmK+LPHVzBQpgU gRmJ+RDLy8uHJ37XfZU3pd8mIX4Ch0LGJRyG5Gnq+Hi9cX9gpjixsxn9Fz6l3zJ7eeWWvXPwhaxK w9PC8cet6sdeXCiSvPyL64cbm+v4XsV9MS5TAjDc8SKBoVwU2g7aZLvEnygQ7sCI0lrMwI0NnM9o 0B1XKaUpksBgYW4LHFB0lhq1GOkWhPJCHd50PSFbkrHpbGpFaMm2CEWN/Pz2HIVVgDvyGe4G4CoK po36QEiW6f8fuZ7rjgO2G4XQvVQdIzSh7fud6cY8wwbaJQdngLlc/36sJuHckRgg+6JJWF8FJbJe /RZ959EUHvs7lAabUEXfa7QxyHqV4Ts3Trm8Gl6uNrKqPuzvRDL+RPf8MKU9BhQK/5Q8dAs6lrHs CFIqvjgwh6vXqesvmdQ/IXcu66I/wBiT4NjkZbx/cZIBBfkBNByjCTi2x9NULaB1kKXSGWT0iE9L GeP3Jg/yKsGLZ53y/5IhEcCywXk2O/C0+pI+4Wnvji/m0MzfXgclZfaUzEwnCKmF+swgunL8iHsB Oqg8gUvYBeW41cOr+s7HIyfBJwxw60MGkTbzLzIo4JKj4aBCvktp+OdKXkx/ciCZKjA0aGreobpH 8ScQf66X/+sm8jF44/pMnuspUm5nqOTEaMtp1o6jvGHK7ibxm3hyYnsmPDK5Ze0UpdLtqjdjhi8G qJLIJEvHR5AIOZ/AnciKbOGK5/fACBmmcsG4aSpZPf5c8PZGr8Nu1rzG/QwJugfw+/CZTx7/69xK fWDQy4orvbJNSg+e5ksUOWKQYP3vJLF2kwSoWgqyxkSmsFFMRbAdB1Kr6IsDF47FLYsqrHVdyyO5 DN35FL9AGp3YSIqn2cBfkXbkBnjqvnJZMwYxmNZ90t1/MEOe3ynv4Z9YMDDhBn+MB6QvtO3pCCOa vUDnDM/ed4rez7BcIqycU/+bDLj0Z2zMtX+ljKbNJAQClTLw+K6cml7IrSrvLY7k4gHP8DGP1hMB HzvNX0BfXnla+E69qk+04ByJgkaWJlsjHNz1k69neGa+bF5kczyYoNARjWIfm8YpCS2DlMhmh7Zl VfH7uXua9uh2jfn3FwkS6Bl0Wvo7tD2s6S8UCzvrcr6YkPsTjJVAuwRkJr0aD8Y2eAEt4Xgd8OzE UV2Omm+E2GyA97xLTgQwGXfISCeQuMZW2L58+z5yTP5ZKh9C8b09ap2R0xdwAQSeqBhrxGUp729+ oKAlCnZDKW/6desDgy98m5lQEzuFe6KJ1tqI3kjguN8P53gGtOSyGoDIzvBzTBUPgdGqaZ12BG/i NgEidsGI9/xUeqmwvALBZz1ZmkPFexB2Xwmu9gRuaz326WZFWhqMeGBUB1GqvTj+mN6epCQ1xmcq MQf2eg+/FpsUtjCfntneMRZNbAA7N6DZyw/XWjDFh3lAaY6L3LEBJ21rFFXjWREwymLJ8UBLkwjD l5y2IZR5f480bj+4P4h8giwySxu36v204UYGEB/yyqM152ue7uAmihpzovdEzuMVIu9XO8m60cMC SQSc8F50xiBvHu9Yo8sZlpdhxfvD/XiQeSjJECuJl0wc9MPq6UDANBtJdJS7dNmujLytyt0CTBYh 2o6WYNbzPQ5pql/+qD6nRNJzQdtFqSHtlzRDRdWw/ze8cJYNuGEzjF/U5EDw5vDbaK8ID0100Hmt st5JMbBcLuEzwC7JNgHD2U1iLhzYoPpmtzCSQjjZ2LblN13Wu/0TFoxX1YewZ8RoPP+aK/AK/QU2 7GV6sPnKGbdnKEWqkQj1qG9zOGNbBcZOY3e8kAXCFV3fjBhVqlroT6I0MIqbIHh4MKfdCSssFqw9 6ozaeoBNPaqHuxuErBhouDnjJqzIzPI++/Qi6YZ5TikH8RsE7uNTpyz2b5p/fEeIhvN6ycyzsWTX iKyvA+btHorvHB1aXDzIF9zkZW1YE5k4tAFKe1gZpWWkVFhlMWcQMl+E7ACpMm4WGrbdb/+sLoAy ahOfonnkI74gtQwSD7Yv9lB1J5D1D4Fut6gDZFSeD8ftA0T5D3recxu9gFM5S7hOykNoJVlatLFV JYv9wuvkR2K+xXg61oN/tYtKpq5dcEBKepR3Zvh/smdeA/YCipdBm8rs97ssL2ysG198/54leQ1k 3lbAcS4q4SgIiG4l0jBZQoC26DfXEJJWuVkJfF0kM9/EImzhbZXOMYvyyGvkOw+4aHHDJU07KW2i RPL6elo8Sk6i3jmc1PKB8zVv+QEWjKiKUR+TTIQVGzbMCEx3W/DBGqMFNFpPpDH4xJs8OZBN0wzX 0p9jq0i2AkFc0q24EakMW7hHuDmFmDtX3ItDax3BBY5LEf3s3hC8mu28S80ekJiCvGay3anGK/QP SwmBMDZZ6pN6O2J4bn72Wayk/KOhNQCO/PK5cFCiwdb5N7dm3kvmPeg9EdhKmY6+okd4m7GD15mF pER5SwUX++iw75gnlUbQBpUagWBrBujPK2qPc+DOUIyvnlb6aUp2Eu/rahE0claGJwApVD7aDpz5 fVZLd3OlSnbzrY4JodLwDltpW/B7VMx555aSFniYtiaEbjNbrX1aYqTvF2izmaIULXhDbK/JVhuS 2A/9WupbzyvLCG/zZPkX1iez7ruIJO01ZatQT8NEDMMnGBVcLFUPWT2+td6/WdeblYkijTc2w8Eo sQJQ/w1zrHnzvUgnWuUVTdY6knUCY6YoZti8/icNTU4nvYjE6sFwrLjR/ScvCCrbAtJJE6ofhrNw Ydnq/B6DYYdPiWL6b0LdSDsqQjJQuf6yIV0b1UHgc3bPwtfbbJGsThViK/E95qidCoN2W3y27Gf+ 6WncY9vnyavxj+847X5I2rVZMvIFG99tkniMUaTr1mQfjH7k4WAOtk1RUzYR7vcT2VPwIiJHCcPs Db3zP9yrGHmk6rBR5EYFjtbz/LXt4QgfhLgDoiZ+1qWS4kpjZb8mPVDMWQbCtKQAbXsrSQC7FMGq 2sURVeo72WM48GPnD75bR3Ad/vko16QHFd+2zwlbc+NMTl17MLzcPv9qrqrxOfCMZTeeIpgcqCjJ U6TIsQlB554N3RFyfvY9bpx2nun5Prz04Htthbv21W1ftiG/JhpEjhNUMZ5oxMSnVchy62GqaooV qt/hmyRWN8T4/R47sgVRxz1G4mStuwm+mzNlJwXkvsuzv85AyIIlpVB0v1CG0XGtMYLrFSimIlpP 9SMKIW908Wo0ni6wpUiqmalOYO4S+JJPVJl3EZToRo+fuIvXMvKmLG3ib5v7rUdbpV9/T4Vi2kj2 ZHZcJE8c+NDkgXWcNkqUR2NYO59lUkvM9Nlw9YSxpPNK+TBA71pFx2tvQak3q7yCERKgI3S9wDiK +i4MFik7wXnE340NgR/U9b6zSl6oX1ejJM96ULtV5UrIC+7gItHpBlwp/0raAW+WY/BY1nk8Ztp6 dMKiohE+UaMxE+cy7CMqx/dS1t7CKVtipNYkwuhZaHXZw/5t2XtPza3PRCkQsPIavgHDtucNk5lx Pm+wlL+5h7PvGp6eGRs48mk0wZOwzuPHFj+wEMxlenDnqN6Ris6OMS3Y1QysWiHdIdPZpkQDmFMm aEvcqchE8EVl6GnQXNJh/GbHhmObU01RYVLKVYgWJSqzrq8MAjI8DbuZ3Jjmd79D1Op7ZuB53i7n 8aCW7cREtLvwXmo2B+Bqm7bOd9u7kD7TP3K+jrJDQ5tFfFp5tKD3grGuUownEj8MaBVfyzwxXIQp FtPw9E8bMe69mcyd89sLVQI63QaWd/5bDGIe2jzmzIFYipBe6Z2gsZk3cBorskBPJF9g8JpDFUr1 7hwpd5idt2rzJPzoCJ8BgYyHUphylBUzRNPT+JjPWcWGz4KPm6wkSGvY9nYX8SPp0sUItEw4vaZ5 sLa3JxMmYJyR0PH3XJeaoC8oJNFsYjRhsfbYn/C/0ELE+TE/Y4WOYkh256aGy+5Ah/0dSESYxDae Ei/9+ynYDk8zu7AetLtsfn43TNeU4//k81o5HcRtR1V/h3AxOPbUaFtGndmh/e/QynQgsbLwWXGv Z32J2/f+KgWZTCVDbznlxSGUNx8HdjZj5QCIcSxVIK09ZeLtFv5RkprVO1Xr+yJPFGhQSpGQqfFD PsSuZtMYXd/aMXLY4XVimiyng25zpBsbTmZ2UejELIupbipTfPr6rYPfMpTO5hWXq30NDA6hIJqu LCwpKJ4bTNfnA88nhNCJlaRskyCbLsdjnpHGRFOxZ9AV0k1ov8PPQ67b2SOkq3W2gf0p7ynbVE1s hQynC5qrJSrhhBKcBqE8WveJ7w7hP1zSv1QvaMnDIA5eIBr4vqGgCY4eRdNfZJzAaTwPTYQUgVgK iAFmWrx0PPbo2vnZEj+TzoMZAG3q8AoqkV0I62OpAr15An3MAJde5NvoAgD9RBcde2YvSjHeyPJA bVEq6s1n/kqsKmuYlrb1RuBmEksQ+UPnuIJ9wpaUx9uBp3ujPvi1O9XENcC6OJKuU9IH/oCsTkLn sxMtEdxneYXa4R6HLMs+JRdEjXu8VmDeQWwqVdoGxPMS7iIdAPDixREbtPGBBseySXqFKStPwsDh v3gkbQN6PLIlUSoBax+ZFo/Fzw8ETyQ8K0Zael5LXUV3dZ2ivit+JEGYh7o96VUBBlztiatKOSEL r9X0LixKuYUR4Z9lWZPxZqGg5Wc/dVDhUs/NsL+p2+GmtX5F3vtEjL0mCsG4KNG0wCLe5RxX0vI7 GdMary243QikTOroccQs2PGESKsdm3bhxyhswhtoiMIP77KlLlVCvXRUpEdYJqd7QH1r4SINrJ/b Kzei8O2n+cgtKpIiXTzjfimtg0EbshEAY8FKApLr+ZFa3zJzsLE9T6lUskjTi38WoL/YbEzKi1TN P+43AAcM+o+KfxUF4UUTUR3DKduVVictnca9G20lAN6cFJKLUBVkdi64uT/wAszMD3nUvOP8h/AB dZ+nDYZsvjJ5dB2v2YYxT4TC1WjjSFEcJdB7Z3RKOCw1BvsISoTeqib1U2H9QZHgTjYa3bsvfQJA Nle4glXKyzJk/fGTdkkAS/mu8JN2kL20H43pE3qEw3TL6YRGdNy+txJOtW77TP37R00rlk9T6pDp We2i4cS74XfUNznwaemgxno6NwWnfRvxri/KLYxDTkM3QpzaF+lZynm25IuRPiEVB9Qmvfq9MV4A D/TfOK1h9gmBVaa7KOD3HSJJpdHvw1Tdt3cen7FLOfaueQZtUqy5sZZgbQBdRHHj2NKv7Q+/gWhJ H83XiM2D25BZEO21hm5oSm2DSOKtCMBvHONGO3FzlcQKIyATt9E/CYwgqcHU0a4pojITSFrLuVIP yDfNGlSpbN2O+niR7ePI+Gr+l969aTq3jsyLiKNDKFZmgWOmKTs1+Uhoiu3AGCYR1FffmVW7QREy yPiFVC7hwzR7CRNfP3+uZIo3BhTFcfCAY7VDSNrRoUbFYPvEDTlfvPjbNjCSdXIU4A9ymMRilQCK rZlwC54EP30ZkVbD/fqf/hRqz0KhPkD11ELdORFhBr36n99Ns5eyf7bOuZAt87DneTpOW8Kugj7Q Pk13lXEl9wApbqD7hRDyG7GibzEEj09ecy37BUAhfSSqTsbbyEkohjDwzDoAifNOawQy5d45L/DW JDuysVCj0NwnmmxM5BT5S4cv/ZMx4sObFUmdNKQUUPdGGJ2JGg1flEeWUprhpD+T3hSoepSrGvvY zu+AcCMb+i6dyUj5VwMKaVO0aJbNmNJCc0bLohCy4oSoWjYkfpmdbzevviolZf6Ne+mHeM+e/5pW ZkLx0eqam/i4rMm17bCwsxAY4gPJUuY1OeQKAQBTeCUH6cG5NArsnhqxv1fkvjMsjWMTWO8wvec/ rGyZeG5bn6k1VmliWr4Hg+SzPbeYI0OfWJFB+KI3wUrMbQmk8LfR59ntcH3nTBvLIDn1/e1NfxZZ 0TzxRIXSInnYWJuyBROpkKY7ay9RzHDKLAEywU1p4RqsfpvhElSwAzZEVkE8F7tCq5RFo9vj4/W2 +VPRZWTfvjQ1JE9k1jQBYfU1hSbnqw1UwXY7QnI27PohTPRguHt+lsFyJhKy0X8ymmBOAMSy3a0X 90QLMFX6CILqmQOmpAohRbJkxtx/HHgS3tmv2hbI3wwdMKHEOYx+7V7iRQRHwW9Qr6dZz9UXSkMq 3FwNypmmK4NBkey4tPUfuq5y+/Us6J93MGCtm4DkQiOYOFFOAMaCQYh4XNSPPOAHgTFVavJjrdw2 T8zhv35twl5Op3oaGW+kBU9Z3e6gR9Kn9VosHkuHkBs+CJVvTkSbgMsXakzgjYTuI3uPd4SSIT2N uWlSDP4WXiqk/wcyfEoU5qacQLQMl/mkyUM65A/pOkrA7iyIrr6CGxLceHIhcxwEUiHimzLXBvsC pMJvYPHdg9IhIbqyW1GRitxeMVVZyvMNSHftHwXb3gaRe7KP0wt9ZlxJUKq+guXhDXx0atpY7low q1b3cM8rWI2RMAtfA+9SH96IMju8ZxEtYOAJ3v48TPDcAOt/Ckb/IwJBURKZ5+7WO6F+eCthB5Z6 Am298mNwfFedMi8jefTgIAApzqACPb4mJEG2tdMYcAF2Ybqo8KbNrj5eI+HjwUvzeqexkoExU1Bz 2tIGEqtOqYtY8SXsE4nFOvwW0OsvZABk1tb+7DfizQxQNA0gPdsJjv/qLycPUn+T7FKbuk3xFlYb V4Tfm47M+7TTorEdtjFxWOcAc0lkx2b4j9JUOcytt26v/M3jkA/KzMLf+JM3WdWZcZ5S10EdkQI1 x9iFpGZdA5bZF8LE9ey+MshKpk1wN1nfo1EDuH8x6CiAkWOpXApmjxS+390DSZZQl+76xPiPyFnP as6be4fZ47icSa3zBfkrTejqAle4i+cvnoUrDlNPzY1ZTXvsdRCHDOKUtjrh0dMEohJw9vA2P7at kTaqaNrKqS5FCE0f51hqp+J/zuX0VpBImD9DkHClWu+UnPnR/8fZiFg1EH6LJbj43hvHpwcWnRD3 97FSG2WsfiThCF2qWg/HCg5GHJhFfXbTF1ewTbqa9ZyzKpoa+OV08qR6ElCtrPF+iBziAFYMhkjF AWutDJPU0DKvRc2Fjug/N7EEilVxTrvmhHzhvDmp3mKOyM8KPx4cqgV/H4WCV/wKM/JKs4nrBheJ OZEakZI0PmM6LxCt0b08lqMLklav2mTxPO2hFaI7iHo/vlBxBT/gnjKCi0sgQmTBSho9V/wMZXJW hCi01x+ljbiDpTkAfD9QYVKuZYZ2CiU71cvyy38Af4Ib5zQ8LyeAv4oJKSAV7EPJWROPZAofOhWE eioYj19H6zJ46qfaTy8vPMBA/2mtPSV1Z156a5VruYBOlbYwVaEmvPp5JMM7FlDVIIMm6X/84POa 6D7zMaVXpvHLQ8lQ+PP+HzxXwgg4p/wIehpErtp0poEzyAAfvaEJGjLkHxcxP7pl3R1MATHLh6Bs ifbjWRWFrB01NTdFoszvsssC4GYs29IK52NlJhqxHtnKVr8ua514zeir0TuLK7RtNkGNvIGSpkwg i5LBIyHUYy3jpp7WnaVcbN8nb9Wm2fUv+I91S7In0bbPXiMii1oUUqobAbRGz45T9Lp+si+XKZRa Nea1gbhDybAjfqPjagt+l3nEVMTYcSN5NiRdsOqy6BoiBnkOIWp/Orw/yyPxpr3d1eR17ams/WMy +KD5LJU0nGFXRbj0Wb50bqZRyrIYsXiU4S/PiqbAasxlGJ2UdDGIEch4LvOTWXEhx/vZQ4Y0O3sy enMMers2laxnXWO6Z6Zxkka3WCxd3hjInIPMs8LouFCx4YHUfkNwF+Iy+pFpBisdHC14XnANl9gE NK0I1qqkZ2kuWrI1kRo2HEjf/WGP4T9fb8cut6rhOOER5/z7lMoAqgXGeiD+RdN7ErrQDMdEzDUP Uy7UJnLK7dzRS0iIbP+Ds0YldWV8fqqQ3AEmlcFbJqxUEQlaOiMAcBgHSdwr4Pi2MIr7mjALiBSm FohN/acMHgIcHOKYAPnJLBLC8PgdSNkYnwZGugBJyW6DItQqrl609lckgPv6++m6Jc+/L5Idhl+Z O5vVfvrFbbzvubbuhlbl42G+zlFsswNotD+Mzs8oOt3V3u9nhli6TN8BJmJw71jVX+9IzowauRHd 56XXW8DSWEEguaqO0YoZ8/IuCT1zA3D8hOirJzKMcwatli1NVFqKPllnwV8fHrGbZ9+70f44p3al 7r42d2q4JK5wTAn5JImz74MkROdd7my98GJ86WNMWmmxzQKwhe7WVtnPIC69KC1gRjpi2naZqRoE g+KBZXdxBBx1S9pQYFuMLuhOFuuXsIEyvpj6ZTayK/TKEYeZCNa5uNXkjhlWj2M3TbIXvKUoSTrj jRlXJzAXncMZWq9qhiid14n1vBJnGBTSjNxkdKgj353nXLN2qTCqCldKsqwjOem0IsOLAz+uzv/L DwxHMsXcwtlXghn9Zx5sxXUoOE5bF5Wen/rUr1eXlx7KRkcBG+qR4VXdvQB0+TrBmefqCls6+Xze ZjSlOy9EQ446A0hgTx81HCur+bBqomJ3SgEThaEV+SjjuzTXMUDUqUcFufPVOI6VIksUhC0NaChH Zxm7+lzaNh5t3E6sgnUJpU5Qp/TQA+DQxBaSO23SnHFMpaxCtflyng5/b8ia490eP9CTPrF+5cTi muQu08UOi7k7PSbami7Bo/PePxvAsaLPTyYE3AEfjmqF9aUDa12Z7UntStwCUfIy7S7R0rKZVyz6 e30iD0bQoqOGyjThift3QFx1nhWQZ0YA8KOnm3KMXJp735V3gIpyDASUQiTF8b0pixH70Eukrsd3 4p7gQ18Nqs5w5snJrBm2JssWBP+OXLtdzt4NQ4JYwQn+mDSy8PZ/YlWXcXi1fBJEddG9/cdXThL+ D4qYLxaTSYeh74XMS4ZXl2XKAmxtWyrJLz1cjTqTFUAGZ6lx2Dhzn4DoYgQgV/jh0z64aQ3AFmro PXzZsbfsF13N73wRbjRSv9vJMhABRWcyJta5GHKteTr60aTp0JJgzDceIbIRATWZDf4H/NWbY1bp YDtC4xukCRDOmYjVkRukeEouTMBQby4f3t26GlZPRROL2iUDgU794yt+Q0lHPhsF0nm7BGRlEmF5 XSkJjjxZjLblvaA/QgAoq/k4Qcyn0udZNcejbYwFc0NF5lCSC+rf/PvcntrwrZcAMpdv3E8zZvrl mqTiK3md6OcX5Y23iowRdFOaQKHhPVsHKRHHLp9XEJ7b3oI5JFeqsbzZkjgfmU4RCt72As2Btm4i gbSRUAruO0JwghmxmeIHRTE/CJzYABF8op2vsGD08hfcyjA68NWuzbBexWe5aOvMk+Ut5OmSUlYo MqWOtNw/U5uuXyiml6HDh4Ae1BPx+nWHzCT7YdQkJfy/WBYE9RGQWBuDCD3wB6ZXGbDn2NizoRX2 ZhmUOco+KQldLY0lQWNK2aB+oDLS3C4zDTQnEpH1yiD9Y3DUj+LpYHv09ywfAr2RgkKz0Xx9a7Fl NQw+/lfdHEKsnE1mg4SJCQtFlv537RfRpvZzrOMvWqYtC5PJaryV4d6hSfol7Oeo8xwId+MjftFs 4MUBgIe2IkzYV6awkvYS+RaypTsEqHsydx/by9H0pFUWBFFES30icrY/a35Iw4TOH+S+qhTKfL8+ X25DLRUXpepOOCqjDiczONRF+Q0VT6C0WuGAxrfNHpHyHRu1cnZE2la8urszfaAO4gua/gYyziYI Gn8KYxpnB4XqtUgEE7yaknq7K+dGX7Q+5vChHuo2m+oO82eZrOIZ7EF1zY1dThzSPn8nNOLhiGul zj2jUdU8ZkWAM2BgHnvxbK2dIOQM7VWCSV98NrxwtRY0hJgMPhsS5RlJDZUINc3PhHsDvCr3nbGS Yvp3/CG03cWFMxc26PdIn8DJBTQep2NRbzoLZmaYOx27pVLLouVLDHPf4vvgC9zDyD1nJ9x9yb0D iVKM9Upwhwov9cHdqzMsdq7U9XuwazUafwupwg7BLBD231T9xw5sZJ1nHaSse4buYaqG4WAI0sCL dMZL0OPUK+baUxe+/FdXiGwllBCOzg4xSYHbKmFxENyRPw62Lgna2OlT7Cs11spmQ78qyW9NakGI O+mPsG1dP7XQhfiJGwEAEoNx1b1dGZ9mFVV4xpMSESE+0Tfne0UcKMaPZlnkbGD3k0HP89iZq7N/ sWs6J0dKnk5szarD7XHaqNlQZFoXFmiwSGvD32AdC57TCAV/+TrIoZ0jQpHYggu7S+7ccLDcO1/t CtE1rNqi5hPQhg13gvsK58tHC2Ud63VZNMb4+gwp09Bf+Nce0qPAbqKX5EjPazFLcxrZ9bkb9k6a nWVs6LuUCzMTINQegNw1Gv7PrWp06IuEctTMW1IR5SZ1MPI7yALtSzdOazxGUenN0Kqotqc/XYbJ 3Tr4SzPk9gdCNvCI74kQ4YsMkvkSnNSwOhA0MrwlbIDcL+riHR56nUl2xS63hzlrXqUc9JRDx4Yj 1W7HWAmOzRcGyAlbJApSKe/CYWEiXEI5UMg85U7NFSStMKeKUd0bycttUIARLRNfebypiIztNvTu fYX89SkpgdKz5EFr6Yg+9m1rIdNKwwSaJdiT7kUwOZ0jRZPfofH+exKcmF65fN5DZPnSXuh59u6P L8GZID2PvfZO5XJ6QqFaQHsnhxOR+vQeh6xkWDi9k44bplfFCjgorpSQyr1r9gx4G/WSXl02za2C 0qWkBJjOa8k+mZXfx5HQMq4ryIxZIAl4Rplgv59+CHAX+Z3hQkJCJ0OJFiXWWevK/y9gqTQLv6Sg 35AoL5yk/CjTNzb/WaDRPmxOx0hJhtYDpLZiuSH/O3cTMb+wl++UT94E+aKTFK41Q6AYonb6DwDT vrxvS2+7IgfBt/E8t21Z2ILEtgIoM/n/T1Dm7id8A7l3MeWR6czzKS3YMkSoQl0phvJk09HtzNcf GOGpnAX5DiaJx0jnv0OlBJTIkzeJhfBBEZPaebWzZAIIPT8DiniT7Kvhzm/ofMyfB1QUsLZK4pm2 AsSkeNtMDcGAPkx5sO9BKiHCGPSIQpx0f1wuAquJfAUR+9o41YKbxI0k5ncZ9mP6f0j3wT9FxAcM FllBah4zdR0cuETvrVJ08GexWMwq+YI/MxNu1I1HxZvRS0tG2jmGBp++zQ6btADDqzptTCGtB2QV RAS8qaXg2M+Gr4RyudhIz4noOytgtBnV/RhBbPJqcAVYhE2V7CGmA9pFlS5ICqa+zT05H+UUivz5 bL2mBvuLL+wvWhd/aTb5UBEPg5KkfAqB+6iaRuFnSN+90Orh1r9qe8iMVyE1FDeVOBZEZ1sl1Tto fLp2QulzvhhQtO0VgKpnMu0iGu74qNurLc425hGGzA7fuKfcqUkvVDsp5otpL+ZairimYmIqetfQ k7oJXhwjrDZ982wTqIcLCgTaygKI32B/sDrkQGBAGhUeHaUsP8LW6DCe19fXFNDhTPwOqXhEzHSe LxWv3qsWgnyQRW1JkThpmrmH7HR3EQBQFRwK9up8KPvmEI4IRpfQdI39pOhhRyDSFeyCUSqn1LH5 NBUGk1xFq0KBL7XZ8T/+CXHBDZQUdHgbphfS9HRwIdZMCVOIX9jQQ9jFkl+zO9plsVPUT9UVblK6 rvmGR7ugqlFrA73f8ANNxTosNxZowtG6mlcecOOfh8DozzZ30v3ZXkroCTDQxE6jaVrqX28p96UU m+eFTSNSQpFWJfqI/x0aHePUOzbAYaPLii/MAW97wFQgZDUvTTp3W7QZ/Qr8S71/67Yxp8K8EOHf tVhpvqhelI9D8VVVd+gASWvTTKXsJk848Bw6Gy8N//7fFYhWUaEPEdKgunPMkHc2tZkg6tXdm/P5 D5kno14wOfTooOyUjJyz3nEXSwsFW3QMjcwJyGIcQfom6KUZNmJ8Mtp5MPSK3KfRDD4ey+3r2IgY 3UzJMRSK7eykE9hd54MrSfBoxlpgpvkzkbKgDq+nPw1Toa0u+0I0Iriq+wn539Jk5isOqn/F1YCx KqXO+AQyKJzpIGfhyBskmXrODRa+PuG3CnM9L3Ru4/A8H9XtRlM11IE4f7fFVxtCCJCvMvl9lpvI 8vt8TnBLkAiEkLHp96AReVLiaCe/JFCpBhI2UaXCSlZXoDnRDxYk8s+3qmGncULOtZ2o07eXtmh9 fqZPFADlTWPK0HciQUyl `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dH+/VZBuaqXYna4Bijk3T1yqHFFIP6LnjsBzX1mH5aHXfyWL++vRrdWfuK2jdXeFIbwVMRLHEz7R EMTpE7+RUg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OQ0656nsUUtiQAH8jdbt8LIjJvzHtO0A0lW/mk+t1K67nGkBuXhidmC3Dpn0uWk9NHP+iND+O+pe EZnHiKrMb4nBkx3FeLAiqPTyf1DGDqozKZXAuK9nEBZOpttApJLeqkMxy35UQa62rQr3nKBb3qAv g2dEVQ3GnM8ofRWKnSY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rGjRrFsSVtbanPDTPtCG7jEm2Rmy7mp+sfXWdRO5oZCnZljThC2W2F+DKasmnu9RnBN5NntOclb3 /ghsvIwquJHaP24vfsr2I7iam06aij3jBZENCOGEKB01lt78kIbBj7yh+MJeY3JEKjBhrDeOkIzN Kf2uDT16KBwZrCM7ZvEhcPHHkrC63qLCF8nkbZxaVCcaK6ymQnvEPsN8XqMVWODbU5fYPZa5W4sZ 9KbzRR4TrKu0p5uJM4xUitTbwftvDE3xVCOV7jJGnNziRR7oOwxT+DRV5NtIMU2VEttMAN2gF/Wg VsAR2haj9t/xvUO7Avu9G1u2859wR1FcJshjZQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SVL+F9n84vFO1OU8PAKU2ZR/yB8fLnfEK6m9gudJ9iZWjBnx01kvIgKsyobPmZTV/+Z+euQgB4O7 lkaCLuZJ8BC/svi+nEH1uaMlAxlziKJcp4KYpEpCrAC09Y7kMhPnD18NRgsUhqa0vw3JsapW+jMy cWvjq9Q0LoI/MBPRRAM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G5xooBDRSi0leQa+UWB3rN5JyS2mYr84Bh/YJkc8v9fHCDHt4fU2hevR86owzzJNMNIJE8gcdDRI zoD3822e36culvsi9coPKzMHWFmYpIihJh0YnPrckAAmBFeyFuLCu3PenNPSu+3+NbK4G1jL9BlL vxcbd1v2ZekRc0IMc5pDemocl0Cv7wFsd7n/KULAg5fuoYpCMky5hrh6VNzUEcwdGj7c/Z8MqLu7 wZLnyg9pR6DVZaLoqz7OEjOLSe2JBtdiStil20k4eSCWSuxrDKqoUTAufzi6LWY3Eav6lC96dd4M AT9sc5wKXjJ1q6H7UVyGadfIrura0XreELI0Lw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464) `protect data_block NwM8GAK6tHEUFUEkrb/E0b9kVB5jf2mlfAjC6kW0/cPViirKCGIbYPEq0h4EjZ85VvKgV+BXVFDO iVtrVezlTO2WVf4OUm+/ZmO3isXHOXuKDZgfURQ7oooZKjbIeHGpqRCHkrmh7ZIxEagH6BxoKouy CnUmhmGDYMYPdqtsyCY654aDDu5FmkE4zeeAEV4w9D06NvIz2mZ7xpLgM0SNRrA+m9RfX4Ejlclr azaCoDZ1MzBooF30zcJmHctTFH0l8tEU73BfihJ/tp73R+HpR0Wdm2kdkW6zQ3xKj7LI60hsyKRi D8jl5oOny1erFo1DZErQi5KljdclOe6DYsduWtq5/KvZ0CZE05Rh+FBJ001LL4rmRJOXXvIp/Oju hx3g+kk2xaQGbshqVJlhnVfl+zMD4Egc8QDn9/TWJ3NpgC1XYBJ7x1nc3huIqjwDZ5hF7mA27uun b5Q3+6NrVWoJLDRUu5fq89ShSKcm5j9FYds6tS6Gjvj/taN/BsQhS968beCguoal8SJ+8woA4mg9 BEeHKbXZjuGW07v0Y0pKf4ZUyHZmnVPX+Fedoa7j44+TcxukzMCRn8EBMYLL6LQJXBG93LVJ+fdl sytgwfH0C6LdWMsieeoWtfXAJAkBcfkmi94pnc2jzgcyVaUme9sdFwq/WnwB6vUCr7FdBCTXNkbl IkzcMnK1u5aiaTZouaEHcLmLJvwCuE4geuGKx9zSh5I2fXJxzUxPZGkZv/a/EPdK9H5LlgXKZc1d jDYDCMhWPKhF34Ww4Rn5bIf/3B67x+Z8Axd300SZOpjpejKGJ0v4LYLpIL4FAxXjmGiHKoj9x2nt 4yDidy2xSV8jwTYMbOsmecCU1e9uCdpb7OjyBrOGEjkgzF9SjkqnDWK52AM+ehXlAN1qb5x0O7+I cCwP/uO9DHzM4KzU610r7oKBo/6Q8roQtUdcQ8gmG80jWBVlf5dFFctyB6cHlOcFYJKRoalYuT9p S822LNhC3xQUqnjFOFNdnzKD0ru1MDfVcBkBjtSWCPogef6BEpb9fXl4frjqDwIt1R7OwUaZWNhf yXwlD9rp5FU72cUdv1RZkC4yc7KzMMPWcuBgqkIjn/o3iiUcq9+S/iEQk3RXPcwewotnfv+LtMkm ibUpM0MwatgsmvxoB2p4JaBUez3caLoWqtgVkdtbfXVOBzwWfy0QtenfE4OqNml8MZM1IAjUtdoE l6ElFEJaJIT/cUA26eP5WbMkzJYtdrIvaEvmcUdBDl1GZ2sSCcTmtGlB/3QHzXxvzxRh8E3ehcQF 3psuqeNSva4v1TEphDVKWPtLBFFMuKTxtv47ck/dVpY5Y84wID/L+yhEGH51wMTuZCObRWIT+HLY 1LSi+vzv1z1gTOLD98Kya6em1T2amZ0EX080gsF/pmuQweAN/ZwJwBQ/FHbaCRQNOPzvGz8xxYtF xc5txOmtmB1kvq4G5rCLt77rWUFd4PBBjvPF91Tnkhgknq+PkFoXgVsGTgjy5OeXmlK+AIayrK3j tsDJOA8CDbcguNfzMRQbj9QUErB07US5TTaC7nFZrTtqx7TzPaoV3MDN0BRqLgo40atLb4AfVYHM q1bjEkDcWZGdX4MV/+TNRyDYd0FnuRhkDDNGVKvQkx0XXHEjQ/hOEQi1zkD49yI/8KhilHZHfV8q jKmkbXDxstPc5CYGrpPLXXoIk+uTvgGpr6egQO3iEuSZlw0Gx77Mxp1/alWUTlgfZ2rGyOqssVYF uwzuJe4t+PCInBaYkoiBySbVYXq27sJMKVUXbwYQKca5ppP19W5nKe5ZKk5lbypyJPZMcZnkOiHD oaSpjbIx4BaTdMSIuEjblA577g/LhPLP/mQTz83/hvlSngWWMSiIbgoLv5ta24VK6Of7mRM/Ke0T H0+9iOhjGTWyD+tnTe4uHGb3WLyXItUnK8obzMdtiE+aah7+FSvWvmK5wxZy0oUvzWwI86fUg9Mz fXZcs+tTz3hscKe4hjdVnlqZQTbbAmGdzaSGSpymLZRfBvTtb4GwHYgq1QyBoSK0QccahNQNtQib zD6lgkMpXu6Cnfc00Kti84uleBTCBcjBHeRA8/iKBvdvbLROMVOSr+wdEWI5uZ8Z3vA8lc4/U3sm E5FRV9LmKTqL2gXmygXcBlH1JTLmq1jUoi0XcOQk1M0vWrJqoPrDQT0/wgfpBP2I2ag+9BW9oa1y jWTm421+WQp95tUB36i9qrRge0d9CHNjr9EEEOAloyihhoVRjyyYb24VFHwrLs5Gu7zv2pKzqkl3 4HVLmAXjis5XWlq9Vyxt5YR/4ciuJWIofePfuweXB6tTFlR8mL3UZjBav6Zt4geJbUKJzPNVen40 CsjHo0pOaPe8xtxYCZCbNhtqQLMMUfNFi4ts/4eu1jROL4i3m0t32ubVLO0TgYaZsmLh5AN8p28V SxKEHEOWYt/VqUEiionlWnVIEllMa1sq/a3YJ2gu6q9vjuam2l7ROM3prav7uF+AkjmcOMujMATx kv6wQVKmkGq1AYbM1WUw1XN2NvFSsw0o7rQzDb7RFPkoYaMJ+VYeN7RkE+nJbajPbHucNS9HhoWH KzJoE5MNein/r6SeNT682j4KEO0GGgv9tkPDrsqz1A8xzBuSJGczhQWLcx76d4O+8gTeYkpwrhTx f2syN0l0nZRjfQ3lKnbf+2SG843PLgpL5mSag2eQuibkHixfvX0ENhLUOG495Tmfam4F0h4qPqAR 96YeUVnUn5c/OZZYw1oylrORYeXRgB58Bi5rOvB5hhXdFV+icQQclergXX8tCxyCRNkwRWnhPjoq XiEFZZ6ggbexkpZdezkUJBhTUlBvme5QF0ZwSsntgklQw3oIyQWrFyLGEKEhD9UUHyUGC/vqeSvl q2UfDm1el1G8XwRtS3LenCPRq5CosUJuM+QwvwzAIx7HECukaIK5WUhbacWl9OdzAUUxUfPdYBsQ 7WzhQ02KtWHPxyaNZl/tnQsiBASOsLFdS0eh6GYcEYI4dGDVyQjiu/qkQEISNxMNoRINRvj6/ahJ LgtQ7AVJVQzkErDEDtIdgTeVVzKigUBdj3nTxe5/uWu3K34uW2+leIOm4UBFEo5SE8O6Fggx0IIy Yqlr4TJLZ+dERtPgEGSvJi+N3vWc+j3PJD/A69N89FyHCkdjuwgLSFgii7dehNic1oFR2/rfnHmx DzTMYsgXivOWjySBC2ZiFJ+5JsCRggvm5gnikZwEnw4oMToYMGn/WFKNYI1cpicu/TsdownR3/NL KmsZPX8J5/G2yibmESP/9VGxQLCvHXW+QDms+8MDsaV/zJn76T4OPfFmEdkC27ds7ua+8EckXz1T TmPFrY1+9F8u3+9ZSKCw6arQEH/RIG+Gd/BteXq/idV4qSpUX/jzql3REd5CYs1x73/GevBfBwU6 LLnAIIrzu2tdn8lS71xcAOe1YPupbeYhe3Ufvobj3P18C7pJb2LAINu80FAV+xySQLTmw+CUXCCa 0+ApgK79064XVZKHPQR+PN9RmH1IU9nVdy+NRc2N0Cs91cuthJiG/Uk8y4LpqqagrzhYxf2QU2i6 fIm9Uh0vMhxmWSXdFfudVNt1BS7PprRML/srrFudyUuloT3lZZmxYxxwewJ3vsjlJ5i2pQsCVhEi ZHT3ta0z0wM6MJOzNFtWhiXgOMZ5XseZvVR8K21UmshUJIIWLlvheAu4k2ObsVHaVpuy8MikzUmp 2knGN4K7s8vuVlrCpcTJ7YiZsSy61PM6gOUVD89hekxI+naFU4sMT3SmujiAIs4+EJmelVH9cb+j nWRDDedR3A0nnZk6RYmKT0vKvy+qa2WIJXkbiEyVu4LJfVeRjMxHR01b9yOVduuSpxx2RXECZUoQ cw4gBfFOheuSJZCRHpwyrA3S3ZgLuBbtESiBEOgUj0kpFPaGSa6aESMZh0+RIosq5lEknScM43UG zzaGVRMFZ00y7OsQQmQ6fbUfdhlU1Axtiz+1i+XnjTBPfC6FUtJATmLtax9ybnquu9rCd1CizYMi qTOPFCF3aF3sbU1Rb2uCqUwIZm8tKtXGeNj8dVtded6hprUhgTljZpB0cv6WroXLPEnt/r9g9ww6 58XvE1deL41EsSTLUBGzScEB475fyoIntNb7XY/93NCrgo1pHwATMdYuXo268dZipdEd5m1Ekg/t MvytlRqFZqIPSjY7XERd89t4i5OMuZkrlPXeaARzH7iVTKoXJnrn8VbJ+mpuvKcMOz7pmDsNfCPs OR2dzCjg3vUSk1a6YVDpOdlr18VcxrQySTgRdIwhK1GKk56c2mtimPi1TBK65P8WUhg5Ssdb/iSK 6cEJO/FaO+MrP61v6l3JTpUenKsfsh6jk6jcXpOHmheJiIO6e72TwPgOYIDl/C0iMln2I7gA5n2P WtglUAI4NVHLi92CNfwszoELrZU3oxqEYiJ5dxDC3lVmOwvdWIM3r9v3jdLx7sWJtvNdlAVel9JD uOdYP/P4ROWZu2SQXcHXgEdvwJVf8pXs6BkW9cJJkMRdsRB8YtFZI88sPkFHWYMoeildUKz4ZvMU wcGBmJDdz7Z4bt04FYSwEt6S9zryrhCPwMAs9OgztzCKJXkyhRVdk+mjVIg4Rp280aDxbetHpMRJ hWFXdl+/LBheAAPt+66RrfoPu6UuvD7aBenOEJiUZEdyEVKyP07QTGncrV3EdlrnJX9vw81IdmTA QLGzmkr0pCSq5zTYBMbKUVwS4V9WwULxTlsHBqrVIMy0UlSMrdW9cP4Ov8N/wvlCuqJP7sfOh0tf jQF+1yxfbKnXM1AAx4u2buiTyk9SwOdoPZvMmYVkBJ0bJKFcU6SLIHcRcbe5PRhYwRSyfP+YA3yS UuKxG2gH9zg51a+aEUln5z8W6Y1z/fxJdrL7qyMNVkT2EoWIeb2ebGDhx5J8Lrq6p5dxaUUVtUBV kGjD7OSOmWro1jaASpDGjGepWECua8ZzXeP5B7CjyeLhfbInL1MKWxLkLi5O8XcBvWinEE0JaHT8 j6Lg7/iYwwr6Q7VNNh8nA910GtFxck3ooBJoSo91t7oVKRN0W4Tr7onjvPTo10AvzS37vDQGsA3Z X7yBl4S7U3b4i7m1JXShEle2VifHqbrCq7VVQqWwEEy4BkRFUePzjTqyMhw8L/RTu5MhRC0QPQoY zFNf7ySSgIBFOz5+6e6nL5t/1tHEOWH6NQ1ml4ZeTsmyJZpc3NAUTzzQyssGBM6SRRokQS0Zjthe KBoyzURPCB2/H5w5ct26G0atkEhffMqtvxQAU7AKnpGwuP4ssAgSwU86KDW9yvDK/+MPajaWgdEx 4tUOxRQS3A1Dta0FtbwYLEyFYL8uNqCLDtGlW+P+yBh7WkI0OaEtn43EH09Knt32wMjLuVLIYdc0 AiqoNX9hCLSQDwhvf0N1Lhlf5Ae9v6/aGWxhgot6bgI0soCcY6r7I6h0gomx6KFwthXBwMsQN1La aeX5LYQMRjZD8UolbrUhUb2rlERSDjlBzq/Yb+tFSvgm5Kkbt5r4s7NCdrVA/jjPBD7IQkKAL65E C19HY4MZUbHJb20qkEsT+CYqn12ABcFDseU84PS64ZAeAg21pZpM81dnCUu7rTTLDx7x2Nvg39p8 vf2ubGOSbstM/r5ZnilN/0hvM+7sDHizRRnf0XBqULHNpbMsoW8UWMpXTLQE8qjOqBRKhgAlZVqv DXyfL5vWR1GI8iVYwRV9YvzovZeLMWa8bHApB3zh5mV/Vw03sbxinUxpYw6GINvVeFIni2URMtgZ bE8MvrZj/yaYGnHuZISX2SPX8w+TA+N4NKczK97Nbn0LnBIxh7XuOZ1o9Cl3voGb6RuvgDTu9Ysb pjnSnnB9hnv6KzmmSYudLrngzkjlBbg82IJIs3begG4GZGU/ymleVX5R9JJxcLVvJhI4FVASL1XB K/ao7xYDFHRX6YRKHpvW1RoTVKANJAzTqSJE57w0Lr6zYoaXrmEq0wkLvNcSCfd4Gw/d1wh4t0ud xGWb8ye3xVnIt9SZmshBzCVwP1E7dEttE3Kns8rIDHR4TbBySRksoMXtYPHdnYlwdCwCAP28th9S sMIv/2mk2/0cOWGGRZMZHFNQE4sOVBNQRACyTuRcUikw49nbFBLchkvx3PTwDpkGmWqEsjnRC0Ux FR3BSmCV+zmOuf8Tlr0krYMosVW0Y/xFqicm5RipSTyyGyEwf/iZHPTn7uTISFtYfCHTQz1EAQRP 5TiUys1jBFqna3qoIIoBu//oHGbXCv9/SvV8XTZ040QkPygLcv15wa6mZoxUkVB4YnGbG5CtzXIc 2TE/X2+Z0TQuq1rPwj1YwicCpGtbvQsxkKZ3qM/vVqjui3cAxw8F1wHkQ9sYoxFUnhHO6NdxnO2q tY0azHmcSdFsEhQNxM1kGGOq3FaUB9nC9GCA423g8neLysMNxNEEkBM1hRZNXzr4iehX/55KjR2M W9VVlK80+gjh8RXXu+6WDyTidDSTp/MJwQ+s+P7wgNCtrAJ4Hcq96pljmN+48cSpGOji2dYQzoQX XIYo6LwnQqdJJzi24satgUu5NPngER1JyjHHBVgn+gmdP9iHJrKd+/aDkhnxssQUSLEYfrzkGPVY zmVrF9Iln0QU/ywzOVAxusDpwU5PklltC9Uny7TmpvbTvlPP3Wqy/AaGP94pvnag3IZNBaPXHEFd VTBYO4RoLAYHiXNjYlLqfGKsIrnJmyrSUSOnwgKRPe1/A82zRZiLr6og2CTteIZ0ZSP8fW81t9Ac eJqN6CkONgDyEBg3HQeWIQoTfvDu+yB5iBDqatdoe3oS3DK3TH+M2vdt/Fru8lwb6Xf6qZCII0NV 1LbwAGGc/bZl3kK0axIK0Zj/gaZ58Qk2PvgV+LRIfmKZ5QOQ8c08F3tE7Yj9vAS+AYju1NC3RQAJ AX0mqKGIXCsPO+Bl7880kZBL0wqvwFK6yvhXpT51aZ5oggKcOmB1eDRbV7NOpJ8vKjlot/LgWQCj sZSBrg4fOD3ckNoxX00fdG42epWnPdrGXcD8UgV5JAqPfTf3BKbDjtWlCKf5HVuQ0neiKmhdRCqB 5I9A8yX2ibu+0yaG5N9UHyW/MEdC0/Cr/LoJtuDkBrn654K1RqsThv/Xik4A1M+G89cmqUER+BAw CdIPnpQ98k2zH7cb/ozaZTy+ubY/lfZtZPLFFxFpTjxdgrWEIPACoGNGGuAWKcUrJZJYoKx4Cpw2 ZHcfIr9pz461S7HqCGS4Sr4TzUjFOX8etltJXEEZw382Tlv0/UcQGLK1z6CPOH/S7iolgmFF1isW OtQgWCkN/cTeBMDsdZrIa3leITjW9so1cyxJ+P4f7r3i4KTT7ks6EnU4ldkzK4K3xwm4Jvn2Dm+j Sc4AFuewA2hWEMYSpuZ8E8xNF36rXqlKfW64ycR5gqNOyzJvbejzk00N9snoA40mx4J3742rOBUm 16DAcecRmjJw46a7XylK1o3oIppWtKBZmkiVn5Wy2rHftL4ryBHm3XV36ELxjp03HtHqHVlbrZVf VKkZs1C1sE1Gx800JywESDKLhomcqHsTdQYsJ949YDyMb+J8wSirBvUzJqUdBF+8kOs9IPl12FWx hYyeV9RxSfkPJWoUBbGu9qywBaFvNU/l3WlmZGEedTV2/jc27aj6qcdYZoNutdYmZ66/uAf5SGZ1 CYAFZ7ynQyf/CxJ+PFH5qYykmsYjW0pUj3yAbKxXWTT1bJhCQmY+BjhNkFDwEHclFhJiCu9sCONn vhFu+ZqBhwdDs9vLfudkOUy854lUqv1LttGapnGjjjge43cO1GPEP6He79zInOM9Em9f/AF/ACmj tJa0nt87At56my62If16hecxbFTWOAy488plYoPplTDSePpzQ9Q5KZbEV/L3ah6HFWjqUO3Y2YsC w16gGKdC9aTMXLHxQnHxKCMYq3gN8btYXK6fMeQxEzxn6+1/DT8bruPVZoigKdqPsm1UxCekOwV/ XGw86EeRWcKLAyVZwdRrHk4fAtqDzTOeIRQ8iLM7RBi4eFAqIGR/UzduxtuzAIicFTxf2SwDrnPa B6h1KBsxmjF87iKrdtG6x7CYStpH2grYc/t5G4ul4LyT81OnODhkrVAC8KscYaJVEyiRkVnGHVKK 966jVadb0QXyZYOa+bRfFpirucpQXRTUJzjbIiwl+ROaYU3LviMs28Luoun366Somln+KLHfm8Bk N7n+nR3xeoKhrz8iOmYVV31ppCwknk85svczR/h92jH9c3fwrEANGhsRQ9Fjo+P2E3To9Q0EOFXz qWaXqUD+WSiB1r88L/6+XNgjSCJpwks/IWbyg4m7GToeF9geBVL9QwJYywSzKzZ0K3PJPfhj3qYm T6KGfrp3axCstt3+voeGzXsy26hAwvBC2819Aar5WYeh1k7eE5ZYEhMw7z/ceh3Y4SxfXOFK8anh lFzH4S8FqimR22pVSQhSrwQgr98zzgE8QALFIY9rA0vNufVuRaFEipbPJmpjqPUF3UCfW0MyBik9 d9SoePTr6Pm66/NWHIAMAebKws6/Dc+9GfLGhrwTYZv9vNBHUaPme2x90VfXmuHKAWFKyAKkv/NM 56CU5+Gixa+UIKPvfr3d9PyUvXoFdBzuaPLyb2WDXwRpfSr7Zdw/FJKxMRz2zLfsV/+udb4jt2Dh aohabFHBgte7UXol0I41AfrbM5zEApRpDfNvT3NsPd/9clIphtIH+feWbnsciO881f+GXAt1qc/Q R6B8P09b/wXJ86WmP550rsES1fWcWaYNXc7ZKAkj7o+Sw3njztwoqlKf42yFMsFOS2dWGFV5F9A9 y4l2E/ace91UCkNFWg0t3qbKafs8dYDZiTDsNXtKMk4FP+61Y+orIN/HgniQuawm+sRg7FyGSS2B OBNOuiAzJabNufdcE79Wgd9aFoEfHopnFVsjZyM3aquXFg3DpAAd6fSfNzFQI54SqvhLIIz7fxLE CFHWGrQOT4duHqOFAgamOPSn6+lPhcGMDp9KfMhYJqkQ6C6ZdW2Rs8u8EL1sLZ9CqB5kay0V+LZJ fqUfIa/31tzvCJwf6hSVuX4UcmSO9pel9xNhVg+FOsHyvNasNqoT5PExaiSgA7t3GpHWEIJcUJfR w2xZaHNA291tuzap5D/gxLaIVF6EexdCr9VMOgmR7LUesUY1/T/YNIIBzVksVp57SRMMgs+njE+X X9iuus/UW+dyDa3+V9yNmCfE3PJ9yFdR4bnqf8b8Ud3ZOiHeMQPKWCu4osQiPy7Hkkf5WgF+U8OC O9LPOLnuLMjXep5QxNIgOUBDibA2WvrnTXUIsic5FZDDB3wGuqXHIPY3zwnLvny6NoQmSGgTyJG4 ZID9onHZXgUT6tl2ccYIlHaudWPKZ86bYatZSMFSQUXGl8z42AidG+M3s/4YJBiFx1EsFz4baNEC K1UywhrFNwkIizvnLUHZ6/xCOjqbaZyAGr5fB2bG5hcz+7pQjWUrex7h77MoYse6lUNBiWoTSuHT 5T6sKk8TmxtcN1ffcf+W7oFAXXbCl4jVsihqUPU2V3CIV9vaxC0vlRPk9N7VYgv6JrsS5yJIZSZF dBKJLASK9PczaAl3cUJr+PlDCCcYM+S9InzYxkH1Pc1JI+NicB05nMqYeI0gxUEB61jUIaE0PvmP QG6u+d+TGZMxTJBWCHEQNN9Q5h6DqFQS/fZ55d+ZGTUzqbSljFmDyE3qs1AQtyXPBcNbHlEithM5 PyI5wwN9h6qK+FRdQXEDymgO6NhBrl2aikcoLn+xY3nujA9PYIXtr/rjA06B+KNq7je98JVF44os W2pV29+TkWv1y2aDBGrIslaJ/oxr+EW8Acxek5DHmyvFgQSMYRs9SpytHBr6qXN3woEv6WxNylfX GIhU/26yctZZ6SWYktxSWmKGBCyHD0XDqe+XknkPKCVCEYH/Vgon6Jq6E+pVNqByJwMO6zCGb7Cj +H+DqMcTT0CKLdwXq5vjvVrlXUe2YzSj9Ethac77f1QZRsEIUIHy/ipyy+F8JmBcXpRdeFaZjukx qT9/kyod8MQzz1TdcQwF7w0hBycsm+ty2htnVJAGk1Si9asJjeIwlxW+UfHXVUh0wDW+BdJ3pVR9 gkikM0+3jnWxj5TbAEX1VBf23F/MZ/ZV9DN6NXf1gjIdAI0qqr9dnAAastY4Hp/T0KRyqmy7lCZM R5h0hoAuElblNXafONeANS6WmyjEg1TtpPSorAry+fTcblP3SU/Jxzu6SDe67Eczlj2VEqu4a/S1 96jv2vgWrZpXh1UVRZA72CbP34MeVfJIyu5xaNmpeGXDP4oM3i17SFJfJG8JPV2Erps5bo7O/J7T By8bXVKLpRwwms28Y4BxnPXT+6yr9mW8ObE0WsEEANDgg+k5HBsgSOPQVI7/pqiv9XoOwv3J3Ey5 umTbT5abKC0x5KEjzmWU+cVsll7qG6mIv5RDQ/IK3yqsl1oMkomwi3o3AV7S38qfnDRk8mxnXWts xyH6S5aWbxN3qrVN9gE7NdHHWMBw6NXLNrYxWCzbOusGYrVVuuIe6J1qCrtW7ARLbdDEEEqewWNS zhtzb8fr1ZHo/FgbWfo5gKxbGsO7GDU5hqbXMpE5P4zmRM18E6lrEI9uQP55H675yrOe70SMy2Sr 0Por8cxliroYcrHIi5TAboAi+ZPfhIeVsmJFPTZinDE1tRRg9gRKYC2WlRaEMtsZwULJ9guEgPSw S2Uo1uzLB/jUU5q9N+JE6TKRoDh7iEz2CNRuRi/benyImdQYXHJe1Rt9SZfQIvYAn5f1gsKNcaa9 J/1YVKiXvD/JQC4OSC0NguSeh9Ej/ZkQ8+gru8b82UKXL/t+lB2ztwRwB+cZ8tZldmiQntQLTIea PDdRypjxCGz/bTRUx98XKQk+H7/TGOOXyJSFL5OVWIbKCxsBXxkO3izCqKBL05AiqNg6P5umpZxi m+dZWtAvBtm462KscOJ/dcGx4jpLiHQN0Q5EcEZlDQMHLc46M7GUmlPFMhmf5uyiFAz69crBu/ip 1kJlGnIINqESzodx2PRMDYgwBvIz4AGyDP/Y5sBkcvZeMkrDxAQ/uU22GQSzFi7cMek31HoXie3O MI2c6ixeVbigRXwMema7WPvupk4O+CgGn3zlWZa0wy2G4jOUXWKJmH2nqmfzTzTaBsRl6cP5Gk2b rHzVP2vKoJ3kSeeUkApR7tUP/vKg8II2sznwnXeLGcYZRF4b6UzngezPpKa0QdnbNCL923qJn9q2 dI5rcrKDJcYBl3tgGfUKj88jcUHiqXy6Ab8cZ6m3zBOQDFFLuqrIf0qgbxWalfIs2IP+R1zNpSQr qlN4RZsf5kOpNS95laO/EAu+aaCtvDcwdBO5JDTe23qAddzz8V9tJHQul6xYyKy6LxthOMXIxJXV ZTYg4d+KtwfLrfNWCi4A1Ib4mac1MIJM1C1BiWorD5g10/wpwb/f84pQPkf9A9JbkAtS4tZWumEt HofPjKdgw48VGyfMxU4pDGQbXKaKTv3bFOb7vjqUtGr8QvxdBU/i0TUq3mW/HZDseNcb4mjE+0cM d4z4w7iQhszAQDE3h3uK0Y7YEJy4x4ab8m+7uRbhkgbVnwzv2WV/mxpFtNzxywAOSVJRNaZpzTLC zj3fMSK1nS74Wq0Uc3eogBKeHSn0jQhbvlwqeyqFSrzF2nicsga/DBv+gEZFyJo3PL6w7zXFvgpj fPzETpuTKcA+uJ4yZvCRHPDGNu/4/q/KU5Bq57L778tSL7KXUChcgn5ixeap4mbxI123jeu6g2MT SVnRwUo5QWtasrJjOysuVdcvDhAkk9fb8UPsjjVUeWW8awbYNRZICRutM4RzNJ2At4YsPUhKCYq1 seA9ZTmIU9mbIoDZbxfzcg/0rPJdx9Qy6G/8zrueNuLMwJbvchGA69tC+lht3Xb54Nzwf3rpJ/WJ 95j5NfxPqZSYDo2E/l1ZJDqzT5fFTKuPOGijFizKQ8qHVKRnPe0bEc2163gZtbRw77o2x3SxZB5r hHG3WVP4ikTL+ztDvnnCeSjfJGsvDCuVA1wZMMJmWKiwZsK85WYCq3Ksk25cPRf6+Xu1598GDQn8 eUYxZA1yYfI8a0b0YCI6lQqkp2ngvdIKLMyrplXgOv5iS3JkejbpkWUPAuNnEXxITFfYvfc460lD 7mqTmdNXblSpRtk02J8zkyFtws9lU7CVWOduyUZmL6PIJ09bZOqAYBieYETnxz17VraFyIhn0PMe E/VwVhCL+HhgdNQRSo0/TQDW/ws3ZlK1+HUIjpfsXhPYIM0laoaxTTDxfXoEU1nSnfem8XsI6s70 4t/Fl+bVfvG0p3qEpnuX/YCHGJZIzeoFNj5Ve+VVoeXlmbbERx6IrvddgxFDp92iaDv26t6ksKRZ /n8qFm4pD9uth32uVIIhrSNBaBmUy/dE4xKICKUVqPHBL8RwRhSJge+WsIo2KiaUvbY7FTE7sfDn u3Hu2XvCgglwZtxU4M8xdHFPtJgHpZM2Yor6T5uLPakoCpBHHbnhKGgffDkMZD0NW0RR6UZ436qa Qq5EL25MNIQf920plF4hdYhUhwj9D/UCcYgt6nJU0JRl+f9MZGUudXzIzh+BX5YuFyRmWyBUfC2f i6zm7Wpk4FKrCu2C5oK7bVjQ3uRtKAME4qHzDREOMWOcE08oDAzgXgGwbwc7s7sUFlgTBd8+5F1g dhcuw54UH/LjyyAFSgMgrFFqOhrBmKEXygdV9jHV14W/sJKY7WDGsV3UMCCbKfMb7Ca0n8sDNdvG h81iboJbOFZ0sCwqw6TAqWlx3s4uVJjL2ZPubYy4eB+TOVsJ6DXR9/vGS8nW/pcpEYrito1/vCj1 fXi4+rZlRdjSyylzrLP9C0WdLmir+2KkPikyBYM3DNXdJXmgU4j8xG3ZA9yvL9bbyiLGQNRT7j4D l+oj2d4ezII90w5zxifgeg4N5IfR4cdu1x4JyPHvcwsjvlUCsC1FRH82h6mB4LluDDHC9kZxcTjH f6osF4CXBz/7i99gTEyrALWrLxCdApfHu5N+J1Zrl+io0odt6tZbF1Zpe1PLXgchlTPvdMHC/Y/j QiXEIAyCsqmIRYURfNAmUL+uDGYXk6emWLEjGsLbWWWT9PUtDr3IcmT/uZY87Ap7ATNWoYbR6C0e yk7Bbkqat7+F6PXaJ67Vzi4g5gY7VQ3G34gWU7Y6KKHraD+1cskbQF0r5qoyx8vw+rwxZ1eipWxZ 87MFQGwrYZdqUQ+HhK+YXU4PnPghEanS8nbilHpFfwYq46/S+BN/6ZiMz1ZWGfSQeghpf2b/FVfU 9bndcvngGvzF05O1qKS0szO8WDbAgl9iRAfFVwFQdH2JqbyN3u4zAGvQ2iQfDNZL5v8HsZvk+IN7 +5/0+Gy9LmIyCPc832ArTQaUxKoQnyz8sC0Ekb2aH1BjTupr5x23JHdetLqA4garjPA8JU0w4jqJ 1mcgjLlSUw0DgkHrH8LlwSZSdpLJxJMatsBFRIVFQ9/o1dVf9GdHua15Q1p8tY32dPdWj2s3ouOM xOfM7zUqm2k68vKZABp7St5QUon5nuaZZ2+Dr4a4BZ99MY2VQgTAFnPQd+r2uLrV/y0P0FiM0Wjt SRGkvzX6dF7vKI3crjlrtIy1iextZJJO4fPkgW1dsYXzDMJ0j1vJQ15TmAah5bqJOQRA8bb8OhIg ec0/2Sn8Ja4d8t8HpVeUtbTVCSD66ram4A41CTbvGgffCli/irGg9tE0zH7R9ylzSuPcQbu9N9DF TatDpoAZoSVphgktxPawqfTz5WSz9/MWXP+gAhLlfNuZMW5fRZCCo/fHweAIvypCE+bje+cGGN3I d9YTYSocx2IwfiYjk0mzwk9kI9y2FTG23BgYZzurzOUsO2C0/VzzoUz4+KTv06B3CzAY81dKZYcK XKUAkPb+/mffcjkiuk/qZU62oZoZHf5Hls2sQNXX1mBnI1N9/Sl59nmJB7p+cjpnhbzeo4RubA8f 3cInWUzaY14X6RIy0m3HR13mohYzeCSCo2V7T18hXtp7mEOxiOuYv0Otjj8Xelgm2KryKFwQqHL2 IZH+2mz6nCOssgBlaLt6Qup+F4w7p/pR4KM5k2XJBB9+IBcJw7FjhYqJPAVxQmHr8Fv/P6xf0PiF LR4PwiSzphGcxrn4ku+t93zpEDTdDBKMX/DM5nTbo7jA/LP+1I+9e594Hd3GOkx0m2T7Xtf+2Zb3 PCtQqlHh8IUS0x5hk2GCf7qbIXdV5SxGrGckkzw2FRAxkIWLUciy12O3jj4yi3XN2BUjBaaqaxZ/ pF4XBDJ8f/diOYNjDEXYN/3BkfttzKzDqvfGAw5nAVyp1LKurZn1VLYU+9rn60qpNsdJm366RzSO YwwNgVsync4TSeq6wchfEIEm9IjdEyzB0ilNDTAMFaJCNwofRe9OjF4NO6S9lP21c0Lu6qAsUXgM 3pZLrADeAj2iqNPfR7GkANNug7oe+GIv7cIrA44lhtg680gl0hIksM049WzGNTkcO0CFX0ccQ676 mA2OCy60dYIYU5plalkSg2TbSYJ3hoSc3Qu6SRHZGpkhmyHaQ02vdxVwHdoSCgoXhJOGKY3kCBjt BiJOASTCiuUHaElRCcCJWHCeBwbgdHqQy71yG97tMxoJggOghnhqj6qg1jNmjG6djXtnnE0pp8xS D9Y051Vj173/OSapPODfz1UfSiL4JJq11eeTjwNxbZiriYaS15QekP6Ba+pALqTSx/ocD8V+Wfwx wb5agSb3TCRgqpGHRpo+st4DECqR5LGBLnfARREr4vifPcJ/v4/EACjcXQeAeExFNqG0PLF5jDi5 WsuGiv1d3+8EKg0m6WwYr6zFIOwjJHpk/y6yDXkrIZ1TSc0hKLw4dLPCe0j3kypoEPhVf/orSE/t HJniljQ/qFXxMC4eRCZfXcd6eXKHrXnYvBGHgGDwZ8KQzhLoREr9sivTygLt84oNUcV7i8NZ0875 4KZCWqMpoBm31o0zuTQiyT36U62um2eD9vdw3wCsFdlDhWK2stDbQUHOKvjcU22eIu/lIBLAiQjC lcwv5SrPr+jX3feaYMwe0ZCzeiOY9xXVnY2+8U6NqQetqIYpxByOYq+WRcgTo7qwOLp6i2Qt7E+Z bKPRo/ElZy7puZwzD9xqMSuQwJOD7MJ9ZwbjbfDOuXTa/PWk7uK7vGlr4revvPuyopt3tiSkkdte zJJ34o62I1dggoPvtdSPorPMUgyCuzXBrjoGAxeNPTox8lVlQZHbCU4nkfDBGJjkf4WURimDHW8B U6yeq607xnMqLjPLQUtMEpQJmvD2lFBOCfD8RcmU7E/5OZaaptJy1OjgykIwBNqNS53wKeOcxo3m tkyaSo6LCl6H3BLAn62RwwJHXduF/Opzal2ita7oCT7TGxeDRX6cFxelwcWIe9+HZwCpGh1cQQnm lCeMwpnWXJPgJFGKWyQBqgyv/meqSiIr8vLPgursz+ha2ildmNKgS5dVRkdHSUQcuCQGkkRSn3uH KgaufhIgKEkxwJkRTevL+LhNUCkG/feRBe4Hr80wmI3G/y4Qh0m8IDq+T2uby+VLK0F2WflGog5j 52jLckt/QavZv9f9oFkFR7moqWHv1ZrczVNrTZD4/k6yX/CTIKj7vTnOnh0BIUserxyJcn1fXAW9 nI1BggsmA1+w80GRFbh29clT4hPlWagchRl/vSZJf+TywaHXGJjdndS6yrU6TmKXu11WakkR0uui jll77q2nhapvyUudTZd2dGMi8UMvMxeSdjo5NWujZle4VkIF16C+9zOm6dxXt/AsJzGiNT3zklEl wGLRWIfkURj0caBdIhwDGYnYcuhBd4X4g9mri16zJDYUSHIHu3+4rMeuJy6aYFWGoRErNF6jPtdA /uLov3lCS25fZa4ZuqmAA4mfo1H1HCgxLJzIYuAuare3hU+MdeYAH6mGxKklEMoJIknrc4KVO833 UV3vg3k8dv2k8BAFT+xcwbf3gBVUYU0RKQqJwnH8CcT3cNDYACBZoStfKmYah+5jX7M5rx8WGWA8 bhui1sduxxzwWkVG7O4swS1JrI6rnJ7k2zPlUC4iuhJh7OOi+KrCathSm6JfRwnt4Cj8oWWzIyfH ypw8IVWnN0H3p5Ac1fKKMf7m9tE+Y+gJKmbonMz0Cd0CIMKu+aKELyuUEHcsZ6OkgxqNvlxZgJxF CElZh0yYI0qHLf2H07S7Cf10o0FEAoFO2CwXnvQhyS/HydkyS6xO0ATzGzZTGb1Rk8bqcDcnAAab nWbyabMPB0aUw4D2KCf2eXqDUOIpR09NsU627SE08HBa/WaeKOLryUV5c/nGLYV9M70pVET3cMXf Bfw6awmf/RHW4sz/jfNs9quE35oE1u0EAukZjufw/1d6Z2Wklysq+801kl68dJI7bbWyRWuLU+AS lvNNOpEZrlI+e0lyQP32oNHzghWheI/TTH2LMWmLKyPprkMuwrC//pinEYl46PIPKO6VJk4o3RUQ Xj7tUavnLjN6fQf3xnsxVgH2okVVUpeFMEx3lOhgBZ0aLQ1nyC+FEcDClMvnlN3N0XZvWQ7aNYUe EqpXCEQbLSMm9i2xq+L3QDWxbp0GJxTyDe9bxqsKO2XpTs7F8EerX1yvw7H4QclFfrYb9Gd554GP cBC6rljBWVAfq/06UQmodudgY9bhBa0jImCQEnPf22QAd+IRKtL3EDqAZxeFru18mwZzqVhD1axc BS3kyidG8pjrWez2p5BjJgSPxSc3O5Qp+LDx/8mAIvxK8IL2v3A9AynayG7tMrK9sMGZ0UDGnfA0 D2AaWCaLL0w5MmT5ZaHG24mH9GZ0NIFAvPsUUEDO7GROg//xeB7Rlyglbv9TcVsUDsl3i4MzGVnT FAoyAGshIWhyFg9AfVGwChDC4g2lTlOIxG3340/BhieS0ZvU6yEhmQOvwanK/9nOe5KvRloownI6 jRhHr+DnwfzV2N7O28KlwCdaABnexeRb9skcq5EgaTv1+P873drEmZr5gZRk6cwld6yGSqRtzVcM Yhp4daIsN/UhE7xG2rpdukIbb8LUK9fC/eoex+2H48nzAPZFfJPpMFF+BhMF9z2AFH/F8X8D9FoF tbFOyynHcKYSyJxENDiLFp7at7VZTUJtuJ7+yr9yBBwsZpDUFI3AdMUfTsGvpoq2Y0PMe4PrJHEx DlRRmN6iq/JT937f2LS8WFgi9RF36EK/ATETIfExa9qcXkwrpqY8G7oRMgRM/B2cHqvGzLaFG6iZ CwhbnYrLDBr3juVYDXrN1rRxrL/nGHi5LMSupWA8n2HAqsQ2oBXlCzrAK8fH/CbJJlEjxZ3jBttn 1V5nfawa1wi6JRNCgrm1X+/gzJNoAqkRJeQH/hYPFHuPuLWv0Us+10QFd3nZVPZVU/0rD6N9KwiZ s3OwAPoExEEn2P8qjJEtuthCC+O9ziSOpgHOgeyAHB8XxeaG9KbC4NUHPKruW9qc8G6oZuoipLmS ZuZSHZ1+iCOjR/q3Y2AEuPDqploV8Wbph0J+MPwaoZrVsIyzkB6cue5PS9AtXBJ5f0n0t/EqaO1s OMLrW+1JCPnBmjVelTu5EMjJjhYlezklFdlj/tbOErnkoFTN+Mzd2jw6x1uzvx2XrFmabeom91RF ls2JMGIu74jcgDOZe9D51rCVX2YIzYV8aOMsc4nsyxX6St5B/lo2fG+dL2yhlrsIoX7Y20cQkVtf bXfsvkto5cSddpamlRyzPY60CjOS3LWNknC4/mhC7y20qinunSJXDYmC3IXhgMUyrmcduzEWk0+w ovBWCunCGwy/5s+lLTueKVuI4bp9N/dpjxqQPzYsTAs3cb7uQ281Ry6ZVvFHPHQzHP1lDkOqF8KI Wb03TjvfQaHq0A8CNVhpfglK8Iiy7D7jD0JcRtF6Z3EASzXLXVWDvsZ7URdEqYH5MgjcL0fiKnyN EOErGjnK7TrP6tlzCFs39jc7SVMPSTInRncumlD5zop8fl8F/eYnZGmq7PUJ5ZCPy0OoVUms3Ix+ uYpvEnzMV7Iz7dtnKTqBRyW9jd1tRQuOrjTnqAzN6AUKyU/De0WJ3+FyN2cU+CJCbJDmKcDDCqXs o7ioFIHg1hlpB2QOZMYiJQiByaj0rPtx8Ftn1HeM+JI8UHO1x6CVSWT+wsJkyHCmCQRafkIEDswC 0Ocxc1Ew6ExqclRXKslU+NNBwlDxmU4t0mUMKTsqNhPFleFGtbrwZRbueoUFCPxXBs5xsa73EUzV I5IZbsK5PybYeWYcY7h9OTbVWpQbuXg6vwDScatH/Kh6sL5h80uMHYGG/rncfg1MxGppGSTVMje1 ZMG7HIrW5REpfuDoIhu3LVDk38twOKeiSgOlWk2UpsYpuGqw9OXyeDZyrRYj7rDnTIQg5RJzxGHR WBw4VtA4arkW5wRUyAi/UcGXKaafAYi5O/JtsgPgunt8rrs5LWLh45Zz9AhMRxRBG4X/nV9/AjrE +LgK1SwysUjfOGIDEa0V1YjTxTsQb+mb4Mq/TzZjS1YKxw5HtCAP9ugbWWgdCfn1l4Bv8c3y8dcD eRrZOeNx2OFH5Ei4LksE/gVoJBVqn52HJX0uvKNkRQgdPCX9mvKoo7PFfTCJ+0NJSx84oTUTqeLI GbbtpIYC/oAJDlT46JVpYq7qBDxx+zFBeronC28GF+qkwjfsjznVBjSS/KgHz+hgRFFwkIKl7NSX HKZnd+zJRHXNnYJPO0GDOHEwskmTjLELROv2lFHri+FT0QMP/k8ClKjDo+3EPPUikBNXlwSDAKEO MJf9zFvaIEo91+L4ABD5qX/5j+D4h7vhV63+Zdlg+CVbSRbJg/Ec2Bd8F3UUyf7WEzlkcQ0UNyaS XjPbU5z3OdNBeYB3KqR/ieiW8jEZUbDH55O8GKx62VFQR+LnnrZTBbHlkzB02E2s2yQ7XGTUq72k 9amvNprwiVy8BghR4jFdkUtzMEgxJ+kMJ8UzSJzCkj9ACBQkChDSfIAJw8jt5ivulN2mmX0Qbjai hmoGzWPke7qIulDYX5PdgboBO+dBkDfbaVdzooU8fUQO9dBSIZ+aHbYzuPEs4UaaLMQRxHLVEDMp N0JpwWP/hsz4eVR/onhCfvjizWriaMK+ecVpyudmImRi1e0pEk8jsQKqqj+o6YuPssfoVpGDABEJ WJhXl+aBBKvMYczHS0OWmUIjn56bECBHChxU7ZenvmBxn1DID/IRQ+hyDSj2/J05E/4e618N3Gy3 8aWmQdILA0DqnAx0b8OkE3/RL41KdhbtS6ZW1t3hYuNx+HhcvUDtKY0YQFaOCWyF8zCpA79C1BCd zdx018FVql6AsI4/aiUTbBB0KdJ5fa+amllayCA3h8h8tnRxe4NcHW3tAh5ZZb/psW/LNoUG4Ezx QSBccpr/8wm+WTsLszoLNNwMmMuF+ut4dzIG1oEuxfCPiJVbld5VxAvNV4MrSEuhGxcbjBaxUNV4 U+Q57G0zPrRrXCsBFjqIYtht1l/sFGuKmmY7tIBX1OTblbIVELQSeJOjmMhc1uwOVWMWBTHiEq8E p3PiL4Bcbn4Xk2NBzH/rk1+kmxqaXlke42Pw6aKt+k2TFAtMWKfQlvJ/Uy8BCrBtDibHwL5o+D0b gYTep/pLBm4BQPWZAoB5dQA51rLXaSGzHJtlQJRYrDJKlgzdsWFgclepoYFDkLx4HoQ2PV4xvT90 6ZDCWcb8/3Kq0k0u4Ub1wOPmi7XARlZQiMHhjehv3tX6J0VUcM088dZrh+EQtOM7D5NQAd4OpNFw yLT/pSA+owsa7Ck9e/FwHgorjlSL/jaokECeWtSKpoeI1HVRSfwjZ3tFiCF5tJanf38lrln6iWTy ve+NXN3i47g5VAC4aD8vJRpQQeNeZJ5MlCZpFQTHcMpzH52RWFTj26/YuAWDLWjaC4DojaUkJ4p+ gliKl16xpuUEP1eR4SojUT948IV+h2KPQl48Q41Z4kMA3fcRFP7i8CwKpdYxfE9k1/NTVXu15UPt LETphCPTMt2G0m0w0eIxYdKUCWimh3q9rgTdig6+8fdPqHDpoG676JANXn5tdlwM8xDIcW7LfGzU LoU0F5+17/Xkm9Qbs9avSVIRW0bCiIsYXWW6JN+xX98/rpf5HCd12aSFle5KkohFu9c2V4xbqXjb yD3x/IQRatGvN9mFG45p6GMx3D0S1mCv6tc/Mp/1PRZXKdCB1ELgKYwdy9cz9eWjT+i6HyF0tsLN tZtTiKvyR5AU5VfCZQkqCSSH2ZY8q//QMYXIghIHzzdmoDkULleQzqvm0jBbCAnxRvAZrnrwVw8U cZs1sjnhb3UUymrp/sLCjf0dcwyzEND85xY1O/l6E5UhOEHUNLNwX1Ue5bMWgz4Q3iR+AOzdbnoV AP1eYniOvR7FEWVAJVbQTOhAalJmeSX77HPB6/1MiVVhI8fcnyV/Pf1t8uLooofaeBLbj+YWoyt3 hDHiO70ZozEXmLeoNPsLQl6jqC7CUJfJmgIt9PC1FvdLRgjwa/spI+iI5lDHgtJW72F8LSEQ8kj6 hh7IG0YIAPmkV4R9lJKofIyeYb2hL3S9vsj4y5DU/tkcDEoHdSxHUhd9+ZJTK7+nC+bEhliTyooz vlHW0SxoVTM5O/Lf4NHc6iBs5RsnaCxY46beX4s6tbFtRcfzBy96hLGQBVucGAt1r60RjsbsiUbk M2jqDsaJA2AA039m5cVNkFjPBc+Q6uHsokL+XuiiQJGB7QpaLb89BGHXnrpgXtWHScDRAdmMwrmc jQmUdY0WpML/sQlJBpVC9vhX5ZE4vOBYSWk8xLBvMzAQnPvvuRdnhwkzmDZp5Qp5H0lUYPRkRMpd moli91cVCauBznMncW4khc+77CvtX62pAWG6SpnjpHmGC2G7VLlnUII8voSa0POJ7igsiO7zv7Sz kexMQ+NsUKLD1O/ynKVxpLTS88RxAoITwtt4Ey/EnxyVITp8XiZ6rUe5V5vWOaDH8JtV6GwTi5hZ 9uVYKk8ecQOSTR24ascvZEb5qIGBOxVKy8qSqIYWDRW33mZwPXRy5NeDdRXO4alq3qTuNQhAZHZF i+GLCuLlogn65EORxn+Pq3spy8Pp20jXHxLtl+czcNODrKRVrbZNc6psdP1Yj1Bu/X3djK4K7ogM s5LSpSBeEys1r8lrrRQOmeJqWN+3ge2Z8gjo0hKX5uWaVsrENhp0m31/78iXAJorEUIUTFwpTMlv RtHL5yOmL/l4CUxAVqIBKbTQ/yOy9tfVTSRDtHUkq6Np5VkLa2pZO+6Y4R0HfM+Ladzpd8VtSHc8 l7S16oPQZaQgbO6eYTIMCpBgDR8GPbpJwvcOhgGfSD0bNju6/XFb1hwTOmp8d+VHd0OnCaGjUUgM QpstdZcG1uL4iLbP4Nida89vBlOXMXV8X4Q9UP+x9UPXBpG8uztVIVnCqmvNHpAAYEVfX36DHX3O 1PF3lVNbWugPvGJav/yGud8zEEf9Z0F1xgeYygZl0aCtPFmfrms6JapIkrg+O662KKle31zGYeDt 8AIFzv/ENG6T3wQIAIstb/i99/lkcxjbZR/HbB5CYFB7YSSSstGBEwycFzZbcvt6iwMIPIDs+O/8 EKJPZxk5vvJJtO+GPtTwl1Q22NEMzydutExEsncgraY/gNJ7kD4JqIsJWPUQ1YD+B0pbCgXrSAJU LL2OjYbhTMmHtpZ3IfukdhoGw14zu4uBFMPIrkreYMFgz5zkG0HyUh8b9QkDgIygihpfbRLMe1u7 8Ow+HIKA9W419eh73dVDI2Z2wFeBfpu+lA6GZcy+k7hWxK8ET6wQbozqkiYm5TASTmzW63Abr5qG i4sdyN5oT38+I4QxHE0wqehLHp8vOjkkeByGhFbEyLlIg9Addat/dc0KKqM6ZdKCDhoIZQCX+fSt Uhb3e7HmpPC8ufYmuq45Svk5iLiCrocE25gK+A5phEH0SG0lV7SodWZ+h70Q8cQI9BFyBgCQd/ub z0DRNafS4c1Xbhe5UArLEon7Hjgeav8fw4dOEywBx1Dk5FBpfvmjoueTk1vKJyX9xyF5oECRhA7V 3WOjfd6+ZbliHuovxROAEXSCi/FNjsN2ashA8idURojBIAw5NiOoslpfj5mBqFp2DwU8wUcqn6DU lOLYE4yXiBlWfGGtuL/was8N7mns6ila64bVFCyFqgLUuBjUYsBb7339xQ/ETdScoc1SNspjqe/o peyy0Td8CY66Iz/8POKpZw+HbOV1PROZi9j3wmVg1yZF4NeNKTbUn0JDBT6T4+Ii4LFx3qc/9xWj DYKiBPTwm8oaWA/xxZTkqs1qYKXTb+9f2g2uArKiHqsqEP5fDXmdwZy4MifBeq2JQAaoVQC1UG7F kdZHnZa3+cBqscuAl9nbZKlgPwolZCbzmK0H78pz2huABoo5DU1jI65M8T0GCII98Qh6S7euqZqM ejNlBvnbijsAFP50UMLDcEHh5QBnl2bUgF9vtqMVTI8/GB87iutoVy8BqpraHG5yHoldm2L8Q6g+ gtuywCm90v3PV9InaY9Ux8+QlRhWLo3ghp1Ajbgouw/URwjP0Qwi2LKB9tD17bIISILimxFpVo+u 0G0FiIIv3i0d2IbHvu++GQ14b8JE0ntC+35kH52av8EjoByF1iX6TsEzM339V+EvbddcowuWi3+2 EkNHG/lcdv/YTKRafjabvj4EmZLQGHC8ZR2R/7ysFr44/3pxL30ja+HpDqsBPG4XAgfnkvEc0xD9 6w0nUvS5NAFaBfrKlQxkgZCS4PMiqiV58yKOrxPOMKsLBdRxzzd2Vy6zLuu/F4lySXehKCfk3znO PUMpNpZlhQ68XEuYRfUQ83UPAmCaD0tPMspmS/0ZalPlbww1Q8AR4JZ1Tj8JBI5NIE+lYmh+w0P1 S4QZuod5fpJ53oRltjQ9kCLbZdGMYMSFpTpdyJOgRR36sPi+KRpxBHAS5nPg+j44jF2iP+gxPx/1 XiakOGA+4LAKZY7PH9fIpMt7CqctEPeMI4tJXNiJADL7b5wIX64VafplwJKFt997al81xO+F3Voz Vstyg2CKpPRXWhz6hLuN19qZEnVGorlD5UhJhVzRjLXiKUjgD8pzKmO4Zd/0X9XHriABuxclkKBF /RgpJeH1cqMgSTQeeyyPiTrqyDUNYZlfNimcb210v80ED2+/r4JG2eTqtcfG04RfDyj9XqZiHeKh bQPF+VW9h/NISYjHEg+qgmKOO8olxTBssC88ReujitbfSLOTVfOGySRbUv2kSZPl+DztAExmsHo2 nxBlBrcUFFWvDjxW78175CSqSnJvZmAceO4CDXlboaQJDApPKICdiuBPFSBT7qyOt2dchQ1KBBcn Z8R8QoQgQmUrtsUSS1qi6xRTuqomghkKaY4xbC65R0jBWz4KrMxlSirpqs5MLM0DNS1RZF8nwd50 K692WSajyDvaE/wkO8ArUI8wIY1CnplVxyWR+FFziIHqQhwVor+FAr1xfSuBeB1jLUq9TK9c2dP/ 3vsT/TGCk91wyFaV3dI0TZcKNErXG78stmaE9/fNhe3DixiMpSzvkUDJTtlGrX5z4BXhHBDhn9xC Uy0gFDdlane9V7vwZiyZ8lmJo8xznVVhvPRzgKMabTMjdjv1CT3KghXov4F4CBJkOjD6iGBKZ09Z fO3ddidiDQm3AIPxmGR0MzBxdkp5HbfgrKyx8ptOG1/xUv0527lv9p+vYYwPu/EgBDF+IL4aRRDr FSnIXNuAqQHfbu1OFP6W5xOSP1OHKldEwX3k+I3D1FkszzxxQHm9TYxaUahmBw9/lPR6tkMh8gjC CL+Kold74vWKDJ5S1Unb7D4ah/zF+tvO+jaaUqutLFjkxnd6Na3GMTgdD3RDaC7l7/BqqrS++xiA JDZda8tpgzGFfqS3U9kVirN5xbKsxiGS1z3NBYRiSSdZ9bmfyBRY0G1ACuz87hSS/MCoMvcRno31 ekiw+6is4206Fuvg6akux25Fgc2+ZklUCzudh+djOZfx37TlLNY7z6JdRE4McVfG9yOTYhlg6yX6 fzUm/ggFQ5Oy7U0fhoiGqfVOkwLKpuqi9z6X1n6CavGINkz3OGpjs5hfN625i/2zd7+CU8rgbDuP NksvWxOxVHKRQ7lFR/XqTqnQc3vaIdC0nHDvK/sAb9WD9yIMRqUIJITBiNgzWMggx+vZ6NHvCquK 0txnPL+YesOvDADATgBk5BIwWuBIAiKOd815VjL3+GN6+tWSAjqS+Q8phx3LfNO2pac97Dpv+J3e oluZQ9nTit7RL1knw57LeYSqkY3zKLbSuvQXpf44okiFUlkMp5MUH+PEqpHb6D9yqVnGTl2qPEt5 rIGmmnkmzsVgD+vOJ3CPYIbD0Xvv6O/HFcE4PF7f4jyhI9rpTBB84AgBQ+ymM/a6tOcM4//FRwqw gdC36Fz4qSB/Fmz+7dApqNtx0rpnG3pSrQM3VMDcvzter1ODdxhomm5CTyClgki56LHDI1Ij4yKu inNNnp0ysSbo8DXiqWi6wcin/2HW0K0bojCm0UH8ioQpRzce8MRKi4yc+IsR9poEYEseMYEUZbFK V9ZQcftkCvXLWrG80DkmbyU3/u/iWVJuSbjgJS4AAMQyj8WZ/K3uScTNrVObBGFkGLxl++Su2pfd 6wIvFa28MnVXB3/2gjmSv5jw4hRwq2M7xOvWAkNqvl5NuGXaCN0ibLiIS2WbR56x0AKTUENBfTP4 blF83jl7Si1mrLy9oLxIpMr3gea/00usA6qQIeSM9pcl3WjcTIqztCP9L5eXXf8bn3/sX4kf4ofF ecB/Pq0SbppI7HJgCyCsEQI5nD+5R0AeAeYZ86ZD6OIL7D6ayiUMHzgdMRhb5vsrc2AEvA2yZLz4 OTDmdiE5AGmfEMyPkIMAYgCDl0YjYwOdI0OkWavn/A1vnApnl5hECkdDdmy1a1qlmUaU+0t/9Y9Y YcjaJaWVHx/MPDeP5RD9m/A2ups2gHYCA17WAN+PexEEgN8ODlGKaE8k/nYrPIb0ebPxBh3BY6a5 Z0LPmLZ608Jtw7Gr8KTnxTXPKAjT2AiFTRoeh6/q/dQOT7r/tuNNxlLt9322jmvV9xM3y1M6I2q+ N6Y2wVj1rAZGBneRp7YJ60HXrW5FK4KM3MKd2gwkLF2GST5XgJ8aOzYmxF5OaEyoRB90beWxRQ3R GgHCXNhlQMKDlS/CO5XdgjAXKoiFlA6iUaiJrNMAlqxDNo4FVKZaCFOTVW4xCDTABFCbVJcZHliz J6MZpn1q2olHg5dTkXMmaUY3TWs2fZ9mLqng8yIpH+jgfe+GgZkhjV8sv6rIohdgFONHjR6OTQMb R9jNg96t6+ihBxN13IzKyPJAamjgyRG3L700aHj7wiXB5rl58llJmWj4P/fNDTQCC1/Az59gqa/5 xvsp9NHehgWVtzv7VpcFC7T88krmYtK05ZjXa0+KU6tqdXc3zlUjXcma2eljWcssk6PUZ3kcp7YM dVwU3p2K6MQcOhOCwAJ5AH9ux0wXOhQXsqI4Ch+aUYxY8Rbd673DfUjl+XalDs3OyVpZMyDgcPPX 6IKumk4KqYmW8MB+xBFYeC71RQW1kGtBG/UMiccKpCvO/vAujuADXU039CB3YschxN9iV9yVxAtV g95MYuCxxvWfA6WPTd+VxLm26qK3RZJy8a3ApHTjl4mRyU3gNbX1v0d0s5OdNMP1sJrglHn+qCLV L3lFiR+U69cCjrf1tHl3vuALH7HtWZz/MqKvRKHkHo/Ze+0DamNT1Egfb9XWWRSTjnUgNraSw4WO HKqRI7cQs3p5Y71ETml+Hqh27oPOWbEfJamPCVIfyL8m89U8pyuGWy0SQp6Q7g246JL3oNmVL8XE bEB7pFxj0l1ONeCRJyPGoxjYmqHu4rZDV7QFMbzUiYjUjdzf5u+9SvMmpYXRMms/IpaeXCW8xpxK GrvLa8h3c/Xv7E3773LaFs9ozOo/R4YVfttpGiuoVmSl7lMr3V9KBxYdP00HoL+ejzHgqEUAdhz/ bfDE3+jy6ePPVnL8oi/yJBorODI8V/p2FTx7sX4n7UFbFJqY9b1pJ9dfplGtrqBXVH/TN1PDZMqN aDctPF4vcu/4UG3SD09l9vZhrktPRt/ar4zn9DfKpE9BMtOT92UE53HdVjBpqjLJHNNbMZVBWpt7 TXpryNt5KErmWk85HFFe8ETyTyhh6b6d7O5pDnKZwbl3nJQgihvsF7XBMc72hPcZ9DFMRo/EvBrM dK7a9Bp9vOnJsoKYouHvx03VlB/LhkHeEfC3LJT9TYaVBRmXGS9eP+rLLCAZFvDOg/7pXuUroqMt py2WJRSLtVRD0Tclir6VOtugXjc6dH1ZhtH+CbCkTNmQPEm3NcesLJS8nT3RKPb3B3iODAk+sJ7x P08Yt1PzR8kmccZRjQLbdcQ9SS98Ajh3y4knQDRKEnIYxdvPR7saoEaRdPxLJ1arqGzroxGD8ntr P1/SjYDfq2jGE6XkywRPEP0JiUGikYCJF51U5JgLBCIwpZQGKJuwxSOgbJhjdcQbxD2EoDjN12WA qzg6g1Awo+mbR2rpUSqirjDrqwo53uIXCP8Ih9nSAD1FNTm+AZVFPiYtJKFstf7llNeDERf5HPXy 94C1fb42fZ2rAuips0dw+1wDNIkzWmKgYZ1XcXOJjRvVoG8rkqNNFbL5NxiRI8VGcERnDQAYszCO HyL2bC5WsqhEAh/Vek5ZxrBfbv2q9NHXa7uvgLhIXM2j50sdTFeo0SyYix/sTwGh+Rk9ZFlQfeip g214ykUH0W9QWaV+/HcL5s8SLQWZDfrgDAXNm5T+YfDTArCgTri/Dl1N+O+0dZLWQxS9Af/izO1+ 1xn6q6bQhRt7aE2YiR2R2LXvGO5wLCm6qwC7/+8g3GZ+/Mh+9xW/6jA8BdEwrqco7Hvez8Ekr3ps nYYhVr5nbbH8TSf2l57HVNzJ3SKjI1jnLTA+F7A7zMvVaYEKAg3mvmKez1q3KmfV28WhKmg2OkxP GgWFKyDTaz0t77rnLQsvtUqBi3WSBZpkoDvAb9KI4GCjgJ2dPAtPBX8EZdL7kLb93NfEXme/V7hE GNZwwVZjAoTdiWIBqb0KIxzj4s8MuwAm7qaLs/VZ4R50VW4uGT1//p8lCOmoIn2VbIbfXQnQZ2r3 A87AW35RlmfWZIoge6YpJi2/BSsL0mRHJpZ4L6623tb0chBLIOVjY5wNcQhCIHjfClWtJIohH/iy R+t4IGc+psGz4UtBkOskJQYpqTgM3Ptntiw5IkHTki5Kdt1stkot/slHx/Fz3rVQCZZVSUdbVzhK 7XZ8XepeGSQaGncP3RuP4E5sVcytO7NAsC++/hH9xnP53yUt3IO019v9aQcnzSuMC9RAxKkeY1fp 4XyMYxUgn0hxh0O+aH3VOJrb/ArDN1+WWUMKHasC9wwYoa7BHnLf7jg9WERI2De6CuweGsLBT1xi E3fw4TyTpRzP73ZmdsdGfnt1vS4bgOY9UMbxxz3qSCJN5qleJWw5bNJokrFN6qY2rhX5b9Qvv7HK MmQFbZ96QeUEVaw+RTt5ppn0R/UTrNP06PGbfKjvd+tpLo9IshMZu3qFjilFiuS0vlctNztEBmqI PEUMzo+FjL8zOvOYlrbl6Rlo8VAUxRzu5AmAeSW/GYVQVT/Kq1zRbB/z61asuI+o20tpKnLp76y9 97QgsOPZmpLtFhCrTaIbXe5aCh1KamZVeZDH/V3Tr0tpZI4/k+rs+zRsb3J9g1lVCI0V9azdFW3w 7jxkAMggSY/I8ipFLo3w/iwZn8ezNimQqHh8nt5CBmp0x8GSu7AZw/+KLwFNPK3ZoFi28dI6dT+m 8s9BSm3fe6zw500ltOyuua3EkmLF/Yvt0IZcGeOZEI6sdaSnUCwojwsuY4SKXLaf5/PsMn05ES1s eSubv3l37Q6lqijXhP6NOo2hH4NiLdWnmfV+h35VgWdiUFsl5sCmvXUjm01VNK/PemnG3HtIbGvY loHE/+bjC01N8D/mVsm0adaDqwJbIFXGjhFqqlzgdHiQGDr6jOp5v7ElHpRH9VGTZmNzObYFCtCC wtu/VYkmkyRjy33S4UMVWMxDIQIAZGbQmSlqDYydZ7jBrL+eu3kP9f8ThWhxnHPHcdh1Y4KhGd+/ XlYB+SibnTrzX0o2dz9tkWuqfkxg7eps626Y7fRkPvA30i5YZjq20OHXxiOaFD8TOchysqVvE5yM JlMy5r+ewF70DGkWieaABZrfObHfw8J6ftVozi2DuswKVRoYSADQAYyW1E/z3WDZothQkOhrm7v+ Zqd27e+PrRiB6BQPCL0PYnakBaLXWK8dSvCj22soA8ceBmDKmlHQ1uX891b3J8PT/bVzov7Bb0Z0 k3CUenGkjHGEZ49pBNKGch8QYMhNBcWsURw7mCCpG0vih1Ae1Y4/ZU2sbjHkYKjQGdND4zELTUxi grvCBKgUBvQgBZdVjr3m/2JuTt0zeslpxZ9XMlJpOBOB3XjSpbRMOYl4M6ueN8U0OewIN/qwFBD1 o8pwZq2Xip2N5gExI5TVZO9g29l4UO0B92cDIZZLFXgQB928KW2Jcqs93qJLzqgFhuAfqfbbixxy ZWQmBewh+EUxDFJlJj26yMf0Av5y7d8zps58XkMZ3nWQmZEm8LBlBEIc8k3RhSORaYVvqTui/Qkq Z7shb/zO4HEc2+VN5hF3dbcfd9NuO+cBmQEGSTy4BbOkUn72YWp8iiCUfX+hkPV2/YebZ6+Lg9vz b3865Jlj2/0sKwI+/rZxGRY2v9oCsBnJ5v3dU8MLJkJbIXWTmqrRm826D8h4f+1GI+S7G4cTJbs2 hvFae3MwsxWI91bn65wvxcR+eq6ISR88j/wgmde+sFi5is+hCTe7droPQMOpHLRmsnwDr9K3maLA KqfMoYM910JXp3OgKTnCUXUKcXB8yGwjkpS38HM4a9PcOmn+QSO2ic2Z+/VwWyMK/DoF+QDn+0Dn dOUuGaEpK3CKjkiacCdKnIRYW0YcGg+hzeV4fbMLtPhsacDnLNffLjPd1y+UN9TjNGpxjrbcabUd ksU1XdMnNqr+at7ADh6SF5VnZUgXhdW7U+nXikDOiF7PCeFRscG1VaBY+NQzNat2UMia4nBvyqLq kAnGr1nuj+Z2DaLfG5fp7aMgVCu24RiiZjByFCwLYVGfJKRhecqgsaWhAOxlGwl/4J7sOyjttXrK oHgU5VegeYlOVuL9ijuErEC5uCcQiVI5pKdnB07hDpPklxyPJ3swoEnmnk5aN/maMi4XE3kZqrvB 5yYsIgVWwd1D6RjhAPOokTZglwxl4jxtKcE+xADWE+yu6s2jCafPKlWcKt4UqGvqGu3Msy64SSm4 EERANsO9d+QFSAU34jUMIMzJz41U/ZCu/FcWJuWKpMIKffc6a5/kROkc2uTI6sO7Y9lDsil3nvJT Y+6Sfa8Gjs93ijznhtNGT9hXN//kdEPgrsCaOG4uTFEaX0ppK56m7kGHtgJ/STDzoml8kWJZHctH 7w8OZpQTbJJieQb+6HY6KB+jWsxttywObzh5n8T/XJaUovI3SWG9L99lNNYbXCrbhI2kYrw26U29 XjLgg2/+p5OWunYsAhjHvw5mBawfLXxEf3xLaiG6NqYAgeepTNUZe+N3QI1g1BVsojp/E+vvk/eO d79trulTnzS3sFHxKqJB4gBVX4MU2MsxyziAEE9q/zo0EQ2h/KoZDnf6c6S3iedPJAbc0MUcOQDk Fe+Lw/0ICMMoAVaeltzsvLlPF7AD3ygu9vCEz2nw1kNgsFFP7KowxDQUAcW0SoQ10g9tVi8WUQnc zRQXl2kmkWdHi7mj6X/mlvaZOCBUIZhR2zYLDM+wnfTq7XjrjlmPTAdVphNRbCUTTyICCggUrOmm Lk8yZhCS8PYhrbORV8Gn6gH+i8/H4htFayUemtXvNBeKgLTgKR5f5cefpVHYCovVhiH3bkAB8Xbd 6rxyznlgkdzAB2eyt0s/BSK78CUOYU7tSmXyuXzAAOV2wWbb5Oh9flr80Du0lrLpRrrWsUeIMmzi 0nuJq4iXRFhKLhpiGY3/+LLx/J8+ag+bL1aqNumTqwRLbqq7qhhIR6CpayqT2fzV+6IQH7cdLtsY vYBRXPXRXWuyN3+b0CEvjiHUEwLVrniPhMsUL9TeWZax7KRvH8GRAs3FjdpesM2Sp8rUelGHdQoD ks6qtKRWjVxgkC0ns2BrC/UORoiDlBOjq1Rr6li6fesYEWxnHzOhgGZd7nHOmHtrpe8kaT6Xw23d subvHo/nVtf/C5AHgg1d9frDZxKDNSXiLA6lEVw6XNF5xMuU7t6vgbOETEu2uL4iJQw7zyVFe24Q LPJ54h0CGuktIUYYhhY9T3fAOuT0Oh6Qzy7akSqZBRpLW0gOSucJ7X+a86pUPwCAcyRmkYX0D9gR scISyorFmXA0cXhBpFbCHuWYuiXiK8vcQgS36rqBEI3stP4hXOW10+eKYk9FgZUeLE50QADDClml HpzIyEkHo+9HEPv5lQOKb5dmKwHVhu4kEfD1NyZnVJ3NVCzlpDuESiYWD6oO//NyozvnzR6115Ho kaoe/99CZsECql4s7qosNprXupaHi9eJ4fhwlHCC8KmOvKJBwOoZrTTT6Rcny0qVIof6vwOdBC77 z9x/5nViCRah3wdItS8El883dniL3gAuOBNUViZQ3gbRQ0gE9LSL7t7VX1IXu3tWst6t2HgV3kyo 7Dntgb5Mu+MAFrYxb+ogTvCf9mlcm/N9UiK1sRzYPiK+tqXUSDEjH3ijbzg0+G2cEVSk8lacZheF lfPv10MT9Seo6SCvU98Z5YZBTBMo3kM71RnnP+/qZKuDLVBgU3U4EWKOUs9PbsWZOF/mrPNXF6zT G2u762FeO2z6B2giJtPSx57Br1OlkD3UR4gNrKBIWtEId9XhcZC3llYTfgkXzf9n/xY3Yq9/3G0t xhOuBYPV5nv3CP8o4kWcruD/y7vj1LU2XZlc3U/IXRRodpVGKR66vuXFHaKgTELtKvFVkq1zQcN0 J/ffp1NpQAdzkg2rjZ/QBWs8vdJZGUjwZudvHBwgA2Kre0mXdXs2w9qaIHDP89rbwSGzs6mRZ6Wj aOVJa8zZY/ZyFo91fWKTnt/X9QfYWQvhVGiwGC+4rd3kyyzRZJZLVRbUjAJ+PxA0SFa3NKleUR+v /xQp7UFda7+Z63rTZMUumPophISDP0cSJlkoZ7D5SUMLUjZNyERuBnbo/2cgzqatV22RppoeM01h 6GAx3AQOfw6nMkQ2o+jva3HYkYnN+DPrGc7Z1XnZQ3rlXOPX1hTag/bgH3gsI/VwuPHYKxkWdbBI AG0MDvf1y1Rb36fkUrsHjBQUneA0oF0i5lwfIYshW4QmIHg8kLC9ePUVVUEvvdendOy8fpYQEynk xb4KYADpM55cVRMLQ8ci9bVFybCpuG7H8sDwSx671CZsIr9P1bpYqAzyfJx1OGikxpC+0u0IxeoG HrueYISTdkOBJLOyeMixHqKUEZeZ481hrAd//0FYSt9YdaNaAZSV0gtxeCK+WLiV4jkAO8Lw9qIn MGTBwmDY5GoWoy1yu9zr6Zy8UGuvvr7i5gFx3ZE9G3fzUp0gHA32JQAf0/fVVvA0UyOzsWtzA7V5 UhFIp2EFgl3yYBW2hbXqG4S/rMfySBX3NQyTjmFonnc2UbNuoWC6wuxLHea3mzhnsgwVTAFqJhEU LaZpug27bMGjZyabFZK9KiQM8v4G77n8r3LdOnh80Qbctusqqm0CawzA1wRs4kuyPnZKnr0EN7Zl abaxADyioaRjvIRb+SetiUeeyWD0rJysE5duWJBZnnejJM63k6q11qDolHF1uCvakql70dD2XBSg hCNKTCFjycYB3lglsnbX0JFx2/MdMmA3y0QD4qkUDPVtxzgYVI3JB1fe7fj4BfaeehutKp8S0kp6 MAGflXzqTEcQZ15AnRBIg2NSX0h/0dPwb5FqPBaDxg8yZZVnsSaJIbXM7AzJ28K31oMXqw4booyV 6iVMFGajWEhKQBsSh96qjthxR0hgMXMi3z+k0j51fDEEQZ0RQn28C8h1KbWrNGrk82WsOU03shwH JRM4vI2C7GKZayHFxjJ5uABirIiZfaiTQqDQTtNXWIhK0ERETa6qkRC5zO3UxfyZYGMRQTGgRw0Z OiXrLw5jAtWQ7u6WqINtG5TEcE0+L20A1tesPMq58/Z/cEP3VKT2KoI2HKqm08BdPnziIJ3XHQSL ok9E7/5+h7XWeCoA+ZnO1i0LTHL129MFX61/siSGooHqH+xnIoqL7vBPqWWJ9bZxMbrsf6UY1nmT sBwEuoSrZTl7SQKeyb6OXlAsW0Z7KFtJuLZVANnm7VlmRwiveCtgPgIn1QEa8k3h0yiNArrgzaqq hCysyetWkfHAQLgP2d+3edamEm63BD65+zEg9YTiiLhrcHQ7vwxO2hXtaUo89m0EFGSfN43W6YzA Ov5LZhSi7/VQ6rAKmYhKFZU85pX4hGgAUQkwXi4IdKGUH8M0rdxSVl+oSPkMEiKtxQMbyr/QJn4c 9s7JvtffOTnvbkw0FVEABsmp7Mri3A1C1/BvsH0/YKyCXttQVE4imIVEH6ZJl11P/oOFgxTaKOd+ MPjZyYiJMQdJtLJIkGNNi0jgeyb97UlhYaE2qtNmSRCOaQL62ddn5boG3rozz8lfip8f3eLrRTao w5MdxnHxY19iVrjQTEUbhs1VwuWIYatQkQovt9TP4ZTGb/x0sBxotfCc8k37gQ9fOPL4+rUgqTEy yTCrDS7WnQ3Kpzk0FMRztYfDIS8GfRW1CnouVf9L2XqCCYGLjQ73T6dH0a35VAV/qoYLuABV5zoA vsclxdEpvXPXVNFGvrzt0OsgNwzbzzUZiLSpRI6qtWdzEUWNx2BT1ifGJEEvGlKbc6a4dhdUU/fB NZY/zUPyQ4FgeTI9zDUjhnKcVh4aUrRqewW12fLBSN4iT+bzQp8XEzRgwzPFLu7ej9AL7T4ioQ30 mPLQOxtGBA8GOmm3cHQwffzMz+uxC9UIKBkxJcgJc3vQlX+OTnfYDOFmtNGLxZUlFE2uBDUZkiIc ab0mBmvII/uSDYyvEmsBCZsYb8H8k7GX8bHRhZFZ0JxAmmu33sVYRfOAtE1+VInQKFPN9AiGQntN W7VviHSk7NoWlwiGkGsWOnQmjoNXSNhzGfp0OuwXZkJGCyg17h/5asYcp1T/LSWU3UPqHwMSJEvg rjs2igZ3Kvpm3aPA97l5bUaxdG8vNKLT7Xiawjbz8m+kRofQaGXfJdvyrpXV3gkzRo73ot+XMO1X uC1HOQ3RneqDioMwKmaClW5jN7Az+qYYlpLmNRPG9riWdxL5epu+cfXHuZ4r9P6AGq/OynqvKXyO wVgYZf4N++fWxZDnDRknycV0va0pM7N+OB+C3fpH0Fb4AquV4j9xb0Zj3EzAwKXk2q5SuyHZnIgo Ze4scTLzKzg9S2k9JvMvR6RVT1MfodZZyQpwva7SNrVyQPdgcePon3jjONbdirWspzwq0TfPQDx4 KY5z9+Xpp4oR9KyvaLLU4UTLO1nc0fbl0grfVCmxnlQWrX90khW3/N0t5OJW3Bku+9wv24Pci/Cy 79nFl4h+6WLCFAx0FkxMVfzJzyeb2djUTwG8MxrDnPMPGkYHOaOTNB2/o3CLOOhjroQ5hEhyaVIX on/zzeUHf48rQ1hGVgBoKcoz0dgYkj7+gBzMYCgNWmzQKaX/5z7KTwePtbGJOfBFgiHbfSY7ZD8M /ks9BYakiB8XW2UnpvDZhAeVBCRmSMwsUesFLkiuHqHfqIOOO65EeItNkc29wVcEFhsKRA+EYWOz CBiYspO7zFOBpZo9rrs6ZyaPwE9t9qE66ilAijBPoYb0FeccYWe/XmgpKZhNavCXFUQvpvM4+TKm 6ABr7priCGQ34QHcvGDlOSKwz/shu/kCKG155Mbafb5zNm0ak9OEQ0USOJxx1Al8McxVpkT4tyo6 drHlLV5YBn87QyVcD3YruCdSXf8TOG2wGUzhlK1b57Mgnud85DfJN0Ou/lpBnILw9f3LAQyopKMo +ftjQo7YNWNPq9zf61GD+/f9WhR+9bdKf5TM7a5KFFMRN2vo3lfSNkNIkgJW6HHBEiySC9jS1iD1 lnwH0ghkKNcqHt2eTeJKxdchmFA1pwdvD7rRQqc21WzM97KiKQjKgzqEFcrUqmkWeU0knWkFL6Gt wz0CopmLOeaRP+iT+rdmtJtCkHDOmE6Iky1RpUZkOGHMf8yoNXxLIljJRwMK3FCyRWLi+DyzIVWD +QHfwNlTBAttZth2QNyfjo2+FOGeD84jyu/0fj+xlSMt0nMCJmhQvVg+WBSGcZ2RaPCaRSwAQLUa IdtkDnV5IppqPnRWM7Z9ok78/gQCwoFyWNvfzakm/K5MjO2bTaSNpmEbkEpgtmGjnB4BXhtbmPOg FSxbjMdIeNAW7WTEIPC8RHRmpwvb1JpvEPHmQFJZ6wbTZNjcelYSGGbNsDnPjJBtME2uL5p81Jt7 HclZoEwG6LUnBfd7fAgt4T/h+DLGgjGLieEh7T7WmlEMMh01g8trqCe6I1GUnXRCcTcliLt1C6ch 5VSgylvoCrEjmPAN+eFqkN8RpyjrAgwVodQgN4xNj+1hZdtQ+WKWeybAdUHtms4e4ficONOqc916 kl1H4XCewVLxFvHr4Re36kra0nZKbnVYc0BWQbF6iBi0nHHIh+wA54iuGoveF3Z57/DfWWF52I57 BHAcHbdZQ6najJw13gvkYt0/mcZ/qN8Jp/WCD/82RrfYepiihihMi7ghI7lY9y+Q/o4TRWhL65JS Nmz6NJvEbFa9MXbDnfZpYfheOUHfbfTTePZH4bcgnQyWE4hQluYrrB5N7Yr5ywqCxCJK7oQs6NzK GBmnGVWcdpfRjSfnU3WAR3UTr6pLcniP8NRo+k8pFpJsrIhd+kJEXJgU6BsuNyvMxbIrkSQndR2g T7/cAf5WY8iiYIOWac66AwU3aoZkvdPkxxQfo0A2zUPvdA2e4Y/OROkSjyqnO9SPJ3OB+unY41Vb c9gxMbxdn36arepE00xOVGvzZWuPP1iS1ZhnSLcg/6cKZ11c+cDhhZXakfpMkldeaQqm4yfYTYMO 6Gpqae3vn0DZEwfd/Mm0rAI5ge6V6q9LQEWrOQDZ6pVclL+TYlZpSD7bivCI4Tt1RU1JgW0mzXGS xVcKP82fBijIgSixc2oOoToi+WWjz+70y6GQGAkZPkJv6VqXXL6H4ux6BSX98Ch8k/nFNe0laXCc RAwgxGKP75QLcxkekhGYEGiKZhDu5WdsuqJKhnz9CIezH96mV07ZuQctwIrJiYCQKQWoyzXAkKqS 9aHMFd6oWRTzcnqCPGDALJ1jwNV/wmnUeyStPBLAdDQkiXc4vKBy9l2D0/COGDFSdJXDm7QKp3Zj ij7QYZV5cJNBW708R9N0EsW+ogTCuoxjwqglNK/T3r3Ua5mpT7iaOfToteM5KhrCSc37dKH3XwD1 caCd8M33pFCQkxfkPVSylF1tAIIMcqQ59XYtYXFNgNBQeHtKmOINlgKIa7lFok1JM0hdVrTgNhmo MoH5jso3cjlLXaNlUY6ZQHO9jARtzVr5kTSS5vKIXhWJAgln+lnCHV13p8V2ZuZkM98Flbmtm6NA EqAYYFtOA/dnFPjiXeSZJecsWwjqnwicmC+NPTDNOMxdjEdmCw5J+03JhjMzULSkNCNpFO560pS5 ox4gwfzLSSMKvWB0MiaNGHRUcinJ41prTJMEdaAKYj8tPkCQkw3Xj1nbGkPg6fr3dOXAnRHnzG2u WGYu5bIlk9zwB3KmVZrPZB/LbAEB5fNxFiq7HhoTwbT65OjR4/7/+IuhSTtYLNRYWnrQhyC/1UY2 nJaej3Rm8qSZHBPK79LEOuzO6643SYwr/5nydRig5Ca9JWPfVaNGF9MsK2tzRu5bpXSQO2xbyxju gRZl6SezJYPpBxcjDRYFIBsnX2kqiTHV58GLttM7bWxRxhqJv1gtRty2+uj87LVaNGvH8owVrmE0 6lbhd2x8v5yVpreerrBWJVm4UHuF6ZVVd0fbcEb+xE6qA7yjNyt7uePkvhvUBh3+GQ+dKRo1SuDJ DUvZFOj3yEC3207Fx3nX+AKH7L4HKKwEtz6hiv3Th2/GtpqYk9bNePaguo1qNyEW3++s/w42Xf5J TFygKb0AXExDwIzq+x69vT7DAdnJdCikSzyJDxL1HO71EJ12Kx9AZHF2unVkdIcbZZtsFz9GUHEK inN1BRzEBNLSeCcYxBMWjDLQo4elTAuFd0qXmkCLFHuFMXCm/zrt+1m30MWwtFBYjzdrdVclNAiY pbjjLaYUNj3xumcRDgGz6s8waIRCkr/EPRRMFEuDXtg+63EKHrzlnV4xvNfAZJH3OJKfPJk9SGCK 2p0aALkc2aTsKHEh45nnT5oj9HYRP2Rmb041U64ugCeWh36paMfjGbc801Xao/+8SqZ4wamDv5q7 ItmbHmQI74dDwnc4jqnbBrmpA1rk2dBqeqVYBsu5Bh0mc2ccM8JLj+VDG5k7g5AxNS/zduSeYt0L +UoovXmQp/Gq1LX/850yHdoH2F7E6wHPoHfG/KlE8C4JFBSR/57z9CvscFhdTt5uk7iBi7Repd4y Vj9bDVOpgpN7kYbUupCpiEDHGqAykrBB2tO1stGAvdvhVmacvMdLX4hDlMj6mL6JPdSQi1HSIu+8 3IDZyvncD9SxfeGaloIE4XTbltHz2d7BCpP4H8JcujIby/nGcs2C/6ipFRzITikvHo3bvr3dZXlc GlR6VxSotMoYxdAh0/LkLXsHaBQddrzMOzTvvYpKJ8TW7ki9vhYYmW7ff1TFgFSkIRhrZfmYrEc3 AI7zG1nNRmDjMl/sycnvzlNNKNBDf9VrzwDABO/65MZTtBtsaGnYfCdX3g5FYMwg4Yq2oJOdNFhT OOJhX0GLVcTdDS8aCVOWpbLl4mHNSyVDV31O3QMvibXpW+k30SkIUHLwnWN6OqZYCmqFl1F+25FF P+ZAZ+rdRZoH2X+a2czLdztxuZS9KJ5I9EiEnypbxDwabXaO52vJgR0Vm4K5hSw5vYAwZ7lhbPMF G/LZMactkLPJv8D1zQTboUjVnRVm+UyRBHsxRoRXudL0Mw5+TYzmVAoy9frRgDRKe/7LWGoAvC7k IwOrmcwNgqG/a46sgcc47o6sFTP1D29/8U4Z6UQ71bcXVqrLwpzLIl5rMPybLznQN0WZzJf0vafi hJ+/ta5RGuTZvSnMPHkgZukBKO3KshIcEpuIKxkPd/7F49wQmwvfTsclgmgJbam1pq2seLpcSfjm kpVpaGh0ovS19ke6nQdSO0ulm9s1LT/d/K1dESkXmnfFRkd6D+qGD35G5tu0/zusgFaFz/K0nYZS 44/79+ZVrcA8Fli1fB8eesKhF33L4w+UZ8UM3AOX5wNfany/G/v7+l3jEPMb9uKBwvU+qPptTHCe 7jQUdVr7KYJ4zIqCVOHEcVqGEZ8s2NRuKeiIHck5jlixWpp6nyAN6nuTU+t4tVnp6oMV2BK/BuDw 50jSFfAD4KS63LoNdndr28fAa16Ao1+4yN2IAcMHHvpHVHI7A+RwU+sPz/yQFjWTY6yZP2e1onLy JOnXybm+oK3MkNC/6vXJPaWBQYY4LQn2wyERKn6t/uQP6O0W2K9yfBsZJU2zJlfPJ93skf5tdmVQ rIAMj3uFcaXgrTTU0Q6Dc4NCqR5xiq9uhpYMDDkkW9iWD+f/nq9hl86pTkrq72S0gSIorl95FbKC C2TJNuyoe8V8zzdxwlwUwQJcEFv9q74HqdB4hzGg4pZR3XCpiNpTz/g2ToaLpw8ePLehGYC5zyAf BLPM6LBQZJNixg6qI210jHQgC+aRmqlI1RljuuUKWTduC7S+nKPQeXStbLbb5Xxwj0yvpTYkQif6 rXL01jOA7WstEg2OvcN4ItgNiWZh1oEhZy8LPOvd9XZQ4b3+haSTD4wrAJ0t0xSETpVPXGcmqYa2 0qdsK+OOPBYOXaRcrVMD8vhexsEhScDij9hv660LJ92YtKuDH0XYtbfVjT7M8RRBoiR5HFcABRxY 0SGfy8Ib6NSLXjYNpKRTk+SjDo1h3XLuem29cVuIMcatRmKyvvZCSyjNH522pO8M25uNqO58Yu6r L6fdntahbGGRIX03ET1HGGqC4V4ueS2+0dV/q6M1FfQE0MANNc9HsEUYIf601qxARJwXTnKVQGuU Y8UCLQ4CzYSK4ON+ZvrZDH3Ha8hqaygnM29W9eUtzi+82nb810mQcSxYS65DDE+hMQATbBjCBjB9 OjeZ1N1jYNfI6pT9rHXEaLyrVYEnFUkm9Afms34lSFQOEchvZNmnNAGef8LwWv09wkjyTubeK3ty dozvucmsHsiu5mm0UbteMCErBvCfdltoQdh3Wxrn0qMqtkkR28XRQyXbYP9zYIx4HWKD5wxGOcRe N/sKTporyZ0CVrA81m64o3oGzPBYxqpjvAj7Yl3XjDyETaeNb48D7RAqGQ1g1lROXQ2bGXNpIYyE 1w6QgDN3ctcsUiJmT9XRAi4CTGtGi0mGpapEk0830+On3imSVU5ljvpTo9f0FG+5JIPfFIpXnHca UwhZMuTOc6JWn/GB4vJ7MNldaifBQCZy3NKRYnBykWZtJxfAbW1X3+0VHCAJJi4EZMcfAZOpg7EI tp7PSL0CNFueqRnOCOyXRbQMjhZZoHlJWeP4ht5MCjNzz4rk+epcrGN3cdZaxZ1pRMMKa5C73BrG fiJCEB+C7deVr2wfGZxNFS8mUkDGvVxF/+0oR11oD/wgXW7dj44WjtsDQwocF/nKjFDhe9/CHAKF AhwEsr1gb/7XNKgk2T3tk5cAtklb/vpNLUnX4EsCcRk3y1fUqUYW3OvOgWqCfcxPI/OifEgVLNRc +V9nBFnFfLiBPc3hwmLRjB8BXFHVgQXX23Ybxw21yJkzMKqJB45UjMIieGVBPcz5KYgWapwqotMN +q1hYRdfnmGWn8pQHy+h03oHAA0nKhts83KSYD+sFwzcWPjSMZcSTySLL0+0tGnM8bBHquxviLKo J5bIwL+zSFKaFWU4LvlHRKCnoDfsCD7T1ZYD1VNqA0calBoKO1/fb3wH9P2qWWFCCs08o+l/xKnI TDdNB123I8PGPvU055gzRXEaPysXhoiPnbCmYEg5THLHScXM3PIekTuf+wZkTLgq6spkju7M+xan NxMImD3VTFFVLl6R7eKFXSENFE/7UZLkqIGZ40NYjMxYszuxS6puXv+THqd6XwXUCGUsIUW6nYBb wmZnQo7ux0c973vK1lnCcuihXte+hGz8OE97Y0r/6ZAMNzF0hWFAy8SzSN+b+EUY5hEQEk3O4aEZ mAtKTw0YjLt/ibUKRqlavkfgSAYDf62qk8bSCFrWOZZygE4QD/0sBU08Z6DakYEAnNVwEZ98GkEY atPBUvPoCasycUggcZnkz6h8mRv8kkA4YoY54oH+d+q1/p1XooM/q3XvB5pvBlodD5eU/a+WyuyJ RlFNkMkQCm3LuIhR5dgnbgZpMmqTVTxvac9iotCLe4LXk91waO5aa9UUic6jTdOnnWnDyl0G2yjf CpQvV3/T/MkLI5qanPDn9uhpwiT2NtBhiL2BJNTDW9SQ3dheTIYegWPoyMmjKHZEmYQIZWzApe5V Ctkt//79nT/am1vY0Kc+rj/KdMd1EXcIsTDYM88TuFMbnFpRdnFWNQXwM5tGB07AyIl5bFqYP/tQ FUeWbIuxbD0/LjpccATdw/Rjr9dLwADedNZ5LQgVUTnvDSv5/x3oujd5m5IAarjsDlNZK+hrEJgV Xyxeyd0KffHdHX7iYVQSzC14nQAZvgpQXoD434wr0p7dogiSCZvL5OF4yKIXbLQXbZMHzAofXYzw F+ktAR+nwc0ygCREofMVaaD+B7RRsRJCRScPcxiCFug5UyKt8SeooeAUbxwaW2srU6T60CvOcuZ1 bSKzpbyF0aLbASyJmJY2RdIvhWwFzfWuAnYehuKDBAx6TKIUA1ii6tJ5a+6TbN1h243+qOFoTPAb /ZlBfwWo23SdUrMas17e6J5B8lLXJlYVCj8mxYlHgznmvRqlBlzf6lZC0STGVl021U3SASvLe7iy DhmY/ahNTG1cQdwRJjXrMQOlcHGLdT1jd7jWRMpNSp977zsHRELpKstiPPijCb04UuOvdPLyXuEf JwddKhTdWmMCORoRFje++VET3/fpamm/KuzS9tFeEtDJKll1kYRZtfK8A3Y343f6qA/VaBxh14ju tGg7kdTFI2mc8e9Ze1kOewEwU1vXKLvtnV0kBMlrkx3MHP9K4AnUY3abOsiR50Ghk3wKm4apujIr vlD0Lvpc+iyHxk4J0LRPyd2VQM1Uvw/8ogM7M8jvtd9XPowRHWeiayulyxhuGX3C5x1XF7uJqgEd K9GZ1212oGDHS6/NWZWTC2W0tAUfB56hxwCHM9JotCgMeI4uK4k2KLe7qwxG3o5wnBQW8ExVeW8Z fyNms6PpG9P3B5L1YIIocGz+nLx4EjUNtSALl5SLt1TrJmFbP5+B0sH0i1V/8gYkl07vKzvCU/yl hjo3HM2ln25ZJJLBGOoC3KGiqfyK3OMZ4Gw3DJYA4SRd7q4HL7SfCJBofA5XDnzM+lwEzJH72rO2 hQWDq3rQCok6DSzAOdz7xZhC46dWdL1aD/atSvnYaPU94nnBChA2/mGTUZD8aDV8+hTVAdSu7RX/ Doyy8nZW/d1MWwFnvKLYl2HIQoi7itXFDUoz60ysiV56L7r1zXal90XiONBhMfJgydyS5RAG4bOu gnsqLfL4ffiTZwu+qvS/RSfQmVSfePtrtUfdKGIAsdz7pUWKeK0zQ30fXV6aW3UIdzNfMLUA7UOh i3aPLGRNtAz2vNSEPk8t+SLbYk14d5jSytvTWNRyzNr6Z0NqxktQpleEMlsxVFb5Ld+EGYLwWV0s e8b2d0kmYXQYe+sO2vBd7XEIMGSdD1OMftJPxkrAsE+j6z537zbCq0vionZbuy/jnvgjbYoOeqWD tdEh7ZuupRbd7Bz3l/8cAcsb5wrfVojnxixqyeOxb7DuciFXcU3HypoRsTuMO60yXukAHdN8ouEO SFi6PnViEcgD1uQcKLW/ZVpFt53WgSmhVsyw+B2/XUso1MFSOOJmPmpscTvd3+yBk17cGvesq9mM 9IzihbyrOArK3Kp/PM8v4/lbjsWesMLhdgrrRBYH1v7lEAAotlaVQL5f5JQpRh55QJacevS+/JDB UywmeSGn137BGqqb6PBV9FHl1uAoh9X+LLYsNAZEI/cdw3q1foM9tPLBNVso7qyb3mUSCyLLWgyP qaPjugzvI4cFITO1PbqG+StSl5YZ+KJYEP3WEfZ/6NjyyaAxctaBdqLpY+iwg6a+2OhvnGewZUWn U4deMCtE4JaKMaT+YcEf1WWMUYJ3d0f+QikWQUHnhhU8L+ks5n6aC8v+xxV+jpIC6Y2Fg6uQK6JE /ntrBc3MVqdL+3SgKQIiTz0L+Jdtik6MqziNFwSJyMmlCsLzLzF5vNsgINZh4EYS3ugVD12MryPW PV/2tfBkFUYbbEsphr4qLJTTh5s5mf1zNLMRs13JckTI4eDdfLHeoWFSEIeXPlbZZSp8gVcNXc21 1U9Gqkb22K4NKnkleQ7xXL9iUpr3pZmn0S2HdKuqi6B7EQaTFg0VwjFaq7mexb3m8a72MTfEYcmF +mme1tUe7nkk/l6zbaDx06TurkjSyM+5r7ijR5xAlIwxJUA9kW+B3lIkAePnBoRqWqEOQYITvdvj 9CeKSPB/7XOhWkqMq5wvZ8yp3GJ5YPCcXJE/XoCSdFvEeDUXElsddlKlZVSa7T0FgEtfY7t/+N1w V9rIGjLciRyfwgjmz8ngRymQzq+qJhPSTkYq9Qfr+9E9R7GbTP1obj/hgYh5vaTtHkGSWtnO2x2J f/5fm7Qn31vKOw52IGrGge4mdRtw8WP6GHNTqWp8mzMDEUuJ87xAVWxB/CrUcmRggVXCQeQkrj0u HZqRR6iGVhgiFyj0pBo28uRrQJ9VOMGpCznKZBUyd43HsxK9S29PJVMimmgTK8TSaZ2j5jtrD8en 6IOHUo1bPbNzvHy0GjRWsO+w8slhCstbNfNxoSLZRTJFBCtSX+6GCzvOP30W/dsNVoywWYiO6DyI TvymI2VpCSj2DI9F+nsrtcz7FWqol0vaJFaYsH/OIBO864axdQglcjDVwg/wzRBFZsVvPZ3Fi6JX nFx95hXNnXzKTSOueFjvqT44ooKdxiuCYWA6H1mYZ//+pdnnibh9IMxCizolRrTBf/1NUBn6b2Kk 1nphH9u6SNAw/m6MjNdFfB+iDqs9giTi0NVrbDpv7IqtUZJwxbfspvQkRhSztOVeQWzYuGXzYmdk GwcKAObP1nApkXFdsrpcW344V4EikApTKa6ac7OuzP8BhD+30eg7PxrsWleG2Fy5f9cgckM33COq /vluXIElpMYbNYvehPFWRibvKDC0IEklV9T6iPfq+A7fweQFSRaM/VarcVAxzxRiH9In8oMQxWFM HJ0LhxEDm+RxF8QwWIhJQ3ikorc5TkCArJ/FVkqEpH7y8GquRwwhy285868Xmz7M44cGoNFHlIch nOWYdl5EF4iwCl31QGCMNyRq/ZhJy0YHyeEFpgcnbZIkl96BnCy45jIK4oaGkTFEpy7vCXChQJfV MhaU6Qpd6pLnPU8HG38Hl3Ys4AMSfeV9nyeEz4Z4+XdqpD94FYvolXBZdxpQ92xG9aeKN3NEnlq/ LnbNc2G7BD3228HaYqbdPxt2HDK4FB1RfoqnLylUhRfRA7E3E3htZfZD5Qo0zPpYL7dOg3rloGtS OIdfydE9AfZcA0hg+89CrOvXvqt7OVOnNG+npCdWWiQ1ROsNytGDeKUVVwtTVq5vKLAcE/hhpopy vwjpHLxFTLS/RXesiIyLgA14+pnNtKEt60pI+HNS0Kf8Qw+LtPSeQgASKoWcMKg13JS0ny3javBm 7RsydTV7UeHWQCtLCzxZE0cNhmIaoDVOWTs/8e8pT9RBzcF5huB7FLZyIiiQesC7E6L1fMxKm/oD +JtvPL6JZkBzCbSg7JDOcjzhRXWFGaJ4N2U+0YivShval4awiwUdHn/W6CPXqd9hav/SHgxlwx55 7NWF0GlPvuK03xX7UMTuS1Xp9dwbyo7Ejh9ca8A8UiqypU+d+0MC7xxVkcR1TEve8qvvpDFp9Ur2 YuS45nJStqPTyczeSET9A6YekpiyD/Ci8hMz29Lc+Wl0DaW/R4TPVfpUhwcxgqxZcAIWdZR6NSIk ERyrXRROenF237VnV+xI2eke+w4CwpfkBD0UiHV259Ji+mHMXIJx1XjnBZvOvYGTDh7OgDipGZM2 ZpUE5s26BIq/96KpmAS1PIiJ56FeSPGMMdRF8szM50OkhieEhs0sRSbvI58Wm92DmE8oldgmJ+OY KWlZVrgc8w55QqrTo9ZSuT8Xs5qakaqrpk3S95PXM1hNx0iRiBvnOtKlfSHW0MtnP/nrlx0Eljd7 7UjxtBpD7K4hW5SgOJR3LVHiisMoa0ay2iS8OLEQmSLaFRmnUZ6E7qgBDYOs1hmpRI8GEQhZ9k5v jh05iKnVsVl4cHdrT6f8IYPK/wGA6eiSZ8bRWPmCsN5HX05pr4zm8grVgUVRVfm/F2Cevfz8gyoD JsPogbntxnfDF5Vo/fV2U6kZm32XdpbJNFN6OnqxK8FsWe47RWpiYHKc+detwpg3u407aTXSMcKI 5gJXNUX3Jleh5ZPefWIRE/nffwCi54yTHV2Z84xbHSs90VNeAvsBjGzEdjea6hgoZlLdx/B98rWY 3qe+HulzshwSb31oEIelhSXW9TLAwP/adXZs04ghViNIajSram1keQRr/HRBoDKk1h16VhQMftvy eI4OCDz2p/mTGDC7lqeu4jQnxSCW2Tnq3n6Oe3mhRAJglD90th+MUoa5q6050iHUDz5zf6xeWHvD kd4Wfr+5BXQskRQKnC6iLLg/kvOVKptYZPRRp78lUYIj9ttumJ3TXtNofx3enq8+Qr63U0M5AxcE J41CmqeXPQpcAxQCIyr5q6L8PLdaiJaZc/TUMXeahoMtrkn3ioBY3zZ6KN4xcxotBGpjFPfrmdSD DGzO8OahPbsGyeA8jQBpoWnMNFfeF70tEWVGMUOEJB01CVQnhDngz1jF80eOTpI5N1bDvm4qk7nY +0QcfL4WVNjKgUoCfYenDWcrjjAu8b8MbHnp6YB5LN1SxINQNotbp/xxm15lU+YQgMn0ygXTaJzL 4+73Pix/oDglBkEe6p28ykFHjKoIqRE+8f6TeW6PM4mP67IpnWua3Wc1DRWpEzsIQCfTSJwZkd14 DZAKD3ftJK1Yqo6XI8WVqvF533wp/DO88JVaoBwQalRCMPmTmLV+bFEfuym7KM/eJyiXAPKWYJIn oKTERIwfzwNsMj1/kvgUvNtKMfx/pX6KW9fJ+xIgQudtMNCxfeAiAqGKNudHobKa1IognKdaC7T4 XzQoDBR83l6bQ5bC3dZh3hpSbu9oJYKlkuLyZQ3we0jJ1oDU1HzkMpGPzZdN3T8VyHU2vdQcodS1 orHmO3rm+yWvhIW7OfNuif9qInhbbfBo3af7nDFIfh0XE5mvWOF1vhBf0sfLDhGds648PVsKbxpj mYK0l7jMk4h5YqHugBUMVl9xreVh5XloJvmcKdJfd0UkXBg4ID4gOZYs/bqboUedlbkf4e665rBP frozCzS90AzNXrnQePE+/EHq94ISxQxPLPNu+/onrLvBVHDeCNvKI1b/QEyxoXlzHxMnLvTwA0AE 7NlSDNax7Anroi+vCcswWnxhQAfrZ2iWVv+RkyaSJwcP9Qt8dVpgmifcUmA65Y/wmgo+C/lZWg2z JGDVKr5q4LA5u7+l74RKhNa4on193PdYvPp7CQ7Bwnlr7APpm9kMlha50qqWE+rR1jQM6eVWm4pV kjxiGjCnk1oEUYK/2rSi65J5B3uLRFmHh81NgKyxDxJNdDW0uPfavLpTm9hVtE1DKVWwo9VVPb/O /L0iUHeByZMqsDd+1VBDJ61j5jqxVpKfvqBPA/eQ/VY4tXMIqkMJQPliZKMwoU82vgU3Xars9ELv zDUfH18jgw3GTs2LG38CtJClHUnHwdsBTJ6kRjezOqo7A1i52AcA3xS5mIYSKjilHK4wJqPkHWNy ZUuxvFbkBSyIC+5YXOK9lhXgryZbMCA6PixBbvtADj2UkB2VObM04AIELg320LzLbpWZ10idsi1F q83/PRah7ker6uIgHWtx9drh3boUbwsVsXDjdn1se3D/pKojIe/7A/8iH17KYuM1Sj7cLIvMx0J9 +8zHmwIspOKNl0ZHO/tUVs5Kb+hnxJbpxD64jOCs8fcDWAI0eLyfJ0BFxWV/HXzueWG0gZDUMWHG M+jXg04VcXwYv1MFne+uQKCLqLmk1/U7cwEOilNh6O2fx7WDvsLfKFYW8bsH7mObKkQv98ioq8p0 Gjgnk1SRvNzEoNWoUkjUOlerXL16EjSHVZr3gMl3ye0Eo56EDkFCA/aV1Xqdggl7tAZ2sQd/rP0T SeKw5EngEz8iJaH5npUIZmGhFZR7irI/a9gOowlBOxPzHYka8NhGTJ7eK5cBI6VyuRmpCq+bOfUa PcfizyLtMuDvm8wDu/LgCzLC/9hUUWZs4XcpxslB7KYHRUogF/sk+NkycrGHA45F6EHk9L4wXCTy 4HgEVdwpZ4B7sLXCr6jUZA9OiacxkacMAQdDsWhiC9DljZrxLytXGqiqld3r324m7nwFKl4vX9eM Y+Ay26GmgmzoKjhcZ/oYKz9X2e4ehswaQu3vz5LwRgSIRa6nfjtdJELVEFVDnnkmbJsiDKD6Ppq+ DlvBMrhyrMmwAY4QJRKnl5HmZWy936+P1PPipcRoVJe2pM2fauICR9+foW+XqMfWz1ixgY2puW5z 7L4ZbjaBB41e1JFv6x5G5UxYk1GvwxRTO7Kk3D4lWjsMvzaFcz8Y+nOQtRe1PUJzGZEaR8trrRaq wrJEH1NfpnrF/JnmrADW1VuLF6k9dfFbLacwh8Ba768z9zVvbhy1lQH4QPlPyTykr1cLHUZI2ktJ dS81mgSLpXzoXJ3+i5XUmDaXg/ZJrODfUFZ/1Kn5/IwNZwr50GApbtyzmT5lo3jBB2O+7/0z+ZmT afBPDaZxhR/Q8Q1RxUsZJfssTcDuufkJWjeggNUsRfT81CM2wBP3Qt1mOOCgMGaSi6Qf7Dvyymhc /LEffkGdarmuri3ahzuOJsrqX/3QJkOh23Sc84YzdQlEhptfN4OqCbGkoCaYLia656igLLTx5L0Y lrfex+AJCMwtlmNTVKVIhWARbo05GLBD+Eg1MZerXvLEoMYgTQni+Lznv17UJSTyMNTW9YrDNgBJ GwEs1TAMujQPRGyHfOEELnYLesOY0yPME8VCFOf6GCX4JraxYw/2Kd6rA8svGEFkn84L7dxgveVp TZxSrzx5UgMLmgDHjfE34p+6zfDyu5aBM+ClyPpxBkuGGVZB/s9k2t8t52W0JU7xVK3/VJZoOxKd yDaRteNLnYdzoTsK5TERy0Xb6kqnltBZcyG7CGFuJm+cMT6Oa8jXDfEuzidX91E4jjUZQKtxFjVG Xjn0r8UQlf2v8sdCwC8ERzrfIty5LWS4Pa+Bu7/lKbXlEZCAViPknREyGTv3MAewSQqjr5R+ufRd k3ZAbX0V2SWxtwqeXaPRB/S903Rlwttd2xM3UmKMqV5b6KAZ8TRr/bCSF6Tq9zf9gIVegt4Nt2mj JWE29I90n9noOVfuQSoAtPSCPDseSAZnGglU/qqgyuHzeUi1u2/n4SLzpmpUkDXI4dhYZb8fVUGw DFxM0nUQkUz4LWGPKfOTyd/QEB6B3icozL+pybM0OALQXj2dRxKduageF4GcG321xgn0hrxyeQRV HrIFULztjNy8sswlwpLEx2WXzVDAF2L3eoP9eT+qjfeNYgjHpmvf8hbO7n/IeOfA6UQX2mMwToJJ +hWN9g3t5VCnAQ7It2aT8KeXpWyK9gIAO2LV7Bbxuvjeo1klZGHe4il9pVpQ4sMRoiHNGDB5wxZW dVrrPbsA+o1DsJc4p7hylqYNPpayS4fHp+uYUSN8OTtdv2Pwo8WEB4P8qaPXY7XUNpp2BpXRl57T 0Ttpm7qU8cepjkVQQNZLP+Q16wEWKPHZOqd2zDUw/vudRVQuQYV/D0dTqnPuf3EsGi8DfGMVBnZg dz95PZ631BUaN6NsCSoOxa1TboiTIMHCKUPimH1IQ12iDUAD+6adAuwiLbNt7/sMxWShpC5jB5nY HmICFtxlXjY2iDa364BNqic0OL6zGXQjgUXxjDqOlek8SBggCDD/faLajxY349lvrbCvL9nFudoy 2/WXsV99iFEKpAlXpjRG38/DX9cxpoXroGbdAqgy+7qoj8n1xoVRx6q/QCaOuusUbGGtBNwOwDHy ogdMZPa0hv6rh5LP8LRDbK547LcMv65KAxQx6wrLmwjiNugukKlXmgRhPlARpCBJdA0D11n7b1j4 c8bpd2819L1fhKiHayMHrCTJ2O9hr5uu+UpDEDkj8WE2PcqyOuxpLMeG4/8/RA9AVoRUlS6f2k+s +HhywnKEwtTGyCH4qEZH3T6T2bo+fCD3zZNFfydeY9PpTfzkgvUdQuV8DAiKSG50f5tTIssB9LA2 gPRSAMTorUfpvrRq2hwEUPkBIIiyNA5VUWFPiw6kM96BVmqfJpUz8gt1FWnQMxBUXaL3D2ymfzRe cYXfuqnbH0sNjHpADgXFMbGg8wf3gwyIxD7WR7f0VY+sOdEY/ElBkrJnHCFk9Ujlj9Qa857tKWMB A54gUWevMNCcMe2IYELsMa9EYOU8OpU8TC1A/wqXPPl2cpu+EmsTdhcwvcq/bEA5TrMtiVkaIZe4 Pu9lU5qKw2GdQa7TtKuBbVIYkRcB2RXTHus9VFQK9VqgbcjPbgXsjE2Czto2kQth8qU/HydYbJLf AU85OvZb+4EBi7V3RzI6uEe9veTjtEMYJR9YKuXGATDs1e9qORetZDwXgO27wV50S7wGyQaVXewz RdHEppqDrgMHwbPex7x9DypciXGiHAu0Ra3ohcySDhHgz9ohv2dIkN0ng2+gs1ud5Jc5YTmG9Kiw +c544WsRTN/148RGMpykUBGdgNMCuXE2AgOtVN+BmgDYa8Io/PrlhN8UgN6f9AfbzsuzN5tkbaWZ rJ4krcWUQvwgMkdHVFPOgRaaEsBfzVOI7S5UisZ7WHnivQvy3DFntQ4kADI812jhtVAinUHcg+Wx BtrO8YoNgtTNelM/6Zr0JB7qCqMFQfISc0IF8GGu8N63YOO0ucSBjtU8otWxQmZ/MJWaAhrWMagK vGkCKXA1FH31U8oJDkKph3eJO5yY0B9cf5LzkLvkLIG6jUFx9Zr97hGBe1GFucbVCtbjZxwdEqS6 MDvIlQy7sWxx+tWYvRJSQ21TC9php59F61+/6tXnqQwW0ymZGTcMXbT5RdxXsRDxyEbqagQjfHqq 1x/9ibAqiFsQILC/wN6wAZCW/b1JXAjRqgVwyRmlwQVBPMmbeto3jlyEgNuUVJkJp53acAafcevs pE1bfXRF6kDkw5hdqdDkT4JeNAJQubEQQ985pIGL5eWe4/vwejb5njuiOE6pxLDN3jQTevHiDKaQ ZruEanUiqdVuDCS3rqDb9ZH04x4YojtksUorsIuY4Iw26n1PcFjVfjdvMm8uvnlq5eeoavvWtHtI jNU06teeFG4MaS2r1oQ0e2050azSczTTR5pxd1IqR9EVelWGVrm1iXuTfnVd7ajIItL6EkBC/2Pj 4+NLtyM/P6n0yFH086L2D6pIzrjzAfG9DByzujp6H4R75v7j09+1uUIXxE9X9KnC7UXQvOaBYMw6 SsZ7HSr/II88PyjC5bHAqncku8I+0K9u6ZGGk6GavTYrB/T6frPB2jkhKaWa5W5xp3WwRKOucyjO 70X5yjRB0adgCASJlrdNIJ8b16jeOgtrGY7mffkkKbvtNoMimWwCPiJCrw2q11KNA1nZ0fWZ/EfG 7XlV1nK1rMLdv1qoeydze6SHK/VDLh4OJvNHD3qDL5aR3WVoNySizawWcOgEXBQvoAvzZWtxvFMp GelJ+xzDPw705BYxdROQx3Vj8CmEvkXjcLmeW1Z67hzaaVB/qOtjTWbPa4ql+Z7b9ZcroGneAwvT nDDD3Nf0a9oaUaZK9qRWs2YPbED9TSKckkxdn5es9bxcYYn+yklURv7yPA/LUMFSQ8NcZlnY+VeQ BLMBrTaIwdDlbGh/+j9e8eWYX6MZWcYOTcuWQyzPimqerrEwWT+kAVc5R6jxUDBWYkljExc6byzW +IJMBSBJ5z6kXrovBPRH5I4+hkX3f4YE7Wf3anoMkXmDPIFN4ji+siXRxm9XsCAdQFm9E8QSSHDv 91YNTKIsBSLKO/Flyi2517vva7ui5wNwrgOzqUs7zpr5LkhqAP3k9k/fQIp+FvYUcIQfASN47QTT Xe+HXZ12xdhzOsxq/OaMy6nvxVH2AEA2Lo9+GDeWY6ecEqHSpjcQV/x53+pa1voY8TcVXTFASKqD zGDD0DtiDy7P8GO8okQCwFNJR3kLVXOgmrgqDi7+/8ja4UMzSyIMjHtgcgbYEQS8+kv2Dj/++2ax wU5AxxcKo+c+Iv0oAdngwTLsnP+IGR5JjvfqCbCyrnQ4/Rs8IK9nv+p7QvIGa39OhiSaTuu28Zsk fz2EdewtKCGUUyEaJfcF2M/ntdl7YRv+xcnvG/nQAA09nN8PLVe/aEhhCxSdWjmXM4nYWYZuUE+0 L70T5eFcPvyVpn7/yalES9PCW0BpLMKxtUQ/dRF6ZPZhdCHkpbYErDBMwOu1RDJaFpRakLAbHbVl zxDutmvOGDdAENGmXHqsrJXpzu7n1Jz5FhN64ppQedQ8FLZEaL9TFB1MCvX4kvZonJnstahvDCHK dbPawXFQRA/7z1uEYd4UKM7BdlNjEdaAPaI1/CdG5eZX/7XWpcDCovlFAZZTcTSIK2yqxkw1JJg6 5qjAbAtlfjS7tjSPEF//xNd6OyCeJLaCUuhAAPD4pgrHOpn4bc+SbIhKIi4wLeyO+8YDhCYvN8dS TlcqO721ueG92lu8+2KgP7LfdRuRnMnSI/lcFzPWWG3QULfTNAVDk/ywz4bRZvfKgafezOM0Bdr+ bXn8IbNajj6vkJoH/OTSy9LLLCEpJmWsKlWGSKfN5vbftuf0Vo4pXI5vRa+RnXWxaMu/4n2XbUho MO/TlVuQK8Wqd/RamKaz9GB6HBqOK2RaYrOK78mQoTSTrX3GkzG6RjtgLFv2f7pKZFzmQ5gC2aXz Xekj7lNupqrYYyGjaeR/Xx8aEZUEg2O/aEr21vlUQLh0hu15fs8axvdiRpU0lwsgiZwfJXZ8I+OD /5+6CjRjLx5shjKG2QS7A2n69Mck2yTTC+JA0mWE57TlRYYx/3w26L7PmUKxJU20zJUzX+r3UChx 8E7Yd2Fk2Bo4zMGhch3ElBvq8UJNmKYHHR5zKdHs9p3O93/s0qkrrty/Ns3tA28/g73OB7ol/qMi aCzKJdQWVDbXVCZPMG21Qf3hDZZah0AxL/79nZON2rVzDufzDMxj8NS+3c4R3dm6uCwDWwd38JM2 zrX4T10y2ONqLLUApa5NRG/i23c47z1YDRrhV5j1UgPv7OSfhUj+m0mxC+w/edPU1UWyF0/yl8bQ OJQ6Kmiz1YsNe00OVWlHGL//FrdgnwP7UBIrAbO2Zj8SikkCSBNZq+cgy+LCZFUUtUetcSif5pB3 ToWBBkmBoqurw4+oyw7hEMgWuslN/b3Yz87ZtZnNcCBOBxr3FHqtu2PFk7NYgHSoA5ddxFdP2F9A RBaKxXFQ+SBr98l2mPaBekl4nVCAc4NqkdHU1PCdC3DbPQ5Oq/qyoIxlRtVLIqQ3buQIHw8O0dxr J/PpZo36VJ2hCKgSEEBgzE1uD7lH+Kv77rSdXh524A6Yq0iOSKQlotdMP38rGd2Lgq0gGZF5gP41 erotBak331/Wq+fCBfWI0ziuh0TSzsjKJV/6cqrz+XDkJY7NBPdJiznMqt8cxCIlHkl6PvwLxkjm f8RBZ03ipiIChf8BRPaT6qO3mW+o2d5zqKdJnFDYbOm3Uv+N5rVsKyJ379Er5p3h57wvTi+AVU4y 92tkiRfCvESNkbFlqoO+CfSbGxWJXWfHQ32dt2fjPDrwWXZa+BTQ8qbW46fSOO969aU1MdMvXZwU luEAX6y7gbzB3iMIDs8Qbr9qdhHs9+s7Q7oKc9aGHo26+TXqZVX2CioX5QaikX+b0wNkZ5BjTGR1 yRiZDFs0NZ5MKWssTitFodJcaKlGiL5E315TlxMgH0ri7Wurk8l4Pk27WeWIbGL3BuQwxgR+t0HS 4Uk8ebSk6+ULx2XxdQiN58gk+kFzFHPECqbnIOaBKc4t6B2X26pJ10Elms1w8liBbPDw3c5jN73w vH+gb0gECvKqTlEWCnDr1V3JRrdtwiGndkEX4bHCcmN5/jZs/dt6rBVl7OP4LrE6Ju79KYVdbUHg B9EP+uHs/v0l+CBbpa0+NlEqMGMKvzDkN7A8/Upy1bU+ZvYuB/ToOU+L9EU/I1QRfazMFxYN82ht po0P52UvjVG8ViwEOg63N1db7s39ptkngR1uo3MO9lflu7WlwaUe5N8UWqjk1x9o5Q8cXN7pe1EE gNgfHNC1enmyaaPhMyS3vah0KpSGwz2bogfUuNkm+hfabHVsnvQzsthiHTDu2d4UjktMKZpzZs1f FjcTe4FPzWqfDzyrr2IKPIlvgd13QNn3fTReBZi814ZKK6V1kyyvVz3nRZf+UubHp2uys/ti29gQ Jn++opI/mgIPzO94W13fUFKD6vzVRfrOJR20LYbRoeOtdeuZoMzEmvdol6FSeVPLLDkEBykOToZP CSety5OvcIPTJrkb0k27aPtjxw3ZUKLCmJ1PAAs9pSLgRb0zacFDjcJorTHimdHIxo+JV3SHFJtH A6iWtARlBJxHPRScqsVGAaGTusNVa4HgqmwZMHjI7/0/JED2XKaa6KXjUTPzaoyAoSEqY8CjqS3A DiAnb9BweNXYMF42h2PDi2zr18Dv4xays8d2V9zVzOlgGt8Q/JB3lTkz4fmSfTFesq3YYNDkzxEm iBejqVXbhHlB5Hk4wxJ6/8Dg5JRqshRJ4PvE5NDIHrpS/kTwgUg2jjVjlKQMX01i8PwiUVvrnvGt wG7UuRNaNcR0EH+vo+1SbcSACVWEGzaGoA9kS0VmWNIhj3/lNMcXHW5Ax4H/zJW2/ILoUEIfpgup CPidsvvpwa/dh6dpfB8pxMphKK4I2MSnGMp4PSV+fhcAy2nItvMDZGDUtqODlTH9RU0ecO7Jn7tQ 40JSyCe+6FcYOxG42fkOLnvLsL2CaQ/HqVP3eMN6OGM1ZYwxMXkUl9UMGOvJM/VaSOMt7xRjHBYY ZTbxjCrcINhjwJLXzDYy+M4sMA2KhakBtm2WRQ0idhmKasQSEmazF73oxp7u01+Bz4uehm4llVbc YQuuyzz1MTInBWqVtPFuyBXpqBj65XSYPHM7vCiRD7sP2v46KU48Gi7+eMFoM97ssVIg/bLWWE+N 0NNouKD6lT5TRGHO7MK1K95yPJqilxGR2a9JEk1QaLL5Q9wn8yzPLNQPh4lNlSisX5uP+Uqye+eD MiriWenRXrKuKElMWxXEi/Pdxj99+f9JygFENOffVvxi9zP1QtfJftfw1fyreVaPow1FeqAmjddU IEe8xzv2r8R+towly+dxOJ68G4VzdzWfXxHnWjTuUEO6fr393bl2ST8aaCAXOg/SKSlVykU8igQG sSMZ9KC7ZVXQFVNijANNeyKqMIRuFqAFz5LdsT14OVULqV+Y9cc2Jp/SJfXVhUbJDN6+hBVdDCKc DX1vagkzs6P4WRKCFuya5kZytrx5Z3AeG1QmsAfKK00SgNP7ZbHC3k82x0RXg6AUQKrsDjx3SXxY oTQQIOUM4NbDd8aAcUZdbg7hH2sYJKXiCUfb2ilolyOccN/aR43D7qBE/XfVGhynTBmznOUANTsm Ll+WlsqDejenByLjwR31EIt9HlyELI7mrkuYj/Bs7PF85RTmuEPYtmmQv4bkpz6JxVH4r0oeVGMz EQnVyTj8xgT2WnEQY69pcpdQbXmWaAZCO3dFOuOOU/ct22u8eaRr5bBFTgaVC+H+dtb9bj+iUJ8z YDDExy7p5OnNpH+eV8QTuGqCSExO7DKAk3Pu8xlJdkOgnWkqRZ0epDJEH1I+EdlIbLgCVd9oZ9th 2E27WocDIireukU2u8QlTj2MrNNMw+a33PLCiwfSxKgkDwMykI+4ATJoum1FNG5OBmnk8R+1JWas yjdJ0x/pnCh4D5ea9LHQ6FQA7u4SMCcebBnkSisHDutfImGeUPtFMOBmD+N0IBe20JB9pyykMF2T ZAtJL55lVC4TPIwVoO5iiaIrk58dz0USRecEoBr6pN5RUZ8dBlQTIgbja8qOgbqRH8j9XtRO7QH9 yC//wISMXrMQ5AnY+h3pxCyoQ1JgWT/Z3nMatJEYF5V/MuftAPCVHsw0DOnVpVr9VltPItVNddBu ulfiZoem/E8hgciJgxWqXWfS4DXoR0OKAblXvVvJV04TyCWobfrY3KRIeQNg5Gs9RjsUa3iGkloS 3koKELeLK0pwNWcsGvN4sWfgeJgPOGOJY6hz3HqgpTI3W3A++rhUIknBZ0Ea8EVSpTbwgKwLdnrP G4TyTMwRYguhR8d8fSqru78U0E5DWfDVh00145ljR8tXJKtDEqanhmS9sswWN8vLw6jv8XAf39F8 d/qimxRoN0GG45IHiD+Py5BbtOtWpXLzxXhekow/KcDzqLuyJlZJLAhJ4kPTLLty9jWp0JVNMy7/ Z5Ey+Ugd97gFqzXzbeger0L1cD/wYM3c+tfkSYCj23ngTF04Pg1Q3sHp3RW6vKu9fCibZB0hnYiP m9PZcsYIjIpvnpT5OeY+hU0X+4hHHETaTZRLJDyrcYr3uD5S82sHzT2d46ElO4Pl91698O1TY7g3 hClXuJKsqeA7wxCAnlIXcQWd5XAMe/6Ll7Uqllmy5U61ONiXqfD1RV2pbcth4VO6SdnUrZX1y6+J nEVKV5mnCEBRoIIMgr7Kqua1EjmjDxCYnJgi0a9qOMTiSPRpBL2e59DjoTujJ+RbyISxdja0F+6D e1J3vIlvDLmQolTcE1n3R375lkJ+Q6FWrEyGzDgOcWrbjLJjxV+7J+ESO8K1F9/DgdnCBOTdDhiQ gnOzGI7X5I8jla//k+cVmfFbIEVWeZxYY3hr9JAwsYJc01b5ZZMPnNucsmn8NxxfDrrh7JpTXjms 7fbBWOUCfVVTtiDslH62+RwMFV+t4wCEqsjaKXuarYEnQmp+xluej9b52p5lvQxZkzIBjj5EZykE UqGjBi2x4deGP8cdf9vjDBq8QVGRCHUosC4Gi5vRDiB+Uxhxi+euMYlhKeZJIohefvpV8Ji5tNku HrsbGxP85i96nUMTwtHJT401OtNdL1RwCkW+eHvNJy7JnXiSg0YXwQkPpwS7mh8dFFcMntkN033G DxRKRH22zcdg+Hb8j741SEJn6/b2TZ9hKzEy4kg7m3x/x+AvsHajN8X5gRkQzJpA+QT9+/nOuilM lX8ukQsIDUyGFV3p6DpbFdwPOZuCOiSrB+szeQBoLyJjHL5EFTQ2t1sCI6XeEKf4jwutc373T2pF 5yjZTeRth14IPHWklHgEpZImh3BYPOLDpuXLWuFJkDGmHwMTKG2Gstw895qlxT5nYl1IQprPcu98 OctyKLJ6E/z1TqfpYHxpFuysG4SEggdKJaOAHKbTbNPi1irNvDxxn9gndtmAMcB0noPNns5/6Nsf igYXrG515Vz6dTYf/JvFrTHpDdt1LpVbG23nVhJQmfYpDEUWYlLdIuqGbpfipWhfKNWWrJjniXgU dtaVz4Z1ZoG4tncd9LNkRolkMnBwynPT79RoRAEXzhrNXIkS3WxG/1afBkMGBEkGzuF6u4hmG1Ao VBPGgCX5RcEfUu+SW5AhYYgI9OeyKxx6761taIl2KwIZmu2PwnMu6ajEyFn6VZq9Rd9EHb2Gx37v 4svqSY4/Z8H9mZ7GmKMXs6Q8jNBcOXever4V3OwMC4/ZNmXhgtInMD3f4mrrVXI5dFAVXiL5hsfp 9KwzatjLtWjXg2sJkjEeSeFmxjet9YC15fhxpq/OTrUX4VsH8kUUTmRK1cgJqRDqkLYcPkxlftf7 uyeXruQZ2mSukdn8KlRttYeV5Cn6FsoOsBjnH70LpjjWD8hftCt66OfLalntcJBvmBDYFARJP0KG EpzpZxjHaTkNuKGIz3oFp7uNLrs3CMXF+NU2ezrCEs8dF7MCuXMmL4rJyQktdcCRISrUpyMdW1XC sWGphKmDmv+eyOFnsqpARCzM3gpQ0TyEN9GtASh9IIbUKvU4vZcvMuJvZNfsZq+20aaAfBNkgNPY biovYRqdjlRsDeJz4U6qmDExlveD3IXF6HmjYy9HK4xSxITICxJPIOHyAuCiot9Bwaqu1fcXXJAo TniJlLXJhwCTxlWslPCMbM7id/O4LxUte47EsZidBHVaAWOXO1oA8CkFYjW9ME2Rj7b4wJDtWLCx PWw9QhfLkra6vL6Iwk1O6ld3G9YpYX/CSG3rMxJ2aMuXTRyHzCWwuvNJJlRsGt+VLAKVjyCn1R8E reamzt1IB8cDunMqfUxHdslzx/v1Gha/1Pd1p2PX4q7pKbnOtpkukf8e3kYq3BuYZFfIssrr2a77 1wiapKr7m+v+aclx2FfFJUIZUj9hZoVSF8X2OsC3ad3DVQRW3gI42SKMg8KuDob8y+SVdZXifIio se2K0br0897jgF3dZaYZpMFWYMX63bVgiNmeHLm9TtMe5SzVNjGoEjZm30M+1+P6DSN7XdPYO+3m ASvCc5Ull8df1ZxyY5oU0T+vscXgcMOHskT0PR1AoGONFMylZXbofO+FezmgfZWVGA18NnqpuzU+ EoPTtKfp8wonzitTrGc4KkFk8bP0pB/paPEvdngZZo786KWNzqs3f8l91+8sIQa01VXtRJ+qYxYX QGkBFpushJqLuHnzj+o2Qc3POSRglPSu1iSa+E/BLf0c0BViBPpCgyB0UFzYW79YUIEL7738a7gX yy7zdGfd1pnYGMElXqnTRZOqVrvBhcD5Kbfgj864RKtx6CK46yGtSPjOkIgSlke5bve80tBvsSb2 XBS/GS4O51s07HkBgsewah0kYDaQ+NhmMI/rmtrFN2Dyw1qoiOhZY2mnGIegDIn1lTQ8R8Wqnjs6 5a8Dhu9RX4cpeicBTRiBqN1NByvuHve0IJ8VkLX0PF+8IJ4GTFjTM840tHu7HHneNpkmPLsI/RHM m9BsBt9NjylgnbkU3XlQFKQ0H/BG49Rvivbs3HQbreAYvIdLDHAneS4Kmob1f2vFJtnxmcXZ8GuE 7cSuwaFpolvLzflmnwQTrQaQE8auaw/L9oZNEntmVz7ioh32FJjZk7W+pHQyoqJlTOlP/P52UEMp Xq+D+4tUDQv6N0v+c/IdghInAmEza/lDDb1tjXisN6UHN7r66BJpRFDjOcsYHiZDebdanJdrvbkp XecF1HuIC59Dd0MBjQagiHbN0g+Xb4d2/NhS4/0sbj37iYYVore8oAl1GWmpphGRN4LJ0momagtZ hxF1kjGaY6WW6aGfw7enRp8gxlrcLss2VjrftSJW1mjCvXpSV7ta0QCekO/DVy5+20rVeCu1GwMZ K58zmb5fzDvRuFQejJMC27TYS02f44ckQiz/JlSefNEL15vfwgJ6Gpf/dnBp2OgRL6AQ6PDQjRqZ zJqSI6lCjY1EWWPRMoHDCd7vEyVFYIcMhwIi1TiMBWBstcWazWU/8VMdqFv+W8vWUBbRqqIqv5yO 8vlbjc+NfrdCIwzyxazTBYD7tdrk/VZ7lR2sMcn7gUWmv19O8jlZULBQfWwjH0QO4lZMs2W1mzg3 9KASShJrtZkmoSqyC0C2osxzxO2okhpWism85DfCpxRAWJOy493YMiV4Iy2+MgambrKj957/7Kcq qVh1KAJMCyGQR7JDF8ii0p2dREfq3Q+vb1mNmOT7c+PXgybY5N8cdFNNDCgGltnYhvTzKVTy+qXl OyMVnqQCyPnsg+1/rUPkH7eEyiHhcpm7wyy+/d0Fe7pbFJi8vKj5gpfzkItbW4iRZFjWoSt7P7Ax roRqDpTDpjTqRr4oUJNB+mnMHtGotsN24pxu9dyKMb29kgF3J5Wv033VuB1cEgTU0U5IQS/g953S rRFkmha42qpXRG+3/XT1UPBn/6BKpVUlvMKn5vjBTqvgkIiei6FrJ3AY5kh/kj953NSqP1nJQqae kBeitvaiTOkJTeAEyPJLjRia5Ixeo7cRLyzDGJeiBOe750WUtN+69L0EAgvEBFiIwMinrTfDmBjW NltuQdcV+X+A/kdA4Ukvsi0k5h7GRmXAnyeXsLle8KW/358iH2+e0G+nc3gOj5cwmBaj8EpJke1m IbKLShoZUNmgWDqMPzMv1HPtFcRr8yXq/owJU8x9upTp3i6girLSyt/EWTtjeWOyX1Skjb2LsKH3 VPEHgICVKuxkAYqlNh9awyf+Q73xCHZKGT1LcOdXC8/DnjorwXfsEcXkk4Qsql7212Ep8Tgd9dj9 dYWTW7hh0UBJDZ4GKNvAf0VQa8sSa8kpuDwqZjc9AMcyH49yQQc+DMrx7/tNLsVrf16E4JRhV+mT qjDSpomd5jkksR07eEPST9HZ1vReK0pyZBFoDAquWpXl4WX9nUDb0gaPkz/NRWTgC3+b22dr1TWI 6FM1MdBONfSnTzaSFD9UO3Z2jiT+X4UBB7ZSdhg9ogJMrn4+BQkQ+lV6wTh+/mrwdtKT5puq7+h1 RXiA7rJaJY1KoPgGQi2dWiqFjLrEUaenIfkqTz9f8CNItabl85ARIW9HOutymDxurppU8RGaHnfF /5Qlp+/bPf3niEfUCv+sd2I0KTlheno2dEatUhdnvWkzcEul4KI3q0c25g5vR7+G/9A/ElwCQ0AO YeYStaYhhZvq9A4thfyWFMgaHHvhJ6Y5zLwpRPT8ZsbdUf/QITE5osS882X11blWOnkYU+pWGFpu OrQeNyLukig+T3G98cp5pMFcv6+DB8iCqUrJPjYCRppz/ssEVPp20gAk3TIHD8c5vix1dqjrrnw0 QfWk99HIH/JESTxNbWPIEhqpngbkY2DKv2ucLoHlICUG0C4R6niSKcliyj/dfdDCvVDD4DSPEuxN /Q31Dvd3imsjpmBdisrAFq1KYJDNeBTGKp7aE48C21ax6SKvdKyYc+NgdTr11eKwaGEhZLfaaJv2 l9t1zAjLZ/vk1odjv8Ub9mvZNXKz0lam7sWt+CRufT0Pb/R5yHEWw/nJN6+28jzVBNtfykQzdVQz qsOOWBQys7orXB0m+zouvi/nwkBW9a71O1/f2MJDoD1wak4j6JExYyqNWzPCw+lJewfDoUmQfgQP UnXbcDHUHt7VC91BT6u8m1xIQ1JR1Yw9HqRS8V3fiekt48HLML0XIrF1CwQ7fSh+BSkHTJ2GxY+4 z1MXaMJUo18bNx9tra1+LJT8u3fz2ZUKJw4YECOtvUBpSq5LqHSqqgotD7V6DsmLyY6hUOdXgpCl AQ2LbPYW/IFWt5fjCWK1H9Q61FEKIJvup78oo+Z/jAiLI2wJkfflZhLZu3ss53l97rYYqOwVSkN6 qnX08PhNUfEWFfB0WDnVLMxMGZy74cbyTWu2lD281s8pfejWLvh0xdkghU0wfwH2fnSZZ0nYYHTQ ax2Ti9DeDZrVOzXHoJFhM7cR/tZlC5DyI2XmSvUImK+Chv268/5DkopMZy7ZOhQlGSd6PocuhYA9 /i7eOYGB2Kci9SNgrDcKIVGJODvwWqXILeZOX6+EHWGW8H8z2WBmvldMJTFRPHqLrUWRTtkR1JTO KYEUcSxTvpINAh/e2wTbtOVB4NqesdHNBq4ltNGrE+hOZ3o5JWHJrCh0dvAVN7vVNQln9WQwKH5e CDPzDWpZUqPNkzevTQXVE5qyqYE5aRFyGqY2OtlLNXPK+NfIzppAwDJuap26ZPs9O878mPySTchw a1QWUFbNiPKMaSRFKQwUgMYIuIBlItGQb/69Hg8u7C8Da/mypW87AcMaGRTyYb8hEg8AaS9sUsIN nyaperTSqxH2SGSlnb46om9lxJYUuYaQA7+k9IquJKTDJxYFgY7et2+3rMENsx9h1fflTMYiRL5O ceBhqCN/y+OyISaT1SktlIWJqa63fm19/VPh75YOOOKR4bSPhtSRgeQZN3p1r8fY2gqJg5iR3NT5 3F4pZ6Bnlt21VV49PXjFbc30dJkqJ5jcdrAodjmfprfPmXAP1PuPQ04PNvzao0s1GDUZsBzhPS7s UBinZm4J+fYziuKH0raw8KOlBj6eKCwJBSKRz4K53TZiRnd6/DQfSxerhpD8EnjxcWn/SzSVr+NL M1TEjkedDqnMt1NVBwgVZ1zDoIGS2yKfyPYMdzKJWc7lifKafPNAaq+LGRYMmqjvntUrhfs/PCXQ 9MbbE+8wXxvzi5aN+EpEv0BgCJ2zB+yYiCwxtnV+cXdUiUymeGfsRb4THpPB1Io7lZmcuqc0aovQ IxsINkfSJNFMlDpLIQX9dwYX7A8fUVGJHDRWjkC2OjX45ZGVcavgqf1bLpemZF7vRUF1b6aMHyAt GGD0LP7f+/AzCS6wPaifSVld1R6VNSudaypd/vZdkZhg+1V1zGcUhAmCjGB1/UxJqO1wUN7hJjxd qljeJV7+HsKSge2O/NptRYmBYvCSkfbegy4sT5fm9ekfyz55yQGv6y596of6teEUaaE1pT2jHz5W gQi5fgo4u/HO9Nt7gelk4PpN0BNgfvdRwIh1tAIUzsLg9a35U7ZrzbWwqvqlPrV/uYIIYAH1E26u a5VSt7/kD3vBbmaW3Qp4ffnPiadoV/NOWtbltM0/Lwak329qaLVr5r8AFK9OmbSHIbebMdbBFiPv xN30eNUTw2stbbCWqCqOxDwntxrhyaVAtz7jDDxt9X5tbORq1cJavXaYkfzTRIzCPcV34ZCvAh1z 80NEPu25E+Q1rtcgP31q8a//npl/FAm87mof7K2cNWBvVy7MWNRB/73p5DDgoMQERICoRqloxYZA VKknpIvmh7uzvg7afOTN81ej3bW4wKCdD9pGsEjn0Eb83uMLiNgcXWJ1Di0DTweccGu2UoaHY5X4 Qd9/SxpppJVS/8+yajCPKHTza8sPxVLxkMANYgzfAqSJ1x3djVV8Bl/8rHmbcwvL455KF0SU0JBv TxlzwvjgRmC/zdVM3cnxR73uY+G3HOIu9hI84tRNcpLGMZL2LU7mM42R6/r5GdcMQ8mnkI0aTcU5 d3yl2B45iI0shyEk3XzGjawrbQ3VVX6xPfMRGqZEHQPrdZrc4d7/2wqy8MQxE/lBHizd3I4tunUg lwGQg54VvZ2yAM+b/PLSRIX31miMdp+FT1aSdhn74rPu/95NqQ31uOmv8U3Wgiq5nLzqI5lSiaji o+S4UX26dI1PQ7uSqfSqQl3r/o/S3kZnBX7/lBCQ/HHK77K01/Q7T/QymA6gQJJTVDOTaOjgHI8C mZivm1wMvgxCgkXLiETmI7Hv63AlhmYLkX4QJTFAxlytwu+sRZrkQaxgxDqWrfamujK+buJIgaeX O9TSkHt4zM+sILSIP9qLc9fgBpjKaLstghhE9+Bn1JgsGSdXShLsewcne7fXYIWqjC3nymVMjAV2 dGbJune9f53i817fHdhDpJwWemMo46IRimbwORcjLWk/HU/7Yuv3pFe4fUFfvc/u/KBzQMNEH3Cp CtboGeLBKaa6G+KLhwUPrJVksSs6S1BABfMMQ1lOba8S/b1rMhm3BMjpB/4huFAC1cZvUpnLOohE i/888q6MXwif56KostLgoCfXPp+MsyG2w4xDYjhjPbu1br0EipyRrXDYe33m/867jhiUnXhh1V9s ZCPw8x2j52BjZ9152H4EUdh66hRg+PzT2pB7k/CuSph37r531VJRTxxqguTXksiEDxvEU7pkGqIa X9bJ/2NZM0j8GOGKr958jzTxakE4uz1HsfiRAC7HuIlT+6LtisPD1jGlxjeJ5sVQJPw6RrVcTeWg iotFZyM50lDzKexTNmkLJG4MG7vO2JZQ1WD1fxTWYK4U0kaoasOeOi5pYx2Podh92ckMAz1zY2bU PzAIDvb4RqUXd/oXaXDKGJ7SFupfiz4Ke1FT7VeoNQ8xKR2+AHDwY5jUuJQrw8Xx6CGggctMMfBy +4RJmirBgKD8c60/yBbXyQyrGovOjEJxOBOnDoa487SH4csuWnC+OBqU5Pi+3zSdZr4crrvLfLWg 0jGFQfRf7AeehMdoi4N+zy98izxjokUn6eCT8g+7xnXW4DOXb5/QdElWSbf98vHg0cxdrlMzDqvC HlhDZ9Ibr+uPaJJ9BCLoiTz7sVnXZzr56q1lYPEBaBKgh2Twkhcu82vvr+gAsEGs1VN6WGFvQGcQ ZmHMs/ldjY9CyyYcwe+FigjynlQEBTcWtYRGjxbJSARqmfv1TguOif0Hhu+ochSY4J3ctqFFPkQA invUmzDGgSRd65wyA8jt8/usZG+L2ccL7TZipBRpRD0+3wluounqdSdoZjYO3wAtLMryi+yafdSL vcAyP4ghZAWIcvnxQLey3VGA5oqf8NY2ncy3lNYulVsuiZSBMPK8LQoOWXpzKIEnS6FMVcWrV+uV QOTKboQ5xr6r4IxFX9Dlq0lp+klo8quYH7eCjRCbYMInohLBWfTJfwM/htx8DfdpEVxrIuoT3DVX rVyKlIsrXrbO+KZ4bExAo9P9ajJrE9qww8CSplFaRDQJ9NuYCHpT8YcOUJ1yP3tErQXrLTLsG5av D4j2cQUB3UbZWkIFANoWScneb4zMEJ7f5+pveOqhorJmMSahuQxC6rLSlST8q8PJmxVmQpKqC76F r+cilehIfNzqBKyaM+LU5ScRgbCymIFJkp8Pm4JZhNu3bE1SpXQ5wyJLsWQV4epJRJfOUPNbR5Xl LtLN38rQLto/8ul1tKIpqUNckp5AypxU8fh4OJXTf4oGS6YUaV6C6oIeqaJHkfD8WLnjrVyxeziX zKbZLv3qxtHXmUdEiG0f0iN4Kveg+5Sn40dHLb3gWT7hMrD8e/9PP+jCYTCTax6+uC/utDneZl4P bb5uEooZhJm5HPttm12fKMrLcJE+F2vS69LahLoSigG44KwYrKz+ZQ3lwL/OVnt+/8p3mJR2rXAw 4h/bSm2a2iPxa9KgfPNWCcRIvTUUlwYnul4+bci9Fc7WD30DSIr3yxFH7dJRHKnbqMgRdKpKAwnz cE3FRzdmqSeIvjowcFtkV5vDErzyHZIt7iVFSn83Toq8Q3m83cgAVwK5ci/s4zJdeDZyHzBSyxll Mi+/PG3WVkFlkBJMKQyrpcqPWqmdrwZr5TfNeQCSungYLaN7t8yvbyu6KJFPZtOSMutlJurXzBK3 LXrh088TmkQjbyOMvviVLhs7Eg8NaVwFjLqyQtqNIHPbpRAbrVuZBfJpDU132+dHsgr3mB4EQxoc /HGWPDHV33/KzVEFWNsQy2x8f66Bax3fC+ENmMpocMOr7YI1Td3N+q1C7KNB1leVome5EcOz23eS JGdawyjJevj3VmCqrEZBYBJuiZSUl1UIMlBDrqx2uERs4ub60ZgJA3FOXzwk5o1gxYLAftTPFpv6 ZQxUnwdBsPYht8FluKsAlEa4M68YnfepmRF0T5zvlAocTCQ7X5jqxqqXDVGCDiK+/yErdFvKwgz+ UhxM1Hfy74qB/ouOJkrsayCudIbSFVz15NI4i3o/MSNngvOpBMHKYIw0XuGR0GVaa4cRAl9xskxF QVXqhxl9v/y2eHhPSWuJMGjeFUrpE7LmOpYEOd5RZDa23GsLDvLkAugyptqX41XgURumrnAlsihc vRsIbIO/QzLpGkM8uNz/BIbfBVVF7Xwc1JSllEWTXoWdvshMJBHVAEU0ECW4bSeiCjRcWfX8Krhp 3/F4Vs3RySOL84Y3a1RRQbGd7yNcJhLbKCkRGLq8/Ovh+kve8nTi5BlMxEEdDPm1Q/CmXyvJIHnu 1fSVOFyOT5+yyP8ufgJIop3ln9ObjUnTvJAKPFRLN50YpZdnZw/2vemzQXINP35wMUdVH5E8vD1r VYMzAxvc43878NsK3iX8sXFdPvjZynQt2niWBB1lv1TT1Jxq4sGDWFJkmx7IkK47ZwVRSpj5CoGR iIe/IA2Ct7/XopJi7eb2ad4iIRVYh93Wa5eiLi91QZX+slDUzMSyYidc12m3bfj02Et9JH8qmf5x xc0q4zsXSpSrQQA6Jjg8qdAcKYWcMULebPqNhmli1OroEMXu9YtqsaPaZEBTnjbRoBzWgreur7QA kKC/S1D+RyOxdFvyGTYKKyX4Hr/ylrjKlpeV95g/OG2g6e2XKx+38/ZAHC0bAQxuJM7JkRtybXj4 T87XEuLoKnYV7NPJVMV+rDi153ZwcfLFdJVv+3lwB+9dcDC4znPiITt3Wtcob+7tqLg47tNo2gQI t8F1V3Kgis4c4ZL8avjEHlFvc3DpE0gpwAc7QmJdgQcuv7IvmKi3atjHuFiogJTEZ9XGYae0v0wE PD/O7S281A75R0BCLdnGksb0wQI7fwNs36M9ydps28orD/h2soQs3iYJY0cHjABRYMqXdCI+PiYQ YR9mB/UTtcY+QT8ibFtoAU2tYg/tyQK+lacVqLmToSKxbYxXT6wBj8cPUwHel91PGTBkHztCvtAu 1c0XNo/DQtQ3Ggr8r0zu0IK1XEtG8w2RHFliouzNa7n3oM3WWH1gjHw5I99+wTZ8ZdRKsVnCIMQw m3excXJWtLAIodO8cre1vdmO6O78/aujrM4+i2u2mPs0Q4gzpU+n/n3FrZIOF4HycjIhvXJmIHFQ QMhZvrESAo816oj864xPRJg7qxLtGjQTIJZJZuDcgMQdVI4QVuMU8wanjUCReZCeavr/hkpxPBzj lfN6bpcMgl9fvqRNy1Br4UU7+GexQ1Tz7ppEkgYiZYj9PgOU4YjQc0Ndlgf+ItQ+tSyxvZKLNmiv pXPgCxkzqqojUy9Ex1Pbqxa6X6/X39nY21jtc66WHhUANnpzs7SO7++z5x8ok3ZbTJkJZAGseVN5 LmxrYE38T6C3swRfMbu1E2Oihceia+2XvIQh6ujWwAj5pwDTzm3atFce9ZC1tuWU2tHdes9GM6bR KhdIUSNp2fD3wXE2B1tHoadx3gy4epABU2Vq5LiM+ziAgbRrBa7tfR14nFelTR0ZYbBPa2aNUPxd PFTPyU0mXEb0IYadfnBBpTN28LuvGuWuZgv/6YEHmch+XP9x1eEgpzr0hDSzmRrdVGX6MPQlkHZ2 dhDniIvNU+SdTX6PFC+X0aG5Dtj9VRopqWAL4wk3cXsLlXSM0RnXRVdoqrPkGjdrTxrkDkt9yIHG j4cKKnqr2/GUkd/JW+pcv0XycMJ6+CrlIS6s2duuUytASBLm5BE+CvHQtDupZh8g5kaGmLFdbO3r 7GyFzYCRW4j49Xjj7pGBZz/ffC6A6ewmOfrZI2AJB9jGK0M1yofq2aOOpBDC1xjc/KIJ+AEPIPYw QAO+IiflJHJKJ6sPHr9IvRrFQhZ6LcE9dulowIYLCLN4lyvQ6pSKv1pLy/XIu/PCpgfK0b5ZWrVP 91OlSKkydIEOu+Sd4edR4v0AI9ekqsXxXjUmgw1E1RK1ZuiK/jXhYuDMlRUizX0IyZ+bZGrU9P1W dHy7262mWlXMuHxN39EjcjVYcA+D5vXXtYkScIb9j+2m+PjrShO4a1uXrINwps+0g37kbQ7pZBMl ZYc8gcVHnZRJ2F7HbapjzzTWbcWUKXw9+i/nenabXG0ylMZJSDhjxUM8uiCgzugP3foNOKRX3h9h RbdhbihYMjqJVOJIXKfPEwqH+T4YFB9fDc8qiv9GMq4OUjNjK92J7Wd/DsDY7/DJZyJRNnn7jyhA +WJeU1+VIjyR0AaQ/QumZATrfEZxY8gCJELJZP7fcqkni59kQrn3Z+zAek99EaYry0N+bhvkUflL 4B70JP08FtRAk5NoWRIzCuwQN5qNltczGT6JqaIGMJ9KwLJma4RyaD9fYeHQRjb4TsPk8MjCEzGS QslWqW5h4ZZsTLmY6nNKyjG8zm6XpeMdhKuntcY8VJMQTAKbd1q8b+waK0JOdEKyd1B07Th7kRFM ti8+RPpksJ5Jlsg98QC0Pm+6yKdG6oXWvsSks2pZ6vLEwqsooRhyGsai11l6qTzcmeZCGp1fw0od aMMUypQyAo+c3q90N47emfgbob5m7e3JT9L6ltc0XUtJzdR7tbzUg+rpbREVBjiBfD5pOxv7+tPy k/HJdgOvY3RMUJLAYmt7BQpV/HGB+eL5FGWQgALvUv0fkEcU+zCR+cIjWGxPNhg3xz4aSp3A/T3F IuFhVAP4UBbNzLw1GW580DetoFP03LG0g7aOEn+9vyaGDK8QE0aLMB2fGni+inQvaAHpgVPpvURq ebgVx7Z4weIfdSEUc7Qnw0jF0m9rk0qUkFvUQJ92Ngbs2LM5HgYmovOeEgW6vOPgS6tHBRjZJZ5F Xadpt0ssUZrArcW0m6imeIC+18ud8klwUpRen3CKl4lNfbBQyIRxF2aZ3Bffnx2EPKlVdR0LSUfi ClK8eOLCEeWVbh0fm5Xc3BvrUzaozExI5OSJyYnexk8XcqOc+NT+zzSQn7xvxKg48ypcuPBTS8AR 4CU+cQpYtiLB9AOep63cENCz49UNX/r1BUivmgYHzhExGSwHTV112R6gJBGdXN9OCgclxNx/jGMd lUkICOwtA2dkA3q/UJ7BeDlQV7WC6Qazk8vTLIFAjg1gCrcHKNNiHOBJHbdNwHnPvLVGdcWyE2Gs CW0xlORtPQ1Iry6k0o+Gt3SPAiiMrUkWV7SCksGgiAcAXN/674rsH06p4G6fhKFGbfDiqIPV6Fih zexTQgwGfW7mPySlWpyhjLosJKtgvnNZihYnFVfxN1NoHe7Tcf5rxdBRkDXqDlir/yOH6CuN345z zWvs1wc7gAlt0um0q89pDTP0ir5fO/of1wMXmF86Nukrc6vgaRkDzCKQu6dSvfc+yehWh3o/YJ+Q DwxGk4DD4fzqOOQvsVZvci2J9DRxQ3MNOAf5zSnDe5CKz9NVX+xnDIBLzunDGWEJm44t1e2W7rwX mYVbanlvdfOrQBigRleCSKjmJOTFEUU8wfG8WRuHVKSYogRSKMcQ9qrQMOWFMKJLB6n0O9sdEO/S tvLY5PktCRg8hzf9TQQsP22P/Y1sI0YdKpQr955OZAEM1p/wTrf/1CYNM3gVz0BOKN6i7N6SoOZs R5UqlsuFpOO6Vvmrm9AGjjwMomocDkq6O/bQAn9NdZID5rABezRAN6LwmK0zI/L6uQkMykJDYQli y/yqg5mfWHghrl9N2WglAbqBRP+M4aIghTNbqZp1iCsGojhcCgnn0LJZWSx+W7bzwi6h9iYNog1K O0B2udes1agonr+DQCzPEnkLU6qHBqIp/ZdQA/Mns5TdCcmDeUqNJ6CQJu/SWqRdOJL50fv8y3V7 /qdwi/1j7t4+GzrF0gP9xFEZGrXtF+ChZAa2CAtYu45ISjIaXSiuWWeYUNdfTqtNOEQtXVvx96GV 8KwGLaCglK6jutjLEDQ5NkwOCM9Yf/v+AUIZGqI7+S9dj+WzP+dbiTHC0TiOFi96CESRZojkK+hn 7SixO/qnWYU+WnWtsA0WBq5joJxk5XkGDDProEsu7DluqIO0q/HoTa9VfQ1TT0APbZKWmJpd2L9r QzrwEn1Uho16zgXFeeWPXHwz8EV8W3y7De0vMdtOCe7aQTnFCmRat3R3nVYyWxsWHamvKpM964HS td6TwngCQSHOPl4eqvIdOMwA4Lh2UbpXFGLCOTd+1jQ7+Wi/ydanwGFvS8t4LNpOL9oR5bYZ2+XG pAhQO957mgt3eSIZDguyLaFiplPF9P4IfZV/BTS7Njwoe/RtBnnONEbuORsaL2OPBQ8UZVqa+4w3 GVStDAILGwRBEA/rN+xwEYelCPIv3/NL+EV7CDuQ4p1wMQFuyHdsHeXpU3C6vWhno6nr0CX/ekrw sYy5DTPobVtgiM8+ONKOh1cvbwlc79l2TKzmJWyWYL9qwf51pf8FtXWgCABQ96b1FzNumNhRBbxx UsVjcojl2y3mG/QAwxf5WXL6C1xHtEaRgBPpS0WETZ6dnWRyG7cs3LbjH7A+hojs6O8UQjSdYa7a dcL2FgV4bHtCTKV4Q15RtQppz0zxofVE3q+jU86oa/YgJHqOG7Qp2p+iFAQz9Cnv4t+OWBMKlhyh Q9FQ8my/erjRPlDxRlG2rcRXsdTioG44j7tkQJLHE474vCpPaqnfMw8vt1XbHwAL5zyRZF0998mb wcKYlT0r7W8YedSvgGhAW+kkv2O01zpKLh5FkJsPF7lks10C70EiAb7mfzMmAZHDgxBXjR8Hlfob VUuva+C+7re6VK2cIGYivbfFBEMtG7Y3hmPUnb/IJFYvpqy7352G9Drr7yxyoS8dPceNYSGr9mGu uWwSmnS3XgVd+fKFw92feEHKwQRmRaRTarnBnifQjKxo2uY82FxEoNe5nX4jTj8rptHJPOY+gsFk EiJ1mqAzPdiY9tQHmBZCbDXXCYLUq9mvRzR9w3cpXN1KKToGzK03Bdz8M9HQf/2H2A0yfTJWP/I7 pT340cB3mzPwS/2Fh+MoLve18XzUiLJr7jW2f2ogFfuBJPR2BtXduSqLq53WB6tLv2i9KYkfVybn DIfOhe03ClW4/P6SeTITZ210hb24U6tf+g5Be0FjOLqodxdSgpAW0pvoFHcXlUsuoRnrTYgc+u3y R6bRjz7vz4NDxTYvEA+TnO6ji/w3CmwJZfXjA/MdVIlvH2vhwjZxBnU59trLYPNacZlSp6QLuYQ1 DnoA6Y3XNx4Q/m9UugNqe0ELLN+F5M0cvnbopIDzGeBna5SuQXM8oLbqIIwocS1SfiWjTT5QitqA 7hUInV7CI7VnMiSHYulhqXKOOzJtL9+BdZtB6mqTXvKOm42qGLHgrhui5FRFm4EfXdyMhj6S/Lvt jHxS9uMNDBYuCD7gUJy5wlw4JiH94NnEPSYVI3B2k0/i5eh+X5Uffm6f26L0yYWaT+ar0Rc0NxyK bLOEXXT++e1pJQ3Tg8oULfTOiBRoHBDPBRJDKz337WvDvlDozGqYmaDTBNYF/BZy+btdz0cLlbgF F+flsZ9/Epnv2UzFOKsyDM5+rb7v2jIBdOiq1F9/Uw5GGFXZBm7BQkKNeqQxH+MAk444lt28y8MR 3vMTpzdbVYuM9PpRxXamSmqvuNG7QMlUx2SpyCRkMiYeElpOu9Y5lUV7jSV4Si8LZlaAJ46g2Y8i jDrEbqIpKIuXz6cfOfS9t4vvRBORbKMkZbKAKwz4x1++On9gZfTPxTr8kyCXwLyksjffhlfPXQO8 JV5jy7bBGBLgFAYb9g0APCm/s8FA350eFUFwwVCQnwyd8LkwYG1R9+nmR9pYyYMbtS1v/nKdlie2 mN+ft9xb17zDva3wy78t7dcWG61gHaQk0qyy1x9brGCk0ldfdN9Q7BnqAaaD3aEx6v52a05ur3yt lCUf9hfWBHas34WrVomXTefnD0x8B+0sXOVL43MDlONOfF03KSBvPqTaWZuR25jlX3MsnOPi8kfd 1wFOLd5VBeN8gIv9qKTU6EsjSy8Oq+0uhhK6RFjzPRavB2d+URRz3nfrZdgh9oaexDUQY59METkx TmD4iot4oG1m903cQriwbEjIkhGa3M+vzrZT4KNXYh5J81s8UGOWnD1R7LIKMA+a33hovyez7Ce2 FGhwc3xpOIt590XubLxw+q18T++ugl8+BJEssf7JYiiWeP7S460g/r+NMc/RsvO6BW5a//5lefzF ZnWee6kPBwFKcDggEPKKPUFgTf8lxg26Lvae+pZbkb6HEKD6a39XsPfEChIRmLSoirc8vAdGMhEG h/HnoiySL5yFguHY2H0cSSRpBQnjp8xSjrQA+0IMJryH8TwpIXFYwlNcqTtVMEZTabFZOUx84YpH Ddwsbf4uA61YC3asu+IIIS84vRflRrSilFmxqF9cBkfgICvqOHPtIbTdwLMVzeQZqnkZGx3jYPK+ bMvh5G4tQLMK7HbnJPH2VD1NHjEuWgonszFaRP10I3JMGLT+ccFtjhGjmSqaOkXGUC9gOdtXHHx7 3cLeOFVrKCGJX9hBXxNdGKsSLnz0f6vgypPYvm6m+ODax98VVa1+tXMOmvfcT6LariMJ5hVm+SGq TPC+oe2vpx1JcP35osWvExPCmpjF3SAwvJhH0Sdx2T/V5DfeOM0bt9iGH+xL29b/y6Oblt5Zlk6Z 8vix0p+0FkuZ9u532lVV/9thWJRunW8laZoMcDLKfSXMstTm2ApC8k1/6ad/YfSJMth/KQ87C8eD LbvT2x+lgpiAPaKI8/gv25OW6dL1oZcxlOIFA5l5rr85pVLyWTLtqiEDzDMFMjj7AV2i/NZjK920 uVSTRX+zkJBNec9iF/biwzGVvq95oZCHxnn+ViMoTdvg71ISRIqni2xIX8iEcqLYjJ8q32bUhrGP 24vLsCV+CCybxI5MHhENmV9RP5RFIm4bUwuF0JfoWAbrT8jkJy1yxlSMpYsgxTar5Y5o+/4fIKKo wKFDmQBg1BkDpFeIjSAGj6rfdjYeL9Q4zNGgujGWJhJk/lTHHzIoDyoK0EQ6I8JjvPIfrYRJZcB1 mEtuYSQASFltxmSabJHE60Z4Ik1yduEBlnvlZpixh4Nf0I7gk4fK2m2u8UGPZ7XUPGGNFNra0D5F 6vRI5BKB5TV7iX4YEoLC53038d3OlMoYcWWiBc3obc9S5LFA1NFsQaM6WF4wmXOJ6q2iHzxZ5Flq MFPWmRE/gIH+vczjbjyTiiDv2gS81P87iU69pYNtWHOx8iFNFtT/svp7xF+ZRoWSZYlZB0rdhcTP I1TNW1Djq5Hkrj5odpV//AJdnS2g5UM2LhrSjqiC6g60uvUaxCIUuvkr8d2TWqYGx4IqbnSOYPYc YqGij2NQ9i9ziahxIHvmed9brf1iSDu3xnWjo5WPEIq82USgUYYPgTp8a1Sj1TQl0P01rq36euLU 9cxjpw3PoFEEx/6daIBTp3ONBgeBdoVKWPhS3AIEoiWelAkN719OID4jq2GY6axixc1ownUgz4I8 gbJH0BCSzdzdek3IVSPMgjJNnn/Kw4F6NWVolJkXm/0s+hpj4We1yve2JYI3Rw6h/M9PWuqCqqE8 lrTamfU0SjWgkdcHzNXORLHTAUiWXbu9dK9hi3hAt8I0hhcVjBPSGR9lisotMNLFyQNbSQzFpA8z sytBexFm9KJ/1KMqbRX3YfdqR7O4/D9sM8eTmp6mio2JM+A/gUcHcuf7AvB6t+ntuYkAh5oKAwcn HayeUO35X8W++1INEQ1OWxAuAoptM87RFeNPR6ssL5wHlaFQVHuHqU80kGx617jyTHha5M4vsCRn BpcDwXQYEAQpJ5Xqfr5UVTJCVRhiNntauMNZrT9rcyHS/i/9qNeKqm2CAxQThUoqleyM6ZjhJS4F fh2s7qcjXMzyRkx3n3RSyU9PrRKScld/AnbXnPDxAH89z4bvg7l+7f48hgCbYZIoUOSgD7ieiAqa gPd/ilpP9KOFgj9tLPNW8RKNvKuo++0w9t8evLglmy0go/bSs1Gde6WEnu0ksambXGCLoNrLurw8 FsgNOd973jYcV/Wv633hNtnOFJoh+lQrR5speY4WID079gZkIZu9Yttxb4Pbt6oh8xHQty1HLypj +B9nq0S8sFDLyQskb7Y7brr5BTmRU6ecW7U4cTMdNQPNSpDKIKCmo6nIyqEfUJ2REUtYsYRIQpDD bmKx3/kpkj9t4bf9/YTN/GwziszHc3C/64KWhQZQqWrred0Jbon1QcFX3BxuWGimsYajSPEtFFaW OwxipuKzzXuIkgWcArtmntTrDWf+UMz4ZpIWNfhWjJvfx673ZczIFv2+IHdNn4WpFe/LC6v7zG9R u59PGnhGAsYi4P6QDgRzW9c84lyw2sSeV7w0VNpnvQ+KfyrMzt3u0xpYTBCUYVNWZuPxa41XOEWj 1TQirOx5vqgC8DUwlm7zw1pbgJsrDYxVhgBdP+rSL72OFUs5WBa2zRuFpl8uhib4ANnXNye5ysYU 5YRWcBx8/iGqJvuo2HJH1f0wZUBuhJovpLCWFOxChWm2IxqcHnPjI5mGMsL0i35O8TwzO62PoZ99 ENKxSayclhX+vtkOCLwyiszS3zAQZQWdWGkDakQRd7umnFj3r2MwBNg+qIuy42ET4x1m+PknDKRP jXPVMzyZ7X4VpB9OfmoFYAK/2Tcf9diw7wdpxhlj/mt78dMdiaA04sNhaB+m5UeYYl3FKYszsGTZ FT3paayN88Xmj5zcfq4uEn8CjrAfCnODvUIUwqwXfA9YFEPTHeQDrgt5shmDNNkjWUCr3fzJGTaJ WJsEzkDBp4vqezS9cAhYoZrkV9tKdxAmL8zDlZZzzDLevpYhAXO5SxrIrLL4zvLtEek+VyxCOL5m Xf82y7IG7GdX+xq+OLlm0PhwaEQWUNDJdwF5ee2ecYT6Adeh0y0HqWskzQ7xKGtxwCg8Mmq+HcrC RmW5S57w9D/D2O9X5UpyRb+eBpSyI0qUM6ExZqp68l0BD03Daa7ReRKkAZrp1uT1glmEGeHogdpY d1C35sPnY1cQQPmau9YFIyMlH1NkwPxWf01lBYqj4uIrukdxKqvt06SSGNP/LBqoICBVXMIbto5m F+7z4d0HVyFXnOppv1RmaaTqzl0dzrBQIyiXWjsoiqlMfI9KHP0DKwX2WEvxWxWFm2iaHn4ySk2g 7XKdlifnMcsOcZtM5g3v+tAQF05hn/Rrgm+lBQcRb7ubGAkaZsIMB8d7SsDPnK+McW2UyDclNMYc 7xiMAozS6yJG4lGAeHKQN4ocDcOPwUQoeRa3F69Jrcd07R4CFYU+srpZkVfbRgyaNkHnKKnsGbKQ 75kHuaWQlGK54qjpKZvw7roLyf+2vXPAvsx2cisHElcFK7IGh6uXVqpyMh8tyTE7Iqnc+ioNF6po xBuR6yGYvPsqVTwDnb0vhmO64UT+C3WZoZehBpn4Dfg2skpkRdC7b+vXFuxyiD1hBFaLYsoOynEr zKre8uj8RNJpswm67iGKb6yNvit7e1h3jcGndKUHI2ROgUQK8OgXSAf50THDQwuS+OtBBzo1+lo+ t5D2kJ4U1WIoNODr1Ps+jpfDPtzQhgPFnH0aWpNqX1gmx9ZND2v9Nu+/PCa8F2TnhG+yG8nW7syy gMm2C6z6uyk5b4rtilrvULc2j4JhCU6WJC6csXcr28km5CGx2zOfFkEzjTh3OY/NXQrSiQvfIcC+ FM9xJUfegv51KsH9THhb8u8XTAchGWcPjHiAd5+z2EimdmpDYOJ5r9X/C4pc+on13QGGcdrUfdp9 +7p/nb7eoIZbvXdee/ieUKWOzXzXEWE+7i9Bpsy4PXn3ZeZkOa8qthc2/YIqHACSHgLO6CwxuYxh dZHe4gUCyHEuCuUalM9wPkOV9TBpWU9MyCsfoayVssyns5k89bYl2M30euIbvPasNgHFangB0jRj U0ueOa1bO1NSLEMnFWa6fTrJ6Afl+kwuiOTzGd2djNkoXXJvDv2Vz6lhdlKE3tqussbFjnN/i8qd S4j0msFn7mAC+MGeBb5dhR7JVHQlQNOzmzAffSc9iEbs510tzxtgAnuF9WjID/W6XnEdjRoPT6aT H1ns+kOztb1RYXUstZBGwcZ6jwLcLVa0fYgvk4gK5o7P1iqlfeUblHE0Eaz2SKeUdanye0MqMn/P u4mjXecpE69mDmQ7eJkjt0txm/dY30cHiUKKSiybRYLPlvxet6r6Dqrwp3x1wcQL41ht2T4WNLW5 qi9pvIyM1nt7VIUyC5BtPIrvRFnAxR8RtSVh1CumkRS834Gpr7joOvXWykCWpkuffhkLicKDrbWn uvkgos6Ph6cGlBOFq5zW39PEzx+6QKskSmEpd2hy4DAcqxmsi/T99AjQsVHl/Zi4krhMU21iqbc5 M/LZzqZpFFQcn11RjPMewL6daQ/bX+ZMGTGLWrZmG0ar598mJUMTeoS+9XhwX+WHhl5lCqS5nKGp GkyXQ6OReaVDvwgB5tnMXdKe/srVgtrpU4qy2PluDAytu0vGTo04JreLQqFd9g6UA6MJydNett7E t4mVP7lP16Pm1Jpa1yfYobcznxWFXPG0rZ1DkDEuqbIKtsGZi0WiaP/9DXIMWtlz2EoM5AUQSAy2 7KV/Bga8U6CIUJRvWbiMJ3Xo6xXXyb3M4P7M2IjofhhF+SfDSrOu2j16fA5l3WPGAe0lKk+eNryV KWrhpH168KguBQW/Z0eeBrWeu1pHiRtbn2unK2XTKY4S7YThzKrsVMOSBDKtrr9/pjv5n85bjewG KZMbaSM4ApCYvUVRCzYBRXTxfW49n8cd28zkSQtGEG9OdZ3PwzuOqrcXSOS1C2o39A/y8iEToGCO Z5IO82ME1F09DHOQZKe5tMCbVihlYpk21zX/Kx6RqNZG8m7mFUYZ4ayVFz8fTkTewxmOIHTpTJxQ P3LfaPNUhygs06Eu8Wor6oQVM3qFOc2v6Eq9lXB1d1DfQJmdA+sWXq8lWLNZ1949EMvQPhebGKUc lzY+IJuBzqhXyK4bOrX6Zw5No7zVTZiayHaEqog4ATcuoVuFCACQaK/WCkHt8Sz/xXCXPQ18rPdb YC28NytF4P3AtylqP2FinE5itxcuDr7t+fJU/Iz8Mn/7nRj3QR71J4wJmCJYtV1nN1Q2BwbEzzoz sALqzMHjwLcDyO9Tl5DsufZCuLleeU1QcpYLvEG5UL0oMgCs86fN90GLkPAxmixMvFEHFDC7gAPA V8EFBjrR83fVHppwS4LK2/ezlXffKNagdAYPwr/lCNAaFRrR4VxogXnEKBqJ3m2mXKK8ydSiPG2F Vtdy7yJB0x5ALv/FXu6tBSHaKNhmNdjGQ30a3FleCLWtIDkCOG1EE0vap6I1jouQwtwXd9YCNUl+ CBDTU+NGVSH8l/O1nbY6b4wZGSlYcbqQQkHBK9DsYoqnVreNUzBtI+eshCL9ChpB9QvDikOZiyMl oPo9P1Xi+aVV3hjRFC1PM1jvNnF3hEqyMHvg9IxVg58oW+70FSTcTZsYq4nbP2W17RMk12x+8xR+ ieWc403vZh41u0NMBNwKwFjapNXJ69C/3ik6n/yPrNPgjLStk0r7dgqqKbx4Qf2ecQsfSXOaSrqd X5Ip+t0stSma1STDFTSJqpXJQ3MvBBm7x+UXLwebQB26opnB+U5cDtSfg+n/QCisyk7JbUUlPJWX n0wfQUAl3uIS8xYI6pe3dXBrRA45zQBDWvZHe9r6KvGmEGrKvUPq5558gNbUq7EAcsScPrjzEi4A bJrUBk1JhSsnRBzopErMjnOF31HJMCWYA6ERHsyTbv0pzWcVihC1uXSGzA/w/tcQ2nRr4DUw6Sox CGb18ktrPxJVw3RCSNUVD0hqojP9uNLDZuUn59oQ5BtShslddWVHc4bSocWoQMFzaGLYSgxh89we cPKC95ulhILazfChjWt4iSBsWARI1JGO4tLK8Xnf+ttrqPM6WuD5E6oxCV0kRkGn6sPnDzH9BUUI WfkfX119TVp8O2vipZegNmzvQ1+zo0yd50rv1Eftvvhvx5d2eBCHepyMrHyvrkKzP08A5rLfAnsJ LV5oMbzAdQiEQgG2Z6hXCExSJu+NN+1tkBwoJEUb5PtqSk2EYawJGoafbLIoKO6uz1lVYqX248g9 GwI1MQKdG4rzTcyikgdr3EfM7SGj/2ZuTocuq4f5MDyRjwtZyqE/2axPLl3qEoNePxB6pK/TXf++ VptP3LeSQTJYfWmoWRC2Br58SM/gKoPBng+4gu4gx1BUXbBj26lsdfKL55om9zoayYYLtiH2bVmD IlICSvGqfxHxH9CwIcae3hz/T/83TIC5obKmzgC36YtGTuC4sEvKq2wENRLc33e+qdRO9jrWMowv Lc6lcHs1IM4Zh9BlbIhKR+ASwYYAMfbpwVslV7rzvSAzdCUPL5GSqRLAfd4LZpJwrwTBCLst9iYA cFgKw9w3XTY+X95/262Z8qKH0PkJ5w+xXilOfgbtOA3HrvfGnDPnTUijTe6NC7uHCIkZxvjKmYqS r02C8z8GbVjWwqLps33Tgl6t2+R/Hnh9PEzUYoNIGOPPiV09TpNtWKE3+plwQu0yf+qJ59DDQsLu ro7swdIx0HXJmZC7wY6he0VxOqhrdjp3TsaM2t0lwJh46ZxHSeatJIb9IbUspcu6jL76Bcy4e++6 QMZkNG2x4Ys8qzt1hHHkCquVymWdGrdDOLy1RHl2t05lcfDBuBtoz8tyl7TjYJPCdP3IOICFeztl akmlDvKa8ABeYeQMytO9oU9sKeyOtFYX/56Un2k8ap5w7bIC9/QaJ+7A2+N5ceoskcStasFAYg0h pilAo1n0X9zHQNjkQ5XrOVRV2gRl5IBK+374Z9+rn6FPQaIUGGo/54urolgaSpLmSBfm7f5Ayqtw KcEH7mkI7IeSdVu7bSVPhKB5+sXJhpgqI7Y8lIND5GrsRRjNN9ZDLOm5XyPxu7rqNmaw0wXyzWIW mVbTpYadC2CdQwB5gYsDWcSiYpL52aUiJ5ME1uohIhxIyoO4tDCgOM7RCYu3x4HqCJ1+Vr5eqUeQ bEbZ2XM5pmEJPS2q8DBveOjd3aFgcaGJMI3VTU2p0Cf3+961L1tjuDr9HAOQb2+ttQVm4TEhRHbf 1vcg14515enZdHyNPbfoS2ZpEtuSneHfTb/l2hCSUAvU1l0Rfyqp+sDdGKyiZK+vomxTMBKy6abp W119vqtoC9tMvH4oqiXMmk1wREIahahwlRWoVhn3jo+GJ3dSOF9Zrvj+iLseLytce4fDrl2HnpHM XqWVs130zN4tnGGwXwx3ddk+m6E2uui8tqqQCfDcMeE35ugV6qCAtH1C9n9WrvtFglimabU6KlJz E0LwhOyvENAlI/Le9UUGy6BgyJQK6m4/kZoPc0cwCo4If5qUsYGA7YgItyeGR7odNqqFnG6lxiue Dw3AJvZr0zrjxXdhwe2eem4bP71uHiloPer2hxYiiljxD1iR3mnKI8dc1WJaZ9VYcAHg/V+EhY8G +MqMUqzLIAQK0oXK5+ViWaEuwxtFNODMGQWHGC1NXmdsY8fdWfWQy+dcQs3aOJc9GFpZwQY++y5H iwOLxIMyR5D4Om5oi5YEusVVBYBqUH7tLBY5unu++MRULUem5xGleuOGDgSrRJCk2vbmhkRCCU6e 3uwcAi7uiXEPm9acGUJZUSqpvLu9zq1Y/LKdKWLWvc0d8N/xfZcbApn/SsuZIJyt8ZQ7WQK9zeh4 FpR827KvDjtv6tntsn5BEzFG0gbYSm60/b57SYUaHbW9AtaRM2yGOIqPvPBt5MxtIIELafF0MFVn 2C2x1irefZRuTK33fKg6NcIxR5mvVD5pQLjdEx4bi2m4iwq3Hf+eSWBxQBhPGWh1hoXQI9RpUnP3 NO5vofHzRAXSKmNy/cSQf+6nwb4lNmtCVLkmcLUYSMHNdZBk9ou48MCagZSeYPvH+dOVW2M+43JQ PU46Tu/UJUOqlVqjXip3vL8ePQgsgzJyGIAuKd52zB8gapQI9WKou5BxUNO0dT3Cnp8YhoHPygKA GwCnhlDfq9GtLApTy8DHalE3G9h89Hph4qj2nDVF0nomhrp5+lr48I9jgDTTquWrSIleWQ57KaJc 6QtK1cySirTh9FXFlgxS2EtGhZNnY9v6BqYMzjbjGjET08igmZinCCKMwWbxbf8JDg5t8oKgTZ6u 9fQX3DUlgsehUUo7eXfutUKrE8cGBNBTzeK1j6lGTZ9IKkaqUTWM3wUOYXdCi7e8zqBr/94Oh2DG J/7tWVtX1S+NP84B5qLlb50NEhAqdI0h/3eAc7OGpHuda9vvy1k22jAejzjw2dXCDWVvMSD/wVws jvAiUqFhcF6otzBMEWASo2hBmIgbmh7gb2tF2f6OhouYIgmiOKFl0MphfHbeBO/Hx1KvqC2b2WMX CI79Fxwi8+xoBEURg1MnPBFMXzbQwEDPVo4q36oqHi75LaCCFDMcWtxVFztgJ3zfccEXnZElyWS4 fdNgmHnQ9CdfNGY5FWyXzF5pem0DKZ4TSrF29cFjVYgn5tyL6B62CHNfpcMrAMeaPJYncw2tz2LP DScMK7iDFPN7THt5msZ9xfVVnKRR4coujHzNdE4eoh0WCNua2reC6jsRlNeI9AK5Z+G/G8GpGoa2 JfSp0G20LGsOFstw0lemANDTw7mpXNIKNg4qMBohIFJ++N0q8YIqSnofU+NeG/3KTQmCksFB3BqO 9mIio3m3yJdRrp6SRi0wd244+RVxCok5ZTsqjKY/UQas6l26k0Fgodx27AiPPm8dv/0Y658ey48c CczAv958CBnY3vMtO111LDHR3SnG7yYZX3gT6YZeKM/lNc21/kNWCwnK726l8ggT+awOz7Q8bwrt cldtwVMzcOSal6fIVOPqvzhq+4jyefKDXDbsR9tFGJEpYoX1sf14abeuYWCdlHfWnI/+kloBb0ew KVPHBbLTYvCCrr9jnCyiaTgQ/ZPZiK5XT86rifWIkPb0A9EqHJ0YljtrwlGQ/BqPfowH4gPehLhg VF4Dojwcpqyk1Haw2AAmvKfyF0CInqebW6t5pcSvXxNVDK3S7+FbIFnW4ajV8NkRJOUUTBH0o7Cy iQxrP4dm0uov1XL2F4sMGSzkjnSZZVv1/sCgv0oC3iejv8E/De0ueaBQk1p8HrRRZzD7ji7eqx62 TZAb8FG1KrRv/KW8mEfd07xmGRD8HZG5c9sMMs/eR7rrrkPoxlDhbNG27v0MwPg8sb6yI1m21EkR lyeuon1DUKGDvrH4yf8X8WERIlyP/atJUBBhuRHCE+iShhCfLGa+/j1CDnG2zKLj8dhgaHXTEvMy OqIRNU9wAt4bWGAwNG5WccC0gF0yZ3TK6tZhtF1epmmd0QPi2IZXOV1cMAwICFbGPgrY4IhzTuml Fu/fPNZdbVpB/yhrKrzGYUa4K6S9tSv9tUsrMaL7155Jyhuz6YwIC/ib9yzoePqwUeF8zQgphPmB +5HZbs8TLfhP/UYL3ZD1025D7WuePqQrEZ6BEKpsfLjtGu+EbkZc/VbSUltreqbAxmHTFjs0Ixtb 1GWB3h8ZVCJEElz5UKJrg8tucuzKOfF2Ip++NHeLLlbZhm8r8ajkblKlO+xD5zqt3x27rO9Dw4bt GdpwtVPmp2zoxCQye3U5fqybUDkjBm5ywEKYO4/trdkuUQCtu8VBOm6PlKUynHmC5Swo5sbipvlD qIndcC6+tMwfkV+k/7Dc6oeXEj0B39iz8kOMt93fr+4zedRM5ivc4hWYQSHTJWg+7gFEITy5m8y8 wBttzqip5PkI/NG6YWRZdW5uWCQkt4QJeTIA5zg/sxP3ikF5J/poQ/gf/8PdLX0z7EtdlKvNDnE8 MaX5UIQwU2lzbBbBp1/GqSp7qzSrKrt/OyxyPO8theWeSDDg0Ix0uYGtnrCw70IdWtUYhL31RYyg XuElDoipRkWZ+e3/w4lps+oLiGZPZhcczWj0Xrtq/t/nqeAbs+J3Kc1UHxn71/EEbSoB2kpegmAx oG2P1RzGfPAKOXTpRa9DHTm6Dla5UTtk2cx8+CdISS6+sd9xb607wVRNk/dKEvKXm5jFaLi0eYmf Y/TdP2clQxMxJKoWK0Eky+i6vrfsdey3FOg/LRVCF+8S3keh5hi9cW2yfNC2THHjBY+Zjfb6BmXv HLGFjDo/NmXWIDiw9rIO6iETGbHSGGsO1A/unOA/Etm5Gbcev7tvMbQ1TVWiguTaMpn8PjUIB0wJ PIfnPcUnI84YLrnUocW/h/5cyGTobf1rz0z0BQ+W4UUJz3oxsrDO54inxt0/WbH+lQETu7lfczsp EaWj1459pi3UKO9egIpSCemu+IHBvOKWFbyA/46JLTq2B26PMjaWJlo3GpC1FAN46YYwL6VJmoid gj0borWuIyS/BJeQgmk7RvVqmLdgBYxQMkyHx9ajoQ7oe4n6scl3mRWqXsWP+KnNrctB4yup2eHr 3LYiwFkJRdodPRrD1uEZMae3nz04bAONelpIgIqTQ9nx2RsvSbkJX7XT5IbT3xoVZDfghNRQX0at LcGGWIPh26srf5CBobThDYPaayeQzzLEqUul1g/Yfq0HmaTBLuGdvvMQrX/8Y/OxHXcwlc3HBVnG 1jGG6XHp6E4we0nKzxNRkwqs96Dss2Ckj4+BbSk6h7ha3Lc8W0UcK624it1pCLsrn/evNclbcwHH p64cHBT3gsSOCjQdJ+5NLcep1usbxwMT1ze0KsErhohuqUxIhdfach3S+tYizefLMsYLHLdk0qDA cYTXIZPeERrBs1iurRyCggqiUpn6DkvqeA8MdOjyuiWHdpmHDfrihg0PlGTKI/1q/JeuwaC7wzpy GC/XFq/sfgSJ7hPiIYJu87uLDIQcjyPdDRTAH3Ul9mNoI0K/67zYfmt/Zb/SKhrfBqunbWYPKsVh WtAnIgjvPK84tLwx/lomn6LZye85Hl3hvB/zJK2URfDLYFWhB0ms0XkyfaKBPCign2zMiwqHU/mV FUPxDyCQS5tjcQey3nUWNBHMaNUJjSxcj+9hCrtnJ+tfSpTSF1LIOQgRN13dE3eVdJJjOXwf7Kbx /LGwfoAhjnXqvBfPA5kzlrlybXgK+dQlywBbl3BXQYUfu66Tans1l/2fi8C2lYzVuti87jeWLQwn cBqfaCPM42uPmmgjTVAhIRQKi0Lcf2L47ZNQQVxMhu+P0Cu6LNtGi2BHPnOnCUwNN/GKwJD9hGVA +zAUdRpvO7/VygKP6ck9+SNA+uNWKzd80jXPtw7moS89JTRGySpHqpVc4VE2EzQVy87jn/Y5tkHr nVMFaJhrfM0lLgUwHQpjcwGZMtp6/ZeFf5Lmyw1yEvEIXY6+scoGTFY2XWANntBFU0BzdFIAMB1t 6/B/hhY400JwnwRpZ3USTOt5nRIpwNxNoPxKZmvV/MgI/bP7u7Km4qScdi8YsMfN6ugW43eosysI UgqTdoNuFZ5HSdsX0lZbQFEywpa0pwU8yp7px9xXgMaiXRKQ/IFy69JbSBYsefh5A0MHycmtvCtP f752ZYTn3ycblEkniHOcpspNIkL0x8JXjyNQt1LrkQCS8ieCCwQ1p6DMxK/9tFlANXxUXhZCE6BU 9gyLrr9JpkD/YaoOBXTgUGHmbDMRM3Rr9AmGSD5Xm7sHKsJLxyUMuUVT76ac9vqfBWd76MZZQavu 8GMqpQ4LJRABYd5+lwyNvfx4YpQioCCD/0gNsmVJv4248sHw5+focekzd6pKU3Yac/3ThfK0jjNJ bJ8Mbc1znzRM2swZGWhRDyNgai1I/bGFMXhSiS3+btsIdrn+6ci+XnWu3cNJF2G2GO8ZhV4Hi2u5 vSvmIprPfto5hw3pbbc9KRMW1/ncXAmDIPnjSkOUVAdL9F35vbM8v/Agj2/1IytvyldTrAeSFKBU Qo02KVr5t2CSN35LxQvPK/l/X7kW2UFLL11UucChRrSLfuGJseTH86biuQuaO7Ku6tdDT92lUw7t Y5rlAhhEQn2SbVJpElNB6B3E8sLGUEZF8jpLTvbss1qarizYzxD0P3ss8DgrUwMaS6f6wMfJI3sH Tf26TUskayu1OwdXaEizdLa7pWHj4DSnO0SeaUiB34wql7fnimEaLV4TfWNPnC/jMX42kPt78kWk /AqIDAWzYh2+nCaxl0KbF/0io5t0RzukpHg6pOhTr86iON4a3PSi4IKTlfHpuWnQFu5aH+auU42i jGPcwMbrjSmW7K4mdI/LuogEDlwVJfynqh/VFIoZX92gRZDWGN7IDXEHFA7LnpebgQm9fI0gfbw6 7SuCdDduG4MdlpNER9Xj+gCDxuOlbPiKY94Qiadbe6N+RuF5M1KX7LDz+VfLvn+XtMAfkV4YNRHl q6seiFncxIi5iXncmCvIFWA94iFNNJeGI/SRugIXlzsOKGpsTLDd7btJEpY2Hnk3fiK2fVTWmCVQ QwMwqTXikCkVLLf+8LOX8AGu7/FONsMFB7pPHim/Xo3VZKyxevzTXAdgO9hry6uhkDMoHRRPUHVC C8PncWFbbzp7rj6pQiOcMZbm4BL10f99JkKYkL6+r0fHhsH2zcL/qRZVsb8d/ZXMreDm4LyRBw1E yD4ofKhQ1cE+ej/qcfomhSjTxhHL8MmmOHefD8AT0yCzIhxhC+n+riusInIm4lugdlyst+cOK8fZ SWdTG4D4fgnDZMJPrBWztgqxFYnw9NXM+8cIBKIKdtexDhjt9WGXnkU78y1tDQJuFIoCmcH+MIBn uiGwNlFF8DiEnCceu04D8oK6zQ7rp/aQ3IFpoJN/P2IFUCpGW8Id2Vyo1Y4977EYzkiB3Oy+Dq+W f2Wr7ZrShEsWe18mC1+3jyJ8V/dR+/xbcKVsteXOJxKrh9y3jTeryaeTPL0KenaDz4c5kVMO19N2 azW3mjjNPDtzg1cYSME9QtPH98MEA5VcE+ZZZARVutpGe9IK9T2mJdJdUf682LxTJkLvwzPxd0JO 3jBcJSx2d+vIFOtpRbUUmwq7UjGR1+PP6VTvhn45GrzPyHzLzqeSYc4LV3lU83ItdPXHHmREkw5B a4xLO3cmv8cbyCYulVSvizJLxz8sK9qkHP3bjaPCctjJqiSvPv+DLfYj1ABYALPnTkoe7BQWld+O wTexFTv7I+6os6MUKWLGADF0QyyWCDyQfq63eI1RDoPr5INCVpLdw2vIGYNsT5wKLpGx/YgK0paz IhwVXL964zUTXV9WooztW4tR5kr5IqJk6tZY+dQuzindF4b7eruFK4a7ExexV33a1Vf5sBCrgPJX MCfEFYcvWOIsNbAOUjWzoyrzSsR7XLWYqq4OGE0Gxe48DljVh14DjXUFEXHQGOTM7egfLbkSHVXf nPN0ItnxDVZcdhIQW6pUH44J6Vgyu4fmdwCZyuICzRFnsKUZl1GIgjiQauYW+YyC9ZbKfoBP0Sfk z7p80VCfZfraKDihfk0vLSZPH7aue+LV3HxyBSjGegYfKHQqS61ZiQEnaOv4GDv1tUoDxx2E69dQ YFfEc3tjssATlaww2Qk/JOjLR1lUKSQRzvHvuxGCv0zHLiJbHQCW0MLOuil9q3DM/zKeTkxCVIkP uuPuBei/8RcBviSeoofd4FlOlJTSduTdseJS/QMJProvbiBqcUCtdFv3g2tlfy84SXYa/7VNTOrf JEzOEvm4yzDQrRZ9eKE15KyFxLFeCFa8U8nIML8u9oeOUl2rRZd2Hu+ihvBOYA5dkaPuqBhRAl0K dWmti0AbDkU/IQFMLQmlK2XZUfd82Tarwweln3yrMhDT0bnjoojrUKfoF48ZjLX0H12EUKPmvM0T eMcQTeiHREXa7xMUFSsgPrw07c30mTGrY14YvwVyZDCGlr/bx4mWavZcsFvWMA16pX/w7yVN9a7h kC5spFqkAAC8f8HJ0cFnKsb9yr7oOFRjNn2l7MyUOW2hQgslpKzgHH4z3MyYslF4tdtiktom2uxV nmKVRcyYSo8I+aAmOg8tCEnnDz9dgCqxnHlHf+43Z9l87U9YB6Zo7P7KED6Tuk7UlvbHTMOTMH8v UfrHQsGhcgbuTq5/fJa5bbjKEBa6mKQ2bm5tOBaetr4X9ALnM613ZUAaUjt29EArQlyb4GPoTJA/ 1R3jnAfqK2wi3DXo7K2+yA5HSimUA5NxkChx3R0mIhS3YcE7ktpuPnyUg3+n020gaCfMOoPGK3b4 eLJKb7vacW2esBgWO8OMkgr++RNeDivGc3fCPRTxrjumpO94rlFaroDvDBN5lPOdsu95wnIezGN9 eaiIyOJPUMUfVlSaj3wFo1VX4c3UTsxoHgWC3ACStYqCPlo12/wDHzL0+0RXmPEPNb/gFNWKio6w cm1ryk6Zy5e0COFo/trS4j3oCMq5rdiL4wo5Sir89KWb3e2NnK9KZ0AHjVB2+t471q/EApG1UtA1 uCJvpWZGKhuDMnAedb9HhQboJiYXQzDfzI4eSFM0sAPdatAF60NrTbXQxZX4YhXgT3XuMzKfA6ke R6k6SC2YYN4j9IAF6S4+aQzr+rgtnDZIIzweS61Us7jeQ8VP43gFefbrF7fcZtAFZ2eomGVuo7Ck huF56ymSOpN0wlIPNgQLllw//eEEPdwCe0/OJlvrDyTrXTW3/UewgoehnFLl/4ojUbYqNLQLaTli RSJcd2yzP45jpocHm/H4aAcHYpy2CyvpAz10SFYSRmRkLq+XHRI14W8TWBdDGdw/Q/7bkCpgbEBe eZQvKbCJSiyTo85tEoL0COOtLpHVYzq6GRXQWG2EO/E64ecIW2U8OTCuA2RVWfr8gGlaHokz39mv X1N0Mm6j1dPa0SepUFTPR5TgFJkZwCwRy7EFxLKzi4iS2KzJI8WdYSW8RsHoYCkGcC/wDmGZe+0m QmjJp4lc/dpS0NFzucdBzVB78Zvi6WQlKQHpcZQMLxSYgT0NlTsEjfJ89KA3tWIeQq6Qoixk8ZcA xGPXcqZR/Tz5hKNMYXAzkrbLoYB7Up29hOmwhQdCmAxUY0dw/UboS5BL9naOk1cjtfQCSRNrR/oz oD9CuR37zcafXuO0ErC5iKi+XyW0Ph3PiKHATrrCkWUpbsBlKvDwqEbk7hkQpxTTqWN0Pr47hZEX aDzzSskDbl2U4FY0o4umUj4OcGR0e+7ZHlqBwynR7h/7tBXZOtMprez7eP/D99ufP/+UDBIC06E/ fRYYZdVI1CyqqYoWunIfQPgWXpis/atjzuQzb+TzV8+Z2c/jPLhh/0s/6WZqbiZ35RPUrakykdGw Xp0wNb8Ff2uwrSKrropWQggTasuDylyQMaN1RXva/Y9GMe5PCMfchpRG9PDw6a5iNLO1iJT5Q4Kr bld22nNGslSXb6URq+38TT6tXkUdXrN8S+w+iNvF/5hD/xfq7HcgtGIFZpPDVnoK68RlcXH3pkIa vGUuOHKeGFN0lf4uXU7EUuJoeynBLyh7dAG0s+wXJeYtoDuWgW7tEYMPmGA8hK/5z983Rqqkb23i OgYp1ncCjDowv2SKG+PWGEgljLIQ3lHSE/rFjNG44KEH8Gq4HYp2W91LHD1SYs0sMJShtbNQPn3S aUt207KqD0EM8/3HU24flyVsDOpQxny5Le4B1IDQ8M069AbLgoPHZvnTImFynRGb7I5fOWjb2Lz6 hMmHt9pHqxC2nN0TfuByqqxr7J0rWjlalMLDaCrkw/48KNYaW/GdiA2OfMdc2agGozQPFDM4EcJZ MIC8sTFL8PLRM+jWTzwlofyDbi9qUgf0WS15gY3q6faujd3jeKQE9pYu+WgmDoJ8bfRK2/U/aamc yutg3no96FQcvnTGXR4BhcTdsLAmW4gkJVYVDvLZc9U7kTAQ1JD2Y/iUhKMyrCXxLhOMLX0WcF7k eUSZQkgao9j662Gs1p8mG80nfqS1lSrcgDw9BpAY1zmNap66aQnDf7NnJWKVyBLQ18arQPUl1cqM wtMxN+jyVhNyunmwwhEMN/J6bK13n76X8DOhZNjV4TNtlV9cdAHk0ptiRFnOEINf5vpuWa7UfvuM bixS0u11j9jiNqKU26oaBhRg7s6bKRPkHF+nFwIOkZeWTJoqj/ifb8tptqWNITeasKMWdHfhFBY4 jrUkArQsdJq+ucAgGhcJF4ML6Jev4iMtdjJsExDyzVFyIk9tk8atupMFhCzxW+3CtiD860Cu13fe D/vH7Cm2KVI3oV68CsaU1E7Tm+Z5B6qZtbasqN6uOBiXEapyp2uEHBCnVdbkJvK748SySP64wyfn W9IDoUEnOSS9WCa1oSZ7S7XHl/lIlezb16IJk//fclLpJh9WEIsgiuSZXuG+guhHbj+Soju3IKzA 7498jdfOmpKLlbcfmPn1TI4SNxVPhhR2XM9R2l6mEbgvuabsOLEqQAESrfHMccuIZcdZvzGhx+g+ JyyXk3pwsn9fAOLspbEX/NWY8iWy5IcGWKvAsYZxcM6LTdT07iTIuqCJ4gmxFZ3oYGY2Y584Hiu5 vVBC6/H6o6FEf8ki9WKlkIeOf1M1pAF6aVGZ8AznbGwfBJQTz5G+qEgA2UZ8rY3i02IWyThRNDbM gKb9P/1zI8JHo+/ggqe/nq6rhwLCqy9iUA4/SrH4YoqUU8JMickYkMUmTfpd5xIbpS6ydMD5ggss VuV0HDgIQ3KKNS0+hyV+nhr0u19U3zvS2aDBl3FQx6aRDBJXyaf7ZaFcCun7JN6+wy6YaKNuWskm TBB3n8BOc3LvbwLtXfksuZhtj10i9Hs1eT8tBr4nShw3c5+lWiIPM+CzNP7ok8ak75Ktpbdl3Y2m CPV/P8CTpT3NqFkYn5yY8lZSfdjKNmz+iB1EAQjS6uwRSOWSCR2ZnngnESUwyS088c5tii7hk2aB Mcy0ipfAcNkwZebeDjCnHctrrtm0vqhiOACk+YFFlILOVceatTK3sY6KjngslMsc9/m8JLtvxkjH y4GLuTNW1OfLonm3jnOBvtXqnerP2RSYGCpM/ZJnSDSE4cUM4MDqsUeHreuAjVOZMVW0Kjx2U+XY me/i9oEq6FeJyeacebeszIWZJkgAoRd8cRgSceRR88PB2XdjM9SDFr5ECeYWTS2xzSx5CpanV3rP 29cj9yQGVTs7oXEyZZej0CXVUcLDHJb7F1l1gonpEq6p9bSpgl2BZX37GH8ICSzXaZvggge2S/Y2 lUSAWhNz0TUYXggF8iwoWm+fzrnvFas+jGnuV5jDURYFpLRGWKINwXxew2Pkp7FJX77X6UHvNHU+ 12SruWxDL0neTIgJgmm1SBRiCAx/J/70qoxVbtdINTJRu30bGG9jwDaJm7Je3TPDfh2kwCBLT+ex Fd0PZuIE1LgotxuFHiwU7pCGju6bIUSbh+ONDQQv3NkD4eCGiM4/mHwQbut4hVzNVHoN05y8DAsV neRVeBo/GIzoNZWnlDP9mwdqxFvd7xMqBkV+JAmObNWxolDpFH4AmUnycKUtf/YojdG7EbSnBeAb MMNWow9iK7y+U83RR4jis8342+NwgSEdloZkzW2BHqmlwxr1A2CT2iQJJcKNo/xmg9Mmfi8bPP0X 32ppi1e2jrzkAuRz+98xgo2Y7P057qGzC1qcVIG8/Rhhz6YX8rtn3PEYPzGQCQGv8As7jrG/cpj2 9i7KOGddzAvqXTbNKhPkkD5ORBK9SCrYDG+vgX2+z+OBNTW5JWfLVjMw3oFTqRk8xBpgBgwjDPDZ 0my+Hr0o4iYsizzNFXTA+rW0PrDtgU1FjW5YkYxjqjQIg2hiLeWHWx5AVEw/lITvPFr+BGNdVJE+ V85g5mjBQbA1egjYvAc1eTri58Fcy6GJyL9rble+ArAdpgCUdNGdJi2AgWyBUH1NDl5H9rC98cvb Rm9fdQqv3/4/fW8mrxs/uuJOWD/DDBgD32W5ajcNcjgYQkk0EhFTkcjuhVJ2zJ0qSRoGsnBNtVLp gg/SSzHJEVQMKoof09iZ5raLdZ+M18fxP1gni2NeASDqLt3xDODSARWYdOBcv/Oj300pmNcG/RTs inTRIo+Gsch+muyvGQKukldx8pjZaUaDbwO7JTvA3PcBosXneJAlxjxXtVLvtny97OM5t/kTiN+s khvudN7WqnVVX9nzMnImGGBydL4QDUvx65xtnaU/wRJqrH05OH7cCLkuTkzG0VP3R1l+DdSZR180 1O2GC4SiAGqT496H613ShHYKx9elx7x8+xm5em3gysXKK6jXjifCfSk2J8ZlynjbLZgA/8LP4ksQ 4O0oSIvmBtXF5qo73v/hhxuYqgbai7ENcb1SIMg5Te7gMrDtss6o/78rTqM9N8pRAcFM7GfR1uSP IqUuBnce6DeZbshUXoknRv0za6v207D3WtuuUvdqgXpHXFH4nNSY1JoomeFHUEKAlzMvNR51R4+7 wVC8Pn3Px0OqE/X4Gjxu5mM1UCgn/QZRjxED17XF2bBtrlG5NzwtqTouIA/V6WZL61R1Iz8U86BY ryA9zesCwq/3xaZVlK/KNN5pQVtaybuDqoys73Q0uJ1oqws8/jWQlwz+pJjJCggdN4LiaHgLEopN JzdelM1iKCd93SxREViKuEKKkQnI9x0mllqSetn5GT4FEBXvWogyQyoLiBXZyNjsk0J2loosHlxf qKI74PCHm/wFFzWHYuryAZAC4yUcFxM4WlTgWZSdMjty0gcMhAeM89N6X5yb78Lw1eKPLps6lsma A/yKbqllTU7wzSgWqJvDG3X+iCklDc5kdksqpiw0ggXNqMS41RRdpEdXV6GWWdtxF1LrnRuI5bGI GZ17G+uChCKcJb4tiQnhemFsVNnJYm/fARnLZCOEmQ2Cbn+IgbJUhDxCLJmD3nsBU13ZFaAMXkCS Xq90qQq4Aoi1HJwVTxFJrK8RzYoeYfMxjiMBm4OI5kzDoVGoSRqZQyyrcgmwLyVoD+W6WTDuj9q+ EFHYoLVlf7xlRiAbQt4hdf66ZDWTRZ4GuTzHf/vRc9wsJ+F7Q5cM0hT4W1l9fPtETxvZVFIX1cF1 1y/Gz3dBmpahqJ3gvWe/NQSPOBoiiD4jOE/xxHFn/2cddH0ypnwcjrSsb6pRx9iicc2hE0D8+mQu otyn1af/YROXiRFfia4HnBsp43ulB/naA3HMeZnONeYP2Hin7usGaRQlrfWc2pc3RdazQPVED9/7 aILpZyO6X+TXiN4P5rV5E2qPzO+7Jwn27QNWSWCxhLCkLjnt6n1L/h5SikIylu/Yc6q43mr4mF2s 1x9Fadz78WkPtN4LiUHJpuA5sR4a0MWJ6v/EnO7Z6TkBKwuQIJ8xD07vU94xzpXzYw1DstgwZ0/c GfDtWxhrZyGwj/2cR+w+ibQUdA0wFPfir/cvQ/YJu1PhgJCkJ8g5u9LV8cnnBgB1IFMgcI5VdlIj ZYoDHvhgN8KEM3EdmoYaJDLS/FhbaHQWG+6Zq1KMKJMpuOEkdD9T3zoM6XZrr0qQSOf/VrLUIorq yLkdN0m1ZVHJ+hVYrYtXwsG86c9xhjbkKBpyHUdm6doZsMxCRrhLzRgGH+7YwRpRQ8cYulcnwiBC 7fxeug8dACm722ubyEdoSu/XmqDZxAoPXJ8jXxt663uuh8M2BI5HcA8oEqxoJ4dr3JskUz6RDxob rv2pXB6uATKnO7Loqc5upcwk4F9zOQ2Poh0NZlXIB7TlKq55LF1zXGJ0yKfXbUX23GlYCdCfTYW/ BWc8PDLhoJx2Yxj4c3YQ7zqwWNXuHzjPTVKUDMgbXhe6H+QSFNL4kjA+WuitrFmJurpfuBB4b06g DGSlNhnAQk1cYo4lz30cig/Oxj0Mcm1bDCfGvsds03pdroBKt+0Q/ICJ5oFaiUzm38f++ujZAG6V gEtfJxYaK/viTpJu6+bMJE2nCtZGF5WRLZvjStGdhd6jHVgkovC1PWe8FO8x7/DBsiS2dpM3pl8k RtpyZotS+dwMBMk5dHUIOK3FrOEvuQG+Jcys3sKK8QfQlVxgWHy0hDrUwWusbum1al0X0xsa9kCW Fapcm7W/U8y29QLSckFkV0uhHJgDrZMzXqtSOhwv5LLPJBq28Q7cCd+6F4GUbZpWCIT2xtgKP14A J8TwZlX+7U0YpT9XdsBp0lln8Y7hrmAywBNIQEJW5rWRRn65HSUsL+uMo405Xv3keN/RqR9/Ao8x oEdSJ5tbscGodIRUjufJKHlHEzohIJiyBzOgQz9pEdc9poU6El5rYde0ABU8nosxWVWgVNK0kR5L SyPheIQcq+JypTRKrhNRQaMErwkjlV97bSKQ4nKgaZ3h+nxE57+ot5veOWVrT/RI1BLrHSSSfKPG 9G43PheX4ozx/jEX01xe/W4st4duDDago+Jl/p/l9DF3l5eY8f6p1II47JT+s2E6sr2cmcmf05uO SKxcTDUd+27PiqNE3S+FB+4wrWLt5uDOFyWFoX0X0SFncKf2YPKynt2uiUemr2sncD7+YJwdEiFQ NIUs4i6W+miFSEGKNMb++ZEsmHEpKwzErFfdmI2Mt54RR4PsftPsNzIr46rpxGja4b3NafSCdd/h q+i8mJKI33b+EcObicSBf37JZiBsk84rw+2clB54VjE64p+OKcFLGWtlNDEqWp1SNn90hbRkgFKu SlwSKyNByHKtZCplu5ztfs7Cfa10OuCC7J/zjA4Kgv1t6dQBUBRo/EWhn/9gxKF2sffyaQON7Eoa FR3TKR4GPnjO2OKl5p5xOYKD2CGuENFpIj26fhZ6nbEkEJh05emUNiE+s+hPOZq3HnAe2zI/BGJn R821dpjFi5iA+2UDaKxJcAvu9hM99pxmLpJWyf1v/APMAhWGxbe2wfAH2vI/J6hnyQFSrIcVC9Ao tiMfy5axPxfIsffmzRSQVGBeaDUs1vbkMUfeuLhVVdmUj3aHSKv1VikRUcvP7h8fu2GP+vBZuWhw /Vh0eWmZGYTLVs8DPEbw0LBAhWtPmMQoAZw+1yK5muGemDN4piq53p26Xf740dot/C55MZ4nneqd kMNU6po1hPODp8Grr3au6+Ny2lWBrA0QdJ+UODK/nandU7CJtH16N8XtRMjaR+ATkI3YhNNupKOC NLuD7W5+IdVdfXL/UTDBpiJZaurKaL6mkFvbXr8qaclTG9aPfNdyPYOTOwAn9L44vfzqoNWO8v4+ Iebx0LbvA0n/A8qpzkZjACCHiP8ypTavc/4B/E1SoqYIFReiwUBr/iLkkA2rGSMJJAdV9Co5AD6F PByzJ5CxbcFYCndDio6lfrtnPvtxQ/BQKGBbDEbr+1GVlU9bkfQtUPVHNZYQ/hqVqkLo9EIQO8aA Pm0x7itq3cU8mL4OPRmILIUO6egeP2whDnMGE0CqxieqK6UX1qr/Ly4wRiOn1dckco/Vm8GfFcPL YeafT8z7/JVqj8dxuIRIbxBhFPC3TiSgxXz6Jr2orNr8KuCQdUfFExZu6giU8wCHD9pBFzFw4Q5G 3WXbP7BcGsdSp2Hi9H5MIRugumQrY7Fc6lN8wuiD5uKj5ai2PLQtqCvB9YMOc9lOZMW1u0PPY2iz 8iOAjca4f5DesbvP9HqBwa5AwXGeTjMUc8T6xwck90TevedqcMnTHgqxW9TUV7Nvf3c2Kfru4+mg 2ipsNbUqime8NFADdalPnJlYWNOhUyx3JZ4SaViB8BlTC2JgIvtUqjCNZSTnh8vAaaxWrNoKEP/9 bo5VlZ2FkP4AqXbRApnDSPLz7L4vNIFNZsVzH+xzbBFFQdVHi4XVPwubhlfTiuwEUWO1FQsp4ekJ MNN/Owiu4R6F7oa3hqSRdzcfQ17xSy47R8kU6zlvtkM+6XYrt4mig63nygkJK2mkl//IvI4wQ983 QoltoJUaqUJms8Ik86BETSxKV/PonS57EEXD74wtAuwMNkwSoi7BxSAOCMeLbnwCw7kfyMwjeiEM EQjgHvvb2Ok8jp/1mgmGAjEdOTRrPRaqCgEioY8FKI5LOuJ9EOCMaGTOmFxC+ny0wymweAbysJgu bc/tJZd/yjAeJOcoNDr6ylsDHV1maEDxAijaTuXvfiU+0IKZMf3opWkNplGUFbAaMPZk3MG7itEk Bu/GM3JzDihr/QTAgLNXt+93KKs8dH9tZGf9w8/WENr7VelNMRXBdiFxKRdCjtBgG+jey++gjxqs 3gGRrmJcav1Iu0gOYPCQTzew118UkbsZyCTx5bEMr5+WlzXUm7508p9+MjKwEiZo3C/JuSjCkGZz wVHAF9T1iWptUG2b4b9Y0X5jTPIsqNyU/gBSYV2JWNhxWTRTDBfCQ4I9RAPwBzmXpzQhovIDEa7t 2ucRnhLQpHQpKbU+sP2lLYNvrosNU9d3w0Yfp17GpZoMU+0B4W3FZGKbBUQjbh3825e6kJMpOX9f /3Y7ZbMYuHmVs7KOwvXlJExaqnIpkEgmNgFc/l6ZDwWuOTQoXeZHK59Jgchry/c/uNw2/bMfSz8b bgxlAfFSXQnL/CSEYMrG0IOR1nQpCHrX6C2hKEqA9v62zhhU1Zzvbj7jzKPAFw1Aj4at0DK3hHAb qvbmrLuY2/34tEtX6fcYO83acwla65Qwppop/IhDLvyJIctVGFp+xNRa/qnTCYk9mow/ubU9clFc 8mQaVDD2kfFA/+rCw5sWXXGmnLkvNfo/KPXxdXniraDw8Iw9cI7UezImOBkZKm1zRF0HhqwmBDtu bz/FV/EkyrxX/ddxivFBHPgF1MPq6f+hkA6xlLcs21Bo2QhI9Q7ptNHqqrSZQJQwniyMXbr4RhuP MAzZ2+SIqBsPH9phoUPDJrZuvkC/LMr14dx9wv21G9YEP3et8Rf5CaITss6CoiCu0lsqG/S/2Jps OtOM9+wsGBf1l49ZAU8Dg00nEYFoJkwbGwFQpMFRIdz/jf25rV8yOBsAKOyNyKnYKbueTfJCtH8z 0wcPanOcCd3C6XZUcG5TGV0z44CO1SeeWMByP7PH4tJlhef2Hv12m3ZDMIaIZrRpBHiTW9BdUVsh apUnsbTwT/GPocv3KTOlJAhqwa6M83igmWX+cRxizxliQamssuYEdJK5PRz5KLXhVLMab30wGK9t 8/ynJq/FfHq9kbYXyfQpUgWuYjyoRCNn/5OGf3dRFX8lZ4f0q4h/77eCmP3ysoAJEpGGBrZtNb0f 7kjeE0/1cknPq2v/1DCBUMkvw+78BGtDXYQJOMD09yIOIFOmVazhRblzruL2QScnJi9Q9Psq2ktn pSixZ0ebh+KNJzjdcrbPAhTZIKq5CdFsWPyQJjUQ43MVE9TjwC2ZN9LK+6qxHu3YK4iXrlpR0L1C SJ95ZrUbfJzKHD0+PeqeI4R/VgaP3aXsbgdCtC365oBZq+6g+Uv6yBCIho2+iJbYfSoDHCTZ8jkY 5AP44j2OZ6oLfaibue6ofiNPE9uxfEmZYI7PalI+TbJ8e8U794wJZJc+2psKWGN3Kll7eq6afJDP jmXbwQHo2UTK8kI/93yYBKR6xE9An8wD7gUe60O8uIbQ03BvwE0+NXFw81EfiRwowrKb6hLkY1ey 9Pion82TOYRIZ/HhwJeqH6zYv2MUJ6Xa/CiDnWM+nY2Jr+tmfelpjj25gcEKGOrbqWIMqGRNqvIb Tjo6GMgWCT3opiRmpeV6pbsH6kqIpGg7IeZaZTd3AKOIEWbxOMtwVnpiKTHmBvXyaC3YDACqhbtz 91oSeaf5B7SEHXT4ZFUqMSkRoAgPJ6E/IzrRhKOD2IPy9l//UKIe7E4eaoeAjXfOl9ANUuNHIyKy Y7rRmB+55tMonl4ik8FVloAV8QfqS1mJNUoxa3rUeBBGnGTbHXJW4Vk3RWu23QUfKySv+S/xkGx7 sG3wOo6HquUiBk+XA39odvVP9kPOW0/LVfPZi+MnJW3yYW/M3k6TwTgMYaB0oxw5YeFdV0CY5CNA JenomMug+03fTVlbjpGbaJZ0nkg6LmVDMVcE17ZCH0GBGdsefYxO1ZIAjY58nef8tt34yzRn5AlB c9o4M7uijw4n0GAz9kKnyUxyg6dPWaZgd71mRVAkmse9KEeispbQLDSSguRBUjF7GwPthCwbN9+Y XROetyMfRFjy4xDjPaF+idFEVDZPhN1L5EDSY42BdJ3aNb4cn4+HY6U3X53QE78TDf7a5W0qRyJL 2FrRkydRwR2EVnCEs76WW5bOGhyU8obxNGofx2LeRKOms9cGr3Vq44HGP2zPQuDh9z8XDXZD0wWn YQX93sPfnQ6s28gTLXM3jszPxbIUZRfABp6pOBhmQ+f+85blYWqJlRQJTZ5/KrKpFoWg7+FMyU93 yI1nTbIxlmOed5q/iSdq8JeXdQLgd1WIxxPXNcDC0EUQRiRuZK3YwBnlZgyKekjr9PE7vt7peAN1 Q+KufHyoaaDC+Y1tHy3IG5hJOf44H3POisswJ8jlOcyuKFNBZtiQOVYLb0YORmMqwIYcKlJkrsx3 xokgTZE94eGlHopt/Yv7mLzC0d2uBEWIUxRc7St1Bc6p5s1EIRU1K4kKp8iEZkr6Ibjdk2kaxbyU +Q5pshA5tIOm9Z/m4u9Q4FYWa9U5TiA6ifscIgkMUms/LxIFAGo8c2pZ22cqfx4LeL4e3PWOXRNR lxBa9y8wzfb0kEihsWxhpQVplpmYN91KBckLA1PMF72qXzGys3/2No9QRLMD3rP/+5KNsmBSnM18 V0sJt1cITkGE+yQ/LwEeu20G6hviRpt0e1QqZUUUOndK+WoFABD6ySdk4VZzVbPjigp2ynCnGcHT WTSXLiQxekMy6AtF0paKUpGh3YWFQtDcXDuUFyZQzrK4Wlr953KjttuPbXPlnQiUveNIZjGfWRWz +LKh0i6JrIiBYgwCNHbVMM2ny20Rdo6dzk5YMU8qE52Gt80upvUEAx940S4v4irTBz03TQYro+lJ lfoy0u+eo25hNOmR4jT1yN69u0ZSTRUuEUPXOuWYNWGPFe0+UN7aLjWd70jVXvI4DGqJ3YM+dKVB 6A55OxBfD9H5XcN2mtvHzA80M2OhA8mZQYHQWXje8Tzheg65f0M58NIbCYDmHqRIp1l7D+QM0RoS aJwBfW1qJXpxMwWUmNGVZIYIh/uS2011wQZiBIi82cPT26V/5PLNByKASKPA38+DqhmNVnraIALM CHN95MVcdizfOTxAqjoBydoWeKSmBGnbbUDoLvbVYHfPkePf1TXur6qPq0INxA0/CHBkk8anmr5A gx4V3JalfQzAJBbPB8N41KhQiesGhP2LzKI9JElk/5HgQ8nfxFql8ftynPFyDkTPHLUX1CXWaekd qV8cV2pE5LyNbWU8SMKTNdnFQ/Ekl4b4kH45mv33Uc8C+eG/uARDrJuGWHJNWRMnzcomjHTu/KwW EfVPsHFalMdfxJl5jiJKAuGN4RFK6BxhmAY8vGaO2hZ9QUm798qmVlDlHRg9dujFMymYeFEQA5ty x0g/vmQf90zMttG2I69DMTxAaUh6kPifg7fm2IjvPwpCXSIbDcMI1n5wcZ5PG6nGg6MZ25y7G1Dr HO7ZgsSajmM36fbugslhdy7Lofs+bQiVIZ/XlukJGq2Uo3XNBhO0fdZMBsjGtwRtjbuI9jxKGopg moOesyr8q3g5nYtwYryDs/Ldcku49of1E4H4L+43zrt8YXGJpdLgWX4lH7dAJyiYmSNoxmVtoj1t LySxosCuTS2yNgT5AP+Dkt2wNDqsPqJ6bWU6KMehDWIE0KIYGn/DG2c9iOdd1h8LKKqiWzFNBaQ7 tvHIAQ92z8VfIu7CiNOXYZ1t2GWOxSjlDm+yLsSe2thh96JQfEaE0AwArTEphTAKouVpzlyO1qxf V+wCsrhDLV5fi1VjVOn/SafwN33+F9YjhgxjQlbL4B7HD/r6lDAUHama1O5C3P08L5Y2mtkxtCqq gQJ5nf4LJdIUaVLfIv7+2s4S2YOAMFjfmrFaNofvDY9O+Kx2A5Zug58WIMn9sY4gkPulm61JBGB4 zvWeVU674vTXwDwlQMzen2nhZdF3NYSDWNpNOmkhLPLn+EV620Th+NSAiE3gmqOtWY0PbPFfA5zz 4Fkh9L2UztSGg+qYLoa4n3EU1fpqhZIagtmH7Gf2sYXRCJUSR38zJrQ/3+ynD63Ldpim1HLBt1hs cJKx8cEs/JyhnzYipC+YCz0T9rMflGykQRBZfgW4TwPvQ0+W8HkSJyZQcEq+3lJjdTK2zcKClKVf YsQeHEfYAZn/8CiFYSh7cjjP+6okF8YMEMurXe4hbcQprbOnAIEhejEFY4xem3wdWuHbhpEv67Jh KJYPOjHo9O19bKjhVbEF7tjmC6Xw/rTl5S3zkBpxNyqpWORqIWV7mEOkU6HH7/zC8XfocC3l7gIm U0TesQSrTp9rXTy+ga4or+kjvIjv3Va7np1tEXUJRY2lI25p5eRyX+tJJmJroxwKWDHVCYh2hawX uX/nnUTgWwtfekSLeM5v0a1dksq61Wk0PCYjo1gj8+Tncdg19tkCAbogCfOe+c5zedwOAXEErNJM 0MZB4iDcvyKSZJYvjCbfv45CreqY6UkCuk218OWSiPpqXMFo0VWRA6CO/fLo36YcSkJ6pPniUZLy gRPZ/XbD6DXCHeqkmGydt8m4TMhWWWtu6b5zyDpINxJv7UG3dTKlW2WmFzZus5sedGRfRkiVdUdH HTRI8rwZUWR4gt7i6tu4JCVhfupgqel7YxokiiASFyOiWWX6+Sh32eGLqGBGAt12H3w1n7WFxOO6 kgsUs35Ys6J8Ur546vjzZxkFt1Sx2QwQoOc9/z5xavYFJGHx7+NEpw043D3OjWBZOYOL84DUp9Sp L/89tBdFfimvKmWyjfCzyhJaj7knem65HLJ59RTRqfTUCRjeJlWbhBNp2O1fWXH0lAaZnpy78edB eZNjMcuE6zH+3otffGLie58DkrfJaIIUxT2L0PndxaHiYrRyj0b6mW8kH3mIDqHbwyhgZIuYzrBP 63HkntaT/nLfZMHxY9IUezxbUOnZnSO305eNOoYnfPhZcOSLPtvFbdpjSdcZF/8zXCGZMoolOSho fSjEmyC7Zfed+fQQ8zYWmlCWs8oSOg821h6+FKsRwNn93rnhd58PMRJIElxiI0y7LB7UGjwgrzGe j/W1vNRPsUpWxjGwfKbGdERgD7D0olsz4iKkUyjB+sRmI0Dws+rnDG8TEn3YttViQGrgumqTHq5o d1Pqjg3iigoSTd71zMvuDB9FxLi//LzFzCWMppMQWz+cBk4/MmNE9bMB57KTHVf3HJOvLr6fLv+C iwcWNvzdv7+PxnJuKtWHeavI54feG+f/dtfEs9qTzkIKmQU+x94Tes12VMTkhfr8x9Uz9yuponsk zYI2oPpIAREXNC1LAuLTxDQCPAWUmssy+FEGV8QyXMmge86qhd1gdrLiyGxG89AhrP9IHtqYMHKd O0DvzGib2dHk57fZCQWCi8nNf0ED9oNKUTr4zZc4WBc5B7a7fsfQvmDlr/AXMeSZeu2LfNw8vOBe L9PyathDaBoiqWMCpjzEAw0Fl5VP7Mgea6pZScz+0CCYsxRaQky8SQ8YhgY4fs3VcGEMOsDMBqnL PPdwiPCRGK45VS6HmFeSZ+0MyO4kEyKBp3rS2i+g5yL4+C0lFq90FrCcBYabK/qgzL43mw30O20c 3XMOA2YvlzlPRSNChcfS1TGTJuiFVhHrq5mRJD5wW91BbQaMUpWAG62QZ0vhr24sAWGtPI4m2lWu pzwzZcnP8ENhKr977ZqXwmaxImu7Q+6ZtyfcmxTkyF+zOqSDhiTgDrrA4XrI5F+2TiYpxw/pcAFu Q2T3VS31cbFs1ZIerPbUhvuNLQD++/qgzewLULgb9lJw9wdcnqOlw1jGTX0HR2dDDpYTmUp4dUlU LzuXcHo+uQGaaXiu0CT4/dOQa63rPGRfAxTc9RBc7XIy7Mns7Bi6XofCH6Ez6so+p/VFENDrp6jH HjgJHE9xkRfUDVSKrFDbk/sqahqUeH7f4wVpKwfGUXUl7Wr9QGq1cQM1v+l6mqEWIuzoPOQTnG+e gOmS+vb8QqQgQPeTBSRedAhyt4LzhgOKydkPGa+Q4R93OikZKBFMRGvylR/hdinJWgfQ7wp/s4l/ kERRm5tLWD/5wAiUr3V1+a7PMt7LvzbNTa0AJIcjz+1mhs2dIXeF9SZhkxjUMPA1K1rx6zSpvykK 43vyJ6FX5ueBoFToJjTOcIFDsRm4/Dt7ULIpenQGStyOC90oIDyUlAXQqxvkDa08uSADTNcfLdrZ UJKzR9O3JZ9l9USz04WWB4EHPjBMLVA/ZSI710g6z6gWudNrRNT5TWdKTfJuI5vpX/BZzDJrxf+r YE5d6dqN+1HIVqcBHYGvmS1u8JuLnOBE4C3g01JvTV+HClGLO619q//1JG1jXvztempCw/XbbMhZ HtEHPHEH58Ey6QBlZVHUBxMTqc0t5gUGeJ5edvSOLU2nN4Nt88kpT+ckcdL0zSZsEo1Agkvy/26Q mwAM/WJNFv3zuO1OPan3hxHcP3t1/6Iv9478xqwVpe3lW65cSpfMxJ/0Pjvm24PsIXTt3D/1acsK JFOrOZzzxg6sEHu9afO+TivEyq/qigg3HlWblqoMZYPUvVXWoD2t33OGFDpAvl7WuqubvFVcuIRq LXgq15nn5qFGt1QHKy6vvxNlp380PkkKXolpbnP7uRM4SoZPEDtpGlC/uA3BaT4SuDd6f+rpPhsB hqeQk7KSn7g1fk0WSVRoZhThk8l/OK7Ewv7dHFWprHb/l+SGXpiu+YL4yveqOI+bUC80UxNEGVwT 0k/KMTp8ffkBtw9KRw4pFe5tOzruUvxomqCrev9M4aa+OloSFJ50ZYlgcmKxo/uJnPN9glUQr8LB tW4fyfaXMZhVWQ+X0J6Hjqi886zVXtGmEntlHhpoZzw15olxHmHaAmZ7zhP51XqqFod0bCn3TdLB 9rGjXYya+iRytICQBYKwQwFWeFx735L9YXrg60IO+ujcQmmBj2ZgFDHeu6lwitc37rsEkPcCKC0E GcKUh1EGj9+Wi0mEX/RMIOgaiVOdjevAYXF9EX7c105aVN4K3mZVrI2/aqEuj426WnrNljmEh4nr wMGKqukqBsVoUy7OMeAwSTYpgCn8/Rv2uFizgxBj4iVBlMIugWfvy01GwG1/h/q6Z0hrxJH7Iz7P OBDU4aZELXNLmTBctqdR3eZT11Bhl7gFirMiAld9xL5GocTj1lkE/S66jG4zvuby9wWDcjUDxmw/ /uK3PZGszZ4wO7EQcjcbv5FzYwc1xsUSHrXhkwY2APM98VV8VcR0h0X8e3/7KraKL7Zf/c3Vsda2 D360mLe+uWoYSSAEDO5gSalUp25SZbEs5jQzdFiWs/McYkiXD9i8XkGXRYifZD0nu1tJIwhcVLcd Vl/KfjysTsI23BcqlFdSDRXZMQSq1N6OASfQV0Ofey0GBa5aSp12gsVdwc7Ga6JN62QqIbE8Y5GE ChAJh6DJWTG/ILX82JMsLZD6VcmBpVV1IJ50MrLjtcTbwxptF54npCX9DTALMQN2dZWLgQASI5Hk /R0ISJaDEtniY9sz4m8d9xa6+s8ROim771cG6POZhAydlFDO2F9DUwLfrVi8Nwd/+kwnW9ZotqrG Yjw/Z4CpJ1CZ0SuNI4A9MhFkwiRQAfzUi8soGeGk+75fu2xyj6pwQAFZARrfWFDd4/uMW0TJyeuI pIlhUKlUCmf+Ll58Bx2Q1H1RCM3856qm7TnVoyEmy8LeXgq4asWsIHRhIg/Y1dLTLH/4MQJvBhkm 6gFK2TMEn0V+u+N/n5+qTtYwXGp24NiPXu2HS+/rhCPXXB8+uYre6PSKnCpLf384kFJMz2EmsE4W /RjvFxIuXsGQ+4iOvs8R0W6b5kgGc83rMjIuxj+Ame7Dukd0RPMUAu7czhSpctCp/ieiQzsVA/PP wHAVjpmEVHE2LsF0vwGsRgFspjbE57ZeMpgVkvPG0AuDeOiq/oxoGhBISyRN76PeBHVliHU0kyTW CMGZt+VNTw/DR17BkTw/BAq5LbcRnuT6ZsmMIeTHmEj7GbLawRXRNAAAalA0BbAPmx1gkfGnSPox yc1WsAbPn7RUo4BXHomdQsSM16UYbwto+HMWF/vrq0VPC3nyJvKYtLwcdrbtI6l0G2pl/ERESUEK 7dTxCQiPpECVGn6PMqO4CK6gh5BlNKLJ6lAszfM4YlOCSS9pLJPbPC2oVYdn7z+5sbmbKxK1i55p d+zniTtdrgf7mxg85lCgwdJnHI2KZhHfI7YT1IIavA1CcYjMwSvDM0Jk0jog/lklD5x3t4gdL1t5 3my5A9v/WlcdhAV0oeWVueH2LOl6jaNvAM7+VjHzpxjp1YmXFLv7FIDgYjT74d60rfdnbkntd5ph 3/OJTsEYKQW6RbR8YbevHUvcXqXmmVShMgauF0Rq+trmkCtXnEk2bhno9M8YLIgqBR1i/T4qAcSV rcetZyYeoreg7+Ws+3KcvkEpQKqWkG4Iz80N1rzvM2EBpxx9+kwKJsduDlqO5vg3Zqujm0t8uiJ5 qFOsSA/Gq39nPOKSAFtc6bAxHwYDoOsfnP/7pLUPiTjeNixHHq4ULvth7Nw8FUswpiwgmJY5ll0v QdaKoPbwFx1h+DksyA1lJcvGSQtydL08Hb+HtR9E0uaxPnFZivpa3EbPdtf0rAXXviQqrBXQV8ZV VjoCTiI58EJCaXsrA2XJvBpQQspFRzzloU3M9G6VnVY9WaFYIcmP1VLYwafOMe/lJJ6/ZFbTYLw9 L4CYFbg3HEc3SAyVIcHIwZrOmF7FTJAXSUqmZXgGMwY9uGVephuiANNtPsoahWwf7c6luK9A+Bzt 8N2dajiSbHZEReTY9Am1flRYk48UbbSFryuNtp/P9hkzki2OobjnIPTdD2JL6PlLareadKLGagr6 mdaI01HMwaYji1H9qC9fOYWH0GsaQtqfd7ZAjAH9MrUdqxzGucRr/cnRwXXSjz/rq74U+JpXbKWH C3ZrLWPQipDvvpoHUTOkxro4GWyCxCwETA/9qU0erdiBuuaR6aQz5EBfq9AZZAHLPpyPX3TFh3OT X0pV3UutnUbPFp8V2iwPbbCsyOr9FjcCq9Kc47jMXsIkfObHgLAFGS09yacjVUfa6xkTcpThG4ni fC01DFrwmPdiEtbBIut0a6DbXjj7gWbvTQfQrpmsIGlxGbwO9/O6BVsKGSNFZKA6S2b0+eIHsKZy oK8eEazIlVVUSJS9T7DiwxGZubhVeeBbrPZ/48ms3iipLPRjGBDu5IN6/7aWrPS5Oelbx7kwsgiS 9I0unfRxvKk72Bw0Gxh5zLd6IFGTfJfPNNaFFAlSOfyb8QjF5Ne1ewpmF/0nH1VDl0qlvkATXSga VbpbsJp+wErX1uYj180xIH9JZPS8Zy7HwPvl4Qkk1U9yfpVcKGpAIRwJj8km+EsjyoW7aB/Lfm5p XkNQAfFI8FNUlTbFiTHJm5sdncHO7UIlmGM4qWYQNB1ysacLcMTHXsCopAGX9HcZku8wplIC6mbZ XcoQJr09J/hqEkbwWMveGMaeJDpwFG97VsyKTTHFDQjyTj8STulGt1wL7xvtC0X7PV6Oj23Am9Qe yVBs+Eu7+boympAbceVvb1poAsB0J1cTOELzoL1ZnB8C1qM2VC0g2m92QBV55bS8ROCVmGeykH0c 4BWDk1rYAAe1hMRsKGt0Tua5vOHHc6gbhE7uMkNDGbK4grykjyEq9v2G4AGXw4ErLM6LEW5YAOwL qh8UtKJIbvoeG0E9CERecOMT5W4h7wfpjv/Gp3dblmtj+e63zuMuzqtPKzF2r5yZV2Zokap7DdvO spI7DY9qwTkuVlRWJnS3dIKZlcGv1IqMjXIkoEYA/8KnpoDVTla6HeIwWTGB/aZfqNiDw1bn9ssJ /PQg8GK/t/xOfSpC9+oBJrI7Mot7xCff+607lZ/1DXlmgdGEXJwClWZQ1ypYrkBWUSvL+httY4ne oXf+PyDj3B6l56e/DkKS1nV61xYrznwfdvCkb5hdZ6uetl6vbOkhDYrok6883LXJNX3o4BxJXfuo BZOriLmtZcWrH8xXDWWOxjdvJQwQMPK35WQ+3fGGfKz50lg3LeAtkAEP2ThBHtgiOBgicQztRptX S6LaVJVINIg4aZ09zYGPaX5xwr/CjIkH7lzHthE64cV1wAb8u5YYzMK8k3+JFcU/AUsOZfR9gG/l P7ZfxX1b69szTUgia/YZAbi905Mw7tHiYzQiaZ7QFkSiGyVyNYND5p8WXtwJUax8Z1L8cM4V6OtI ObTcRr63B+XJgxx5MANnr0wE4rIQlBQimCVkcamRDeNVIZGKdjkbQXAspQB3KxTWtMzHHR17BsCP q8gtTiwbSZWrvByF2R8z8cilu0W6udRLyiEFoW7JOHK5s/MShKRAZrfL0BRunaiqcsqlUEnO10OF wQVwa6SXZfQEDTKVRill2zc683j7uOii8LcivY1mHgPg/vpmAV19I6KOiNd2lTrN0i8GA0QSo4UC m7eEJxq8CB3VpcclFTqrp0UJwtf2RMe/rpA0ny+tnVwCZ0ziXvl477MQajvjDyb9RFjlqhELy52s oVqZ0+ZCURlDbsvevIxr/InXfTYmMIMdV0CVNadeyyZffCUhmLs8vRgvmIsa8dae+KQ8jhU8zgws zxIsmxv9D/CjOrZyQx2OnXsVT3FjxypCrFtwjb886b185kjE6F2ENlO3uGsFjio7dweLweGWNe2S CWneaL/tfBbCJ/adpeXPh5HlxW2uMpzGnpl83cXBOcn0bn7gxCwnnPYQddfKkEknCcGLli69IHVd l23t174bhaFLEWH8riG4jDP7iRlGScngw89gPxx8CGDQdfZPpyLWavf8Q3gqejdyBgudQVVHsNLS Qv+07QD92t1SSLCeux+RgcdeRcdgtqkbR2BPhH/qE5XQGYWR/0M5+aBpyE6fQtrdxboOidZuh7Vl ZvAaMEGn006UqraBzfwh/yorhtqyHepC5Ixe+gppYpdeQHoH2vxoGErHh3LWbVAaWMosiZAW4TAD gEZsXF0NxRNK95H0lrULqXfT6Vu7eCYLcd5DC5nG5MGEojbjUfvf4wtorQNjitbio57+/DztqMGe zXRzvwTvREXzLpEUnDb0r0PAikIg7xkkVtcpLokgxAMs5dajPGJFjgt656GAbZdErFsUGAY8sFZL tG8TDobtBfrHdWzrxEJp/HAUduYl770dbGnfhk+eO7mtkjt1pWTtrUCk5QMgsYHrYl5VeJS/YR/M bPwxu3kE5Ohe4hc/tH9I1Zbk75JqeRIwaDs6dJjxeMpWYySmmYXDHn2sX8Y84PDb4Wh2C5r6VJPG 1cVhSknBKqcTGGHmdZCjTgwb2eWrvNGKa/WVl2bcjEI2rrmTaR80rM8Zm/5vGladold5jELnv99d n0WH1nqMT/KXwMXdlTI4HQNkPJHqV/w/jSEHPTvvJuuQhwotuUqzGc7E5pMtbh4YwKg1AP59Hl6s GY3mcBlzvH+QV8oWR2vpKyf7SSPkWtUUzODkSI6r5gF6W5IaVanyTgpMphOlrF0AKIrrvE6v72a8 Jr9F7U2x4XmUimeHP+auSaaINs8uTs+q+mb6amHD3bzyTAhf64hneQ/Wz4e7pI1lT6gJtTNNlbsG nmaRDIPgZwhF+eFedlFwcNc/+1SyXboLkmAppzIwnAX51RfiqFz2fnjvj8XjRu6vpd08ml5t7lrq 8J7Vu+BHviYBQOhi7k8ZgP+ZbtQBbGxH63oQ2a4kLZ+lVCe0SD5PZuQLJ8/UQRJtssw14b0acgrf Qyz+U4mGgUC4beuNmm3bz/BWVkqk3hnV8souLSMWfwUN5WZl/7qOySPU487ZouQaJLwe6HtV5c6y TkEhXvb2YTeIiS4H4ThfbwwCOqt5ANWUtMHQvGVb/5SD5KAP1lIouxNfSkayVw7mS7w3mVV3HZzT vQpx53H96CCseNSfToXqTZttOA6naQRvOO7qaShb8NFy0hNlOKj/X2L1DNOxk4KYtegCCgVMvgVQ q1fHiUEUHGdHmi0bzDmLN0lB5XizujEZwPKhKKhj9reYI0CK4yPyPnVH+mSa1p+6te1AnM+N5WXS 6yAbd/+b5gK7pTtoTMYQVqG/V4uxTE49CePA1REdC2yZ/PELnfcJvYXJ0Xg3Z9lbSvvlR+aqJqkh KIR6I7Dd/LwdU0A+b99uDIXLlPOyPk8fXDuoPKccZzIaSC4TnuoT7/1SER8Ssdj3Td+TPIr2pTvP yfPOqwLHugjsFM4h5VsWzLVDriJ9TBOZ+MyQJiRGqcT+mYzBfwJjAn/PWhFc8oJ+Clvd2zMguaR/ hcFIjEkQyXmM9rcEUaZyr9EFyq4/PgdbOd+5IbvObprAJmSAvU5Jx4zR8mrS3Uw2Fr3BadRitlv7 XA3pP73Gmwz+PMivXSAQh1KVOosUABC+qh0sYu3xXhLt4NMLGFzFMUaPLvjypaC/LGDiKB7OumFz fnI5LC2l3DORRd40WvQtR7aoXFJqePXQKRCVoHo8ALeolFn7rzFzKODjO1uU18UiShy1BU5pRNe+ PCduNNI8s4P5NO8BqgFh5d1evLlygyooinr5S2aIVTjRhYp1DVQAVWy/NDUDqGfjcCTQKfNFeG1Z 0jmbzWpyvLXt0VPrYRfrVRgQHW5L6iczeW6xUzrv3mh1yIcWPxqB6srtOsV1manLfavPmqzUzzqR yIE1TACYXq9Aw14+XD1GedGz1KMAxd/DM8wU2j0T/3hcCDwMmIqLSTqI2DAB3gthHvTHRPUeqEdh f5FnHz9qn2t/cWaH9picVz0WJOb0vdKPcWA/bqeQOXRBhSQavwM1EqZRfGvAJHQj4fWxqNVF2HHc cLtg4srUu3Y+/JOpChtVPPTDdz2ltSGEflzkP9TOW7qNAHSzXLWeKFGAl4b5tQiCC+35Ww8oij76 JiPXV+pIQ+ZJ2OoJa0WFzCe539IfOZoHwSxwVJEzSzWEC4LihDvDNJRAPtzu4xIZx19FCUVk4D6B 1rYSItlNXcs64k0ZGRAaW2bityr6qIKYOhxO16tj+bri3N928kBSsKUjSUeSNSUS9qwVf7JK9c8Z EsSxY5xuS/HgwkBkeLtGiSQ4SW8qPFGpVmSAVbt+oq1t1ifgnjLboNpLF56QApfCunhZNxrE9X6q 3J4sdoVqW4zpvdwH1KQ1eLOHDsaEKpOMJ2/oZura5N3a+CxTIv8hD2OIZq4YT9OvfZV63Bsn2Gni /lLDt6NBD03Z/G2EVWOfR4AhJCQJWBBqMmHYhPiKn/CzuxVNi/OxOo/cFGrXniBOa5Uk+Ah/A5DB +a9Nj9wP4YhzGsZ7HlPXRlKyeD83W6xP3vY/0KwWXGycifrrgQO/1xkT5xSBnJvKhL9VceD7ws+A BRn52zXJQNT2j/E+zIr0OGOT6AXtf4CFsAG9ft1IgB/6caefvgSohEZtmUfOHxhEey/YkPtThFCp NzHY6NpAakTEg5vgMaTTTSQe/ZQOEcpd0vKiU8u2S0Jq+UsROOhpPPWCBkc3kI0KqGfCphO5rrfL ppPIaRD/wnq5bIf7vchKgYwXLxAhdqfipzOfPZjchBtIlmq6WOpcRkaVbP2nEU2FvfxBCQn6ehOa yYwBXOAZRPcsbii6hrlablvuI1DQL2HnJvKUEOt4beUS4wckKZy9xbxuR2mcPsBlM6BOs1LxxYnD bVpTcY+BBH2ZMefeJCebn60t2xuv9unvH8awdWyYy+9kVs3S4S+3Kvg0tYj3pMuIF3msUlV4WIt/ UXlrYCsc/gSm2mBpfUQHbZaZnrslLHeYJHZWktrVR2J+nlhSWEAbq1Mv5fR0S8kjpzsmauYgc7Ts saB2VkV0/j62mpuvPiVx2MR26jnrSdTlel6CtvkVqjLY34wj/9GCKczDCK/eLGPHMd3cE7/UpwGF 72Dw71mgPJh49+V825RUySDg578vYO4wNwJDIUV+3Ee/BplWRWmpJSZAu+0r9TrdGFn9mODMgZF2 YCa+GPlQRMAleHJ3eERgKlni1SWJAKwcYwrg/TNXA7eMvgNtm3EfH/DgWr5s8bLkPsqVKNk5DBuo /jKuxq5aqkOURDDLEinHCfY2k+kOxQcRK0gfVV79tbpXo18k2os74Qgro1HAtBiISWThtKHO23oD jUhwUeJpZgQvpAIWaRM4t/3sMYqWkqk+AxAlExCDKtynGtT7Qag3zoKgS+Rvh/9VMpPe9KYzX/tW uO3HJ1LLH8cCffH0fQpLsZxGr7p3v0mXdEd6Gp+1K22hIuaXbNsf+VckrWcaYipJam0QB7EyiXyy yvGRWBikLzl7aBNq43qMDvx8zY0PjEUTL1QUgWNiskCqksN2eKsgsv0htcHmpANhsHqg3Jx6VVYN KQ1bGSfxhoSaxyoX/QAM9leJY3uJEZltk+G08BgYj6WvZned8YrUYdtFc7saB8YmOX3gjVoyKMZb OQnH1i4RcmjV4gIWc2VrhV7a9CVA0b5yqi6OokpBzx+Zj531XR09zwJJj6G/8/Z6gdxKNG/lhPkJ l17H8fQrZj9ai6+0/zusB0A+Pgev38sVNNUyKiH5q4T7Ep6o9GvqRJJ7dJwaXqxc5mFBbsvsBn+f y34T0xLcrm4cZs7QloaMZ5ageEeordTvHcoIgKO8B2FVzzqIHDLnkSCpbQpDL1YCExjJNm0Zaj9D AbOgoVu98X6YVamONppOVdfQQzFDlDajqMEKv02d94zzHPflQ6PnNHr2XT5XLqWO8pttOLj91nMR p0VAXLSr9/DhdAEDK9CoPcKQoUtp1+wNdl9V5OAoQFPZ/Zfbn+h6sEGwN2sYb391E8E1t6I5JKVe JKDuV57FS0PjbzY66F0rVUIITgFHU68ri9OkSOvmvvphP9QLzKdn413A+Pztfg4rRVW1tIGEuV3O 5ryYjXqSYMuxVgDOBkElNyQ1Gustsd7HamS/mr7GnI9IWqVGs4zKRZ3qp04r5/v65T/PYhwam4H2 M0i8nFSTqR1nMm+nxc/cL+F7lnvVDeQpLgRjRP/GZFe5HrjZpXv11Ihpw1JyXQuzDemSfNe2kHAN 3KqOudYSC3r318q3yS8U2JahKiCYtldd4tzWOyKDFRndg7WqZp/19CDLtUNMhw2K1fKaz1Y0RSpd jzqQ+3XvBoHrO6GCThq4HvCGm5Qsb6fjT6M0bkuodPMDxrryuU7z8I6jq42GSaK7USBFs/5Tebns B/qeziQ6O93YaqRTZXkPRn7pO9oLjtkZApuX3Sg2lxgNKK/1rFWUG2Awsd3tzsf691V+nO4jr2LY 2866emjycYoAkJ0OhoDL7x0IY+3LWMzTQsVbL5+x/tIUNHKoyr6DKJM3EBhuMiB2GY+nhlcTV0QN 8DYTxC+V7WsK8N5jJcPznzAcFwXAPaBDX+qyPBKRC0n6BljtiykSYzFisFDGB6dTiY4AKU1wU2NU ZBfSVTeLwokI8gBaqiAEHCyHA6BjhvIpcxjD4vBsPlcSsR8r0oIIpu3JGWif9LLXv0tGjZ8Vf5Ek Tv63rP1vcRTm6hp7KngEIOKbFxwywJE6bgHzZqBaNAeFXMrokJQMlOYbeJTbK09euSnycoVNKGCB l8F3sXQ7+PObLB+/QTrhqTYsDmrWd1RTZtqr63Yxo7XWVQIblWAHJ02Ef5vRcX6EHjlAzHgbDD/n bR99R94/RAKpg7FAkaX3LDR7JpMSo0pqsIkrGE/GSXohSerVFst53dIVF2ISLZ6GW8KJEIVfsYA1 7fo2YEZYvCN5/bDYWn2hWvy7BLsnJMx050jwall8iamGzW/9kKb9iYVia6mbKDljuUdh2tiHGxkg lebr04T+YK/ihFS3loC2/aqzjvrs6eYmH9URncpkreJZAZOP1MSBBqzw82ZJvfyHexFmy+R1i7kP 8LZSvRthUNTC0TTZ4IQisUCo8p/ORCCGy7cG6jpyhlXSsIjbVdRJe4Q8SOTYvIr7WM5ETxT3ogve EQXpr4wbSDcdLrsWDzieYsw5273pFtENPKCqBVOR/5IYKHqfOu3g3ZKvzCgfnbxe9G84LEJ5GIpQ dGnNQn0jHBI5wVQxP5ARLm9Op/j2hQoudq5BmpCMZEkI5TE3O2h3tL4mRyO8/ERpylO3PssFWYVK aCE6UrjwTeKnGlLqXdTRXP+MwThT7t/5lZs6swG+8yDTeBDHbh/pqVM/+2V13YpvJHqWS/rzr2qc vghIW7Qhv53jT35bo5TYvvloaSFCMAHSOHam4y0/cAWK8iFN3XVLY+bes1FnzvBL6ALHNIzlG6S9 qFNIvEKzw+8yQR/3buCVVrcQCZWWtSwc0TM84EwMrSlmLZCImAf3XVFKNuam+3hUSd6JVyqg1jli FaC9VDSclwoT7gTCSFgLBM9ERlrRkXNSsnThgutvYvzxI96UqI9rHAdcTb2lIlCrTAD46q6PE+0U JijOeF0uxh6GcoNRQmBl+kmVdpHq0xphN0lO85awAmhXW0w4JI65N2rcY2HG7FUTs/WeExkP5PAX tWldlnpCqFmMz+jfSwXPS99iw5edXzC/YzqfzOY+198kQtmCrpZTt7GUf3uNGHl1sE5Lfp4zdBR+ 7E+8FNSLX0jQOrq44yNMBETOQhAknVXh/58rCDnJHhckRt83r8xD7PGbxR4oj8DXBc916hDFevTv lj2fCpNa0Y7dXqMCgEu1jRMUD2PxkY4xyO0xg59vSVMSvldCkCAgvUN01PaEWeUG+Y8Kg39WArVF MtKFjlVpIE3FXEg5Oj+xa5XAhucTOcnPn0d4QUDG/Oae9qvOMc+CpbyLs6L2Lzy/Y74Qi4VIuXQ0 2WnEosIIHxWaPp1ZpKcLIdLaPBsJcyQbLU8MX7TH+Z7v5at27RJLEa2ALfp6EyL+K6tWmYDCme9d XYy1LGx3iuJHPPX67Tu0hpqYgdvrZgRiJvs1C7ckPMkFA+BtDmLJNLhyI5AOgnerrs93JS4nFzNF 553lkzRCF1Uv0biwBTG/Kh6WRIu3+pEvz+qCB/GxuRMO2aITRvTtzJD29iFOGi5Xi781zylXnvC2 pN4uVPbsndiu1Q+OZ85aHoeBKHiIVer9AgNthXLlLQtAscxApLOq2OpJnshlmm7jGjHwgLmupit2 kswAgyW+7FER1bhm8BWAQ7s+tkEbqR43dd2VQqd/+iT61t9PnhWYsktgCCpY77pPwBnAPY8Rg5F2 U5TdQotbOv+kTdLwH3kkH/q0GHMUb50fYcQVXXLEpKI7o9sXUQMjSM78RKjThCyJt6gREpjjz+j+ CAl71H+TYbHEM5+/cl9LH2Vz/WjsxCS1DhYZ1dHN24jCGIvwj1TyUd2fkRdYL06YqFfr3j+nlSOV 55TTKHX6U769D4bFY8w1AEiA9vjCbVFRLRNBNSvBhjUuF9I5/XJWPzS+4EyIbX7qB1DEiP5KY3Ff Loca5kyL+Mud2Tp72pN+kON8d+SMM0d3zGoHqXSvgy7YnBXEg3nxy7i/fMDcUSydfGAnLTcdEz1i wYiddIWbTv94ItTB6GCXcokiqNtssG7IZrT82OdVYqvXULhO85RSlicN+N+r4p4S/C/nVGJIzwEF JRNSm6Ivb93veemjmdp4dhiSlnNcq+p7lAxAaPO2n8dd1Ze8QsV/b5EHrX+4nAvm9S7sJVhYlWfo wLcCWrfoLST4BBVv+XkgGmEYqaC9fRmCrwHFdWzfc3AvZz5E35gl7B58RTv03nikImDGs87I6GUP syQESiVDtnpzf8cVVAFtk2lFHLFRFVdmUJatKEne6dW/Rv1pMCHnpM8E7bHTvRbUy4hFsuiX+EuY 7hr9Sftu3TdBpGBvwmW6u7AQobZangrjOkdmfg3rRSrqqSG+nexT0cpd1oU4T7/9RFpLBNpMgwxr 0IAaPP+gmjWLKaVKPUlaQ8uld3pmj8ZAzPesmSXh8HTyD5So2VQne5YH8yRW03cJc9DiJPO6dYi2 etS1BUcqCeiCswi2IiCo6WBFJeBQCKt04V4/55AdUQFDjZQ1gIhgRXHbwK9afc7XbJpsWJKttHD4 KNnBIzEODv53tcJ+iABmN84KN+a/rsfmG9Es13emPv7QtMx9KtRRwLEh2VDG/Di6RqB72EEHrzy2 tbw6WqovdgDW8MmABq/xoNl2EeRg7DAeiDEC47O3HixFPa5vfi295APdQYHZ/kGE/SlenrpWlFM0 ooM02whfSpEXYnkulZMn84oJ2HyfIgERSzkcjR3R1eT+opRcYJqEOtch1ONzE/EwLcd7LSdm5Y0w ZbRbc9FlU5HAWinNEyT8rBY0QU6XOgXnFteN38BRxp/PrYeNhIHvtS3k0DH3y5+YW3gbPoiS+Ntu ktUloPvFzq4RZUv8nt47gTySvqZP4vxnCzb/jR1WpfbTJYTspWa8DRWcS5zaNxOy+D3FS2atLmKT eL4Ckm0mBAS3rArBU/JCQnpu9GU8MW8tjo4kgIMf/vvtgeL0Zc4De9k8JbKGID7naUeWO46RtPDu zUGNRtu4Ypl0beoyZIE4b+afpse4Kips2jwrBWasUvmvcuhEYaLfRDiL6YffUT0kFKBDnueX2KJE lIQU4pxTCeJiwaRy3d/GO13IY1FNG7etb9sl8xiKamJA8BvMLG5hatBWsnjI5kKULCbvO7tj+4vs mtZfdXIETi+L09hNoG3QdztEntnRB/FdO52udp/Sw/AhkkG/htOolq1Y11DaDMwDPZ+h9y/8FCsh /lS5TgQvKaeQDQvCdvU/DPJdjKiwHzgjCTQX0M5vuzKLep4a8ZJkW//NmGIeVr7VSMZNKZgupB+a wgLsv3rbNCbAHTdGrtsUtr5pRoGb7X+4M1mDXy6zHpQOfB54bvo1aSjXbg55Y5BVvVgysOhr75nQ +zP5Am226Ndm8MmtDUSuRu3tdCJzXq6rr9Qe19/Bz8WqeKFeULWA6u6WK/WCTozeyLuAxMbf7e7H aoWhbo//X9lK6VTl8Y2wDrMFH/8zCho95SBEs9WC30wtFdShN7l5BgiLZVLT564FZzQOdJT90QLR rE2phsADzJFYKvVOFWHPkd9nCecdKtnpdPSRl8xQjbS1rVWT2wywXRQ+tzZMAp1hrAwR3z/2LeQ5 vd/FPzBn2CD9VW/I5ON+J4aZqRPShGulUTwVgf4XF1Uhd8OWrgRk7CCHrnIy/2SC+W/PfvF72A0o JMJ83T9660rbCMXIXxBW2U9iQVSc4VyJxTcZ+jdXXf7f6M/y2AcjufIpOE3ivwSew29NvSQM1IoE R1/9WKtALYye3Wr4nsv6S8u9WdPgFdl0CdN2239h46+d98JD8DuUnjrl4AZlkqErOSOIyLB6dixJ EdTv220QC4cgqpr+M2ixHrZfnMzZ5z6TQMsfA1VjPkp7HttZEMBmWcWsxrHhqd1xzmzTnqxCqzaV a1d9MITzY0kU8ed/AqAj/lRkHjwf7Dgv3LgXqlFNY/7RGPnIK6LeA7z0CnJH+X0fQR9MjHDNQNAG +OsIMIWqGaxieez7YPWJ6N08i8DXfYihrVQxyJ4z2EbEmC3AqQer8zIy5PbaFCuwIIehDwHXs7zY NzUoMpreIQHFs8oGcQ9cRKEk5MS6Nbiu9p4xZOV/D8lnuLSLdgiUvw2XLlCni66J7MFtAH1KmNgo BbquM4v8jUk9Vx2BxFYECbM6Ca2wqHcROwKanSlVkjp/xVdh/aVnyv79d4aVLWGt5fhMNjs5WkMw nTxkdcPhivWQvtlJbwgLk5FXpyiSsoX2Mac1IalZ7AboylFu5WcC86kWTF6fM8B3NwCRH6h73AnT rfg91MrZrPfdtst9fCPLLYAwD8btPsEubxZ6HWewHGeiNQT7VS2eFaZp96NAdqSC9fwk1P2W2QXJ Q53jeMKpeI4WPOUPvo4ItrpEwuL/ymFXCju4YylbuXfql1XHVq5L43dGG4Q+snKW4iTBzJqQbYmX NwmijfikjZkEDNMhMWQtAn5axlIFEehmh4VV3X4KucGFY0Q2N/EcVDmX+g8ku/agjDuMcRez+ngb OHxbz8bD1Dn1K3OQt5nFWPcyEvWd/paPSORe32JYnd/mc+0yDp3AGjvLopFXLgys5GiTKNHE18NT Xnx1jDgAp/zixkS/eliXG4h6/LimC2O8QGcUlhALtz8jIEwz/iejsFKxDxuDcDTC1ecrVpbdaFL1 ff3q+Qx4QrqO11zfq2ujrFZzBXT9T62LX9/zvvubanARYqsnBir9et9vGRslbT99QuCc+elscwvD HD/5j1F8Kt4Li/UuXwu7fe9me0YEkmgXkRkxjERs1EUdE32XUdpz0hOwt+kjcG9e8Mej+7FVCu5o ltTqCmY469zjWyT3OhcSzlMaCmKq1zvPvqi0uvOckevFBJO2Wh2TSE4huQgdjabKxAu5fLzuHWm6 0YHHadeFxJsAIu5SEyAXUKbUN7mAJibs5iy619VIZlcf36clF/jg8sBrH05PpNVIk9sO3vhKPbYG jijwrXrNSnqFzQcXrbESOdq/PxtjXWMJN379VtwUtqqUgbDUIvTl9wEJtybjvNcrVbvtx41dfC9A UH4dxBEyFmcjtOXSwhVKw14iWDpEoqjx3kdCnh9+omMPhHf7zDRdjUwwUKO2AyA7XZlV0YkvAm5W +Q42Sy4SFiW5Sn86Bjin59V8PptydCQXceoJODFgQFBzz1TmtFQGo4VP8/SSVziCaZ6iPPFM6+I4 uAcLtjlGYVTCw6inQcWzosXEBju0s3y6Ame5arAvDPxPUXnwNGqOvD/8Irs/uaMo74K5aFmMHodb mzsKETURj8G1fJf8cMFzDk6aOiUC3fywy1MEu6cwFey42ctUsNdkkFoH0vReVJW2mwY2oOAjQOHA G5My1KMO+eoNnjNPpS/EMR4A0xMl1k4UYVpuQDCCJgvF7sgqyz/zwogSSpqFXKp6bCpEMpFsmhnU fWvhyJa16Oq8WTPb9+gWrH59xnRiSQJ0sf8kvQ3QgkCZM3S68In+FuFIF4//sWbj2jedCEjBDQ4r /waJmNRkwCzM5Rrt2f0YODFAt/DlH/TrOWVIzcWFc6UPvleCGDkmtlGr16kjf/y8zdrlc2JrdckR T4uUSA5KYwSn5JxB5wXi80pdX4Tj7z+DlJA9/ODaLhezlvm/b0eBLrWYOZvcMhEnAkpSl/GgHZB1 lFbkUgjt/7S2v5F2KaTYqOBeIhMvJM75CWjB7N7UAicrIMX3G07sTJopNJbtYbwwHQQQhSn2CXbe LtvYK+9nnrvJPrbz7IPgWE30gfHGy0eSWusiJRKKa4KnADhM/z2KFVDY5jbVbfIubWY0k+ZL0Bbp McF7ncRcXdsP1Fk+IyYOvoO5IvxAyC9+LE31TI07IPwQBtm3DhRzDJTaZHbqT5NIRHIhfAwHdt8+ ZhPO56RzKKYkcTLb4DpGj28kYqpf05bkIWpK85Pda71UxC1T8CmiufNC3Y/VMvP0Grs8lTHD6j83 3KLjgHdDfB2bVDqoHbWUnt+8vO7JiNUEnykqeSTO9ANrLOAi6eF2sNfDj8ydRoO05RRwBRTJFfBZ phXLtPdxlJCzhnw03L0kEehH15PRBkz5P7Cj4RWzUboUu5J9IpmiZeu81GSC2KlTi/D9aL4i3pZT feszXQvT84kAx0yt6M68sAooKe2Hv1x980aIEQdaC4hGj1cVeJyp8L2RV90s3qchwJp9HZZPLVv5 MzLwWk79ZRDwvvhjm26hPAPSzo2nUH3oXHsPucC6S/Ro6G1oQTEiV4NtrU4dzupf97maSy3rOK/I Z3gP2M5Oigti11N5juZya9mNX2HtUMGnVU8nRqlLmAkA5ZIk/gQenlb4b6R2JV/RPnyCUZIXj54O 1FCnFeH7jY0dpDm60lazD7d481Vv6kOWe/FKYe2yLv+ieUxKwfNwD1se5I+9TKEHXfXQ9qRFgNxr u3TGxrhACwks4NdoupenH+8FclycIjSwUS1WjjVuwcwgOtvPDplAaUEMnMZTQZsQIWWIXiyCMCNx eWkovM4c4OKyCDtdFYF40oyHhRIFLNx0K+NoMyLKyIvnfnohtzAoBDMj0Yp2KJpf9jU1KPpLucjI MtyLFizmGpv0rVkvEuH+XVJNhwCt3kIpB8HOW19CXQPCCt7gLAd+thF4zm2eOcc2/mWSmMox3YdX Kp/hVO2w+NhwXK7Jnc9yd/SJ9eVFR00utR6J6mcfQBf5F+C1+IlBWrJF3PQgEqIcnSokQlt5sE5n 8PxxB34ooBIXRHCKM33s60Kj6SlNzyTQ+8qyDGLnokswB+woBQplCx3JlFTDBGhtmkAWO5z2xUzM R4iHZiLcJSrXbfVWBvpmJ2sSjcY7vGrzV1aZuHhKvQNlrZPfqgXKsL+t5xSjxHKh9Lt2Lw/pBYkt FcaoNrNngO3fhFDa2sipvsvIS2ZIqkdlE+HmzRV0X2UwmcEWKKrtU3GuG+fGpC2JiLpwtXZFN7lJ zgSKZguzcVk0p7Sr9QXF0ikMUlYMYvl0PoHKpmcne05bQyWFA9idf0gIUbBY2cTjW6Qh6eO/AVt2 7cfmIdd10lv2Unr41TG8A/RI8F8uw9mFJR/jii/EVkvGgnWHK4bo9J7LJZbnnlxuFOHrF1RGLO+8 8y4ENG+Qe8gQppDhJKhh4rF2wisOl/vg659YoxkB9bfHfOhR/jTaYEbkwRmzoafplVXMdbmMm+1d G0cnM5rR/5dl1kmwYfaHzeA8AREldtGAtXmC731GqIYIm553Ef9iQuRa2FME6TW2kXuWlr0WFvih 3Giy4ERPz5Rryx8YjipAa8z8BzPOBhkztF02hSNLrVGV0TeFQijfZSaWaTLUibgLm0X9JvbNwRUT YwIc6qSRSlM6MUCNLkgWd8HqdzXAPfzT6S4Ffj5B/wqAe3sd3cK7s+BUoTw8eJYE6/jQ+1aquQ2k i/pOOK7iib3W9B+OZFuOSHuoQ2Z5McNqJceCGKnKKyjItf9/Kb0QZqS/4rdQEaqmK+LPHVzBQpgU gRmJ+RDLy8uHJ37XfZU3pd8mIX4Ch0LGJRyG5Gnq+Hi9cX9gpjixsxn9Fz6l3zJ7eeWWvXPwhaxK w9PC8cet6sdeXCiSvPyL64cbm+v4XsV9MS5TAjDc8SKBoVwU2g7aZLvEnygQ7sCI0lrMwI0NnM9o 0B1XKaUpksBgYW4LHFB0lhq1GOkWhPJCHd50PSFbkrHpbGpFaMm2CEWN/Pz2HIVVgDvyGe4G4CoK po36QEiW6f8fuZ7rjgO2G4XQvVQdIzSh7fud6cY8wwbaJQdngLlc/36sJuHckRgg+6JJWF8FJbJe /RZ959EUHvs7lAabUEXfa7QxyHqV4Ts3Trm8Gl6uNrKqPuzvRDL+RPf8MKU9BhQK/5Q8dAs6lrHs CFIqvjgwh6vXqesvmdQ/IXcu66I/wBiT4NjkZbx/cZIBBfkBNByjCTi2x9NULaB1kKXSGWT0iE9L GeP3Jg/yKsGLZ53y/5IhEcCywXk2O/C0+pI+4Wnvji/m0MzfXgclZfaUzEwnCKmF+swgunL8iHsB Oqg8gUvYBeW41cOr+s7HIyfBJwxw60MGkTbzLzIo4JKj4aBCvktp+OdKXkx/ciCZKjA0aGreobpH 8ScQf66X/+sm8jF44/pMnuspUm5nqOTEaMtp1o6jvGHK7ibxm3hyYnsmPDK5Ze0UpdLtqjdjhi8G qJLIJEvHR5AIOZ/AnciKbOGK5/fACBmmcsG4aSpZPf5c8PZGr8Nu1rzG/QwJugfw+/CZTx7/69xK fWDQy4orvbJNSg+e5ksUOWKQYP3vJLF2kwSoWgqyxkSmsFFMRbAdB1Kr6IsDF47FLYsqrHVdyyO5 DN35FL9AGp3YSIqn2cBfkXbkBnjqvnJZMwYxmNZ90t1/MEOe3ynv4Z9YMDDhBn+MB6QvtO3pCCOa vUDnDM/ed4rez7BcIqycU/+bDLj0Z2zMtX+ljKbNJAQClTLw+K6cml7IrSrvLY7k4gHP8DGP1hMB HzvNX0BfXnla+E69qk+04ByJgkaWJlsjHNz1k69neGa+bF5kczyYoNARjWIfm8YpCS2DlMhmh7Zl VfH7uXua9uh2jfn3FwkS6Bl0Wvo7tD2s6S8UCzvrcr6YkPsTjJVAuwRkJr0aD8Y2eAEt4Xgd8OzE UV2Omm+E2GyA97xLTgQwGXfISCeQuMZW2L58+z5yTP5ZKh9C8b09ap2R0xdwAQSeqBhrxGUp729+ oKAlCnZDKW/6desDgy98m5lQEzuFe6KJ1tqI3kjguN8P53gGtOSyGoDIzvBzTBUPgdGqaZ12BG/i NgEidsGI9/xUeqmwvALBZz1ZmkPFexB2Xwmu9gRuaz326WZFWhqMeGBUB1GqvTj+mN6epCQ1xmcq MQf2eg+/FpsUtjCfntneMRZNbAA7N6DZyw/XWjDFh3lAaY6L3LEBJ21rFFXjWREwymLJ8UBLkwjD l5y2IZR5f480bj+4P4h8giwySxu36v204UYGEB/yyqM152ue7uAmihpzovdEzuMVIu9XO8m60cMC SQSc8F50xiBvHu9Yo8sZlpdhxfvD/XiQeSjJECuJl0wc9MPq6UDANBtJdJS7dNmujLytyt0CTBYh 2o6WYNbzPQ5pql/+qD6nRNJzQdtFqSHtlzRDRdWw/ze8cJYNuGEzjF/U5EDw5vDbaK8ID0100Hmt st5JMbBcLuEzwC7JNgHD2U1iLhzYoPpmtzCSQjjZ2LblN13Wu/0TFoxX1YewZ8RoPP+aK/AK/QU2 7GV6sPnKGbdnKEWqkQj1qG9zOGNbBcZOY3e8kAXCFV3fjBhVqlroT6I0MIqbIHh4MKfdCSssFqw9 6ozaeoBNPaqHuxuErBhouDnjJqzIzPI++/Qi6YZ5TikH8RsE7uNTpyz2b5p/fEeIhvN6ycyzsWTX iKyvA+btHorvHB1aXDzIF9zkZW1YE5k4tAFKe1gZpWWkVFhlMWcQMl+E7ACpMm4WGrbdb/+sLoAy ahOfonnkI74gtQwSD7Yv9lB1J5D1D4Fut6gDZFSeD8ftA0T5D3recxu9gFM5S7hOykNoJVlatLFV JYv9wuvkR2K+xXg61oN/tYtKpq5dcEBKepR3Zvh/smdeA/YCipdBm8rs97ssL2ysG198/54leQ1k 3lbAcS4q4SgIiG4l0jBZQoC26DfXEJJWuVkJfF0kM9/EImzhbZXOMYvyyGvkOw+4aHHDJU07KW2i RPL6elo8Sk6i3jmc1PKB8zVv+QEWjKiKUR+TTIQVGzbMCEx3W/DBGqMFNFpPpDH4xJs8OZBN0wzX 0p9jq0i2AkFc0q24EakMW7hHuDmFmDtX3ItDax3BBY5LEf3s3hC8mu28S80ekJiCvGay3anGK/QP SwmBMDZZ6pN6O2J4bn72Wayk/KOhNQCO/PK5cFCiwdb5N7dm3kvmPeg9EdhKmY6+okd4m7GD15mF pER5SwUX++iw75gnlUbQBpUagWBrBujPK2qPc+DOUIyvnlb6aUp2Eu/rahE0claGJwApVD7aDpz5 fVZLd3OlSnbzrY4JodLwDltpW/B7VMx555aSFniYtiaEbjNbrX1aYqTvF2izmaIULXhDbK/JVhuS 2A/9WupbzyvLCG/zZPkX1iez7ruIJO01ZatQT8NEDMMnGBVcLFUPWT2+td6/WdeblYkijTc2w8Eo sQJQ/w1zrHnzvUgnWuUVTdY6knUCY6YoZti8/icNTU4nvYjE6sFwrLjR/ScvCCrbAtJJE6ofhrNw Ydnq/B6DYYdPiWL6b0LdSDsqQjJQuf6yIV0b1UHgc3bPwtfbbJGsThViK/E95qidCoN2W3y27Gf+ 6WncY9vnyavxj+847X5I2rVZMvIFG99tkniMUaTr1mQfjH7k4WAOtk1RUzYR7vcT2VPwIiJHCcPs Db3zP9yrGHmk6rBR5EYFjtbz/LXt4QgfhLgDoiZ+1qWS4kpjZb8mPVDMWQbCtKQAbXsrSQC7FMGq 2sURVeo72WM48GPnD75bR3Ad/vko16QHFd+2zwlbc+NMTl17MLzcPv9qrqrxOfCMZTeeIpgcqCjJ U6TIsQlB554N3RFyfvY9bpx2nun5Prz04Htthbv21W1ftiG/JhpEjhNUMZ5oxMSnVchy62GqaooV qt/hmyRWN8T4/R47sgVRxz1G4mStuwm+mzNlJwXkvsuzv85AyIIlpVB0v1CG0XGtMYLrFSimIlpP 9SMKIW908Wo0ni6wpUiqmalOYO4S+JJPVJl3EZToRo+fuIvXMvKmLG3ib5v7rUdbpV9/T4Vi2kj2 ZHZcJE8c+NDkgXWcNkqUR2NYO59lUkvM9Nlw9YSxpPNK+TBA71pFx2tvQak3q7yCERKgI3S9wDiK +i4MFik7wXnE340NgR/U9b6zSl6oX1ejJM96ULtV5UrIC+7gItHpBlwp/0raAW+WY/BY1nk8Ztp6 dMKiohE+UaMxE+cy7CMqx/dS1t7CKVtipNYkwuhZaHXZw/5t2XtPza3PRCkQsPIavgHDtucNk5lx Pm+wlL+5h7PvGp6eGRs48mk0wZOwzuPHFj+wEMxlenDnqN6Ris6OMS3Y1QysWiHdIdPZpkQDmFMm aEvcqchE8EVl6GnQXNJh/GbHhmObU01RYVLKVYgWJSqzrq8MAjI8DbuZ3Jjmd79D1Op7ZuB53i7n 8aCW7cREtLvwXmo2B+Bqm7bOd9u7kD7TP3K+jrJDQ5tFfFp5tKD3grGuUownEj8MaBVfyzwxXIQp FtPw9E8bMe69mcyd89sLVQI63QaWd/5bDGIe2jzmzIFYipBe6Z2gsZk3cBorskBPJF9g8JpDFUr1 7hwpd5idt2rzJPzoCJ8BgYyHUphylBUzRNPT+JjPWcWGz4KPm6wkSGvY9nYX8SPp0sUItEw4vaZ5 sLa3JxMmYJyR0PH3XJeaoC8oJNFsYjRhsfbYn/C/0ELE+TE/Y4WOYkh256aGy+5Ah/0dSESYxDae Ei/9+ynYDk8zu7AetLtsfn43TNeU4//k81o5HcRtR1V/h3AxOPbUaFtGndmh/e/QynQgsbLwWXGv Z32J2/f+KgWZTCVDbznlxSGUNx8HdjZj5QCIcSxVIK09ZeLtFv5RkprVO1Xr+yJPFGhQSpGQqfFD PsSuZtMYXd/aMXLY4XVimiyng25zpBsbTmZ2UejELIupbipTfPr6rYPfMpTO5hWXq30NDA6hIJqu LCwpKJ4bTNfnA88nhNCJlaRskyCbLsdjnpHGRFOxZ9AV0k1ov8PPQ67b2SOkq3W2gf0p7ynbVE1s hQynC5qrJSrhhBKcBqE8WveJ7w7hP1zSv1QvaMnDIA5eIBr4vqGgCY4eRdNfZJzAaTwPTYQUgVgK iAFmWrx0PPbo2vnZEj+TzoMZAG3q8AoqkV0I62OpAr15An3MAJde5NvoAgD9RBcde2YvSjHeyPJA bVEq6s1n/kqsKmuYlrb1RuBmEksQ+UPnuIJ9wpaUx9uBp3ujPvi1O9XENcC6OJKuU9IH/oCsTkLn sxMtEdxneYXa4R6HLMs+JRdEjXu8VmDeQWwqVdoGxPMS7iIdAPDixREbtPGBBseySXqFKStPwsDh v3gkbQN6PLIlUSoBax+ZFo/Fzw8ETyQ8K0Zael5LXUV3dZ2ivit+JEGYh7o96VUBBlztiatKOSEL r9X0LixKuYUR4Z9lWZPxZqGg5Wc/dVDhUs/NsL+p2+GmtX5F3vtEjL0mCsG4KNG0wCLe5RxX0vI7 GdMary243QikTOroccQs2PGESKsdm3bhxyhswhtoiMIP77KlLlVCvXRUpEdYJqd7QH1r4SINrJ/b Kzei8O2n+cgtKpIiXTzjfimtg0EbshEAY8FKApLr+ZFa3zJzsLE9T6lUskjTi38WoL/YbEzKi1TN P+43AAcM+o+KfxUF4UUTUR3DKduVVictnca9G20lAN6cFJKLUBVkdi64uT/wAszMD3nUvOP8h/AB dZ+nDYZsvjJ5dB2v2YYxT4TC1WjjSFEcJdB7Z3RKOCw1BvsISoTeqib1U2H9QZHgTjYa3bsvfQJA Nle4glXKyzJk/fGTdkkAS/mu8JN2kL20H43pE3qEw3TL6YRGdNy+txJOtW77TP37R00rlk9T6pDp We2i4cS74XfUNznwaemgxno6NwWnfRvxri/KLYxDTkM3QpzaF+lZynm25IuRPiEVB9Qmvfq9MV4A D/TfOK1h9gmBVaa7KOD3HSJJpdHvw1Tdt3cen7FLOfaueQZtUqy5sZZgbQBdRHHj2NKv7Q+/gWhJ H83XiM2D25BZEO21hm5oSm2DSOKtCMBvHONGO3FzlcQKIyATt9E/CYwgqcHU0a4pojITSFrLuVIP yDfNGlSpbN2O+niR7ePI+Gr+l969aTq3jsyLiKNDKFZmgWOmKTs1+Uhoiu3AGCYR1FffmVW7QREy yPiFVC7hwzR7CRNfP3+uZIo3BhTFcfCAY7VDSNrRoUbFYPvEDTlfvPjbNjCSdXIU4A9ymMRilQCK rZlwC54EP30ZkVbD/fqf/hRqz0KhPkD11ELdORFhBr36n99Ns5eyf7bOuZAt87DneTpOW8Kugj7Q Pk13lXEl9wApbqD7hRDyG7GibzEEj09ecy37BUAhfSSqTsbbyEkohjDwzDoAifNOawQy5d45L/DW JDuysVCj0NwnmmxM5BT5S4cv/ZMx4sObFUmdNKQUUPdGGJ2JGg1flEeWUprhpD+T3hSoepSrGvvY zu+AcCMb+i6dyUj5VwMKaVO0aJbNmNJCc0bLohCy4oSoWjYkfpmdbzevviolZf6Ne+mHeM+e/5pW ZkLx0eqam/i4rMm17bCwsxAY4gPJUuY1OeQKAQBTeCUH6cG5NArsnhqxv1fkvjMsjWMTWO8wvec/ rGyZeG5bn6k1VmliWr4Hg+SzPbeYI0OfWJFB+KI3wUrMbQmk8LfR59ntcH3nTBvLIDn1/e1NfxZZ 0TzxRIXSInnYWJuyBROpkKY7ay9RzHDKLAEywU1p4RqsfpvhElSwAzZEVkE8F7tCq5RFo9vj4/W2 +VPRZWTfvjQ1JE9k1jQBYfU1hSbnqw1UwXY7QnI27PohTPRguHt+lsFyJhKy0X8ymmBOAMSy3a0X 90QLMFX6CILqmQOmpAohRbJkxtx/HHgS3tmv2hbI3wwdMKHEOYx+7V7iRQRHwW9Qr6dZz9UXSkMq 3FwNypmmK4NBkey4tPUfuq5y+/Us6J93MGCtm4DkQiOYOFFOAMaCQYh4XNSPPOAHgTFVavJjrdw2 T8zhv35twl5Op3oaGW+kBU9Z3e6gR9Kn9VosHkuHkBs+CJVvTkSbgMsXakzgjYTuI3uPd4SSIT2N uWlSDP4WXiqk/wcyfEoU5qacQLQMl/mkyUM65A/pOkrA7iyIrr6CGxLceHIhcxwEUiHimzLXBvsC pMJvYPHdg9IhIbqyW1GRitxeMVVZyvMNSHftHwXb3gaRe7KP0wt9ZlxJUKq+guXhDXx0atpY7low q1b3cM8rWI2RMAtfA+9SH96IMju8ZxEtYOAJ3v48TPDcAOt/Ckb/IwJBURKZ5+7WO6F+eCthB5Z6 Am298mNwfFedMi8jefTgIAApzqACPb4mJEG2tdMYcAF2Ybqo8KbNrj5eI+HjwUvzeqexkoExU1Bz 2tIGEqtOqYtY8SXsE4nFOvwW0OsvZABk1tb+7DfizQxQNA0gPdsJjv/qLycPUn+T7FKbuk3xFlYb V4Tfm47M+7TTorEdtjFxWOcAc0lkx2b4j9JUOcytt26v/M3jkA/KzMLf+JM3WdWZcZ5S10EdkQI1 x9iFpGZdA5bZF8LE9ey+MshKpk1wN1nfo1EDuH8x6CiAkWOpXApmjxS+390DSZZQl+76xPiPyFnP as6be4fZ47icSa3zBfkrTejqAle4i+cvnoUrDlNPzY1ZTXvsdRCHDOKUtjrh0dMEohJw9vA2P7at kTaqaNrKqS5FCE0f51hqp+J/zuX0VpBImD9DkHClWu+UnPnR/8fZiFg1EH6LJbj43hvHpwcWnRD3 97FSG2WsfiThCF2qWg/HCg5GHJhFfXbTF1ewTbqa9ZyzKpoa+OV08qR6ElCtrPF+iBziAFYMhkjF AWutDJPU0DKvRc2Fjug/N7EEilVxTrvmhHzhvDmp3mKOyM8KPx4cqgV/H4WCV/wKM/JKs4nrBheJ OZEakZI0PmM6LxCt0b08lqMLklav2mTxPO2hFaI7iHo/vlBxBT/gnjKCi0sgQmTBSho9V/wMZXJW hCi01x+ljbiDpTkAfD9QYVKuZYZ2CiU71cvyy38Af4Ib5zQ8LyeAv4oJKSAV7EPJWROPZAofOhWE eioYj19H6zJ46qfaTy8vPMBA/2mtPSV1Z156a5VruYBOlbYwVaEmvPp5JMM7FlDVIIMm6X/84POa 6D7zMaVXpvHLQ8lQ+PP+HzxXwgg4p/wIehpErtp0poEzyAAfvaEJGjLkHxcxP7pl3R1MATHLh6Bs ifbjWRWFrB01NTdFoszvsssC4GYs29IK52NlJhqxHtnKVr8ua514zeir0TuLK7RtNkGNvIGSpkwg i5LBIyHUYy3jpp7WnaVcbN8nb9Wm2fUv+I91S7In0bbPXiMii1oUUqobAbRGz45T9Lp+si+XKZRa Nea1gbhDybAjfqPjagt+l3nEVMTYcSN5NiRdsOqy6BoiBnkOIWp/Orw/yyPxpr3d1eR17ams/WMy +KD5LJU0nGFXRbj0Wb50bqZRyrIYsXiU4S/PiqbAasxlGJ2UdDGIEch4LvOTWXEhx/vZQ4Y0O3sy enMMers2laxnXWO6Z6Zxkka3WCxd3hjInIPMs8LouFCx4YHUfkNwF+Iy+pFpBisdHC14XnANl9gE NK0I1qqkZ2kuWrI1kRo2HEjf/WGP4T9fb8cut6rhOOER5/z7lMoAqgXGeiD+RdN7ErrQDMdEzDUP Uy7UJnLK7dzRS0iIbP+Ds0YldWV8fqqQ3AEmlcFbJqxUEQlaOiMAcBgHSdwr4Pi2MIr7mjALiBSm FohN/acMHgIcHOKYAPnJLBLC8PgdSNkYnwZGugBJyW6DItQqrl609lckgPv6++m6Jc+/L5Idhl+Z O5vVfvrFbbzvubbuhlbl42G+zlFsswNotD+Mzs8oOt3V3u9nhli6TN8BJmJw71jVX+9IzowauRHd 56XXW8DSWEEguaqO0YoZ8/IuCT1zA3D8hOirJzKMcwatli1NVFqKPllnwV8fHrGbZ9+70f44p3al 7r42d2q4JK5wTAn5JImz74MkROdd7my98GJ86WNMWmmxzQKwhe7WVtnPIC69KC1gRjpi2naZqRoE g+KBZXdxBBx1S9pQYFuMLuhOFuuXsIEyvpj6ZTayK/TKEYeZCNa5uNXkjhlWj2M3TbIXvKUoSTrj jRlXJzAXncMZWq9qhiid14n1vBJnGBTSjNxkdKgj353nXLN2qTCqCldKsqwjOem0IsOLAz+uzv/L DwxHMsXcwtlXghn9Zx5sxXUoOE5bF5Wen/rUr1eXlx7KRkcBG+qR4VXdvQB0+TrBmefqCls6+Xze ZjSlOy9EQ446A0hgTx81HCur+bBqomJ3SgEThaEV+SjjuzTXMUDUqUcFufPVOI6VIksUhC0NaChH Zxm7+lzaNh5t3E6sgnUJpU5Qp/TQA+DQxBaSO23SnHFMpaxCtflyng5/b8ia490eP9CTPrF+5cTi muQu08UOi7k7PSbami7Bo/PePxvAsaLPTyYE3AEfjmqF9aUDa12Z7UntStwCUfIy7S7R0rKZVyz6 e30iD0bQoqOGyjThift3QFx1nhWQZ0YA8KOnm3KMXJp735V3gIpyDASUQiTF8b0pixH70Eukrsd3 4p7gQ18Nqs5w5snJrBm2JssWBP+OXLtdzt4NQ4JYwQn+mDSy8PZ/YlWXcXi1fBJEddG9/cdXThL+ D4qYLxaTSYeh74XMS4ZXl2XKAmxtWyrJLz1cjTqTFUAGZ6lx2Dhzn4DoYgQgV/jh0z64aQ3AFmro PXzZsbfsF13N73wRbjRSv9vJMhABRWcyJta5GHKteTr60aTp0JJgzDceIbIRATWZDf4H/NWbY1bp YDtC4xukCRDOmYjVkRukeEouTMBQby4f3t26GlZPRROL2iUDgU794yt+Q0lHPhsF0nm7BGRlEmF5 XSkJjjxZjLblvaA/QgAoq/k4Qcyn0udZNcejbYwFc0NF5lCSC+rf/PvcntrwrZcAMpdv3E8zZvrl mqTiK3md6OcX5Y23iowRdFOaQKHhPVsHKRHHLp9XEJ7b3oI5JFeqsbzZkjgfmU4RCt72As2Btm4i gbSRUAruO0JwghmxmeIHRTE/CJzYABF8op2vsGD08hfcyjA68NWuzbBexWe5aOvMk+Ut5OmSUlYo MqWOtNw/U5uuXyiml6HDh4Ae1BPx+nWHzCT7YdQkJfy/WBYE9RGQWBuDCD3wB6ZXGbDn2NizoRX2 ZhmUOco+KQldLY0lQWNK2aB+oDLS3C4zDTQnEpH1yiD9Y3DUj+LpYHv09ywfAr2RgkKz0Xx9a7Fl NQw+/lfdHEKsnE1mg4SJCQtFlv537RfRpvZzrOMvWqYtC5PJaryV4d6hSfol7Oeo8xwId+MjftFs 4MUBgIe2IkzYV6awkvYS+RaypTsEqHsydx/by9H0pFUWBFFES30icrY/a35Iw4TOH+S+qhTKfL8+ X25DLRUXpepOOCqjDiczONRF+Q0VT6C0WuGAxrfNHpHyHRu1cnZE2la8urszfaAO4gua/gYyziYI Gn8KYxpnB4XqtUgEE7yaknq7K+dGX7Q+5vChHuo2m+oO82eZrOIZ7EF1zY1dThzSPn8nNOLhiGul zj2jUdU8ZkWAM2BgHnvxbK2dIOQM7VWCSV98NrxwtRY0hJgMPhsS5RlJDZUINc3PhHsDvCr3nbGS Yvp3/CG03cWFMxc26PdIn8DJBTQep2NRbzoLZmaYOx27pVLLouVLDHPf4vvgC9zDyD1nJ9x9yb0D iVKM9Upwhwov9cHdqzMsdq7U9XuwazUafwupwg7BLBD231T9xw5sZJ1nHaSse4buYaqG4WAI0sCL dMZL0OPUK+baUxe+/FdXiGwllBCOzg4xSYHbKmFxENyRPw62Lgna2OlT7Cs11spmQ78qyW9NakGI O+mPsG1dP7XQhfiJGwEAEoNx1b1dGZ9mFVV4xpMSESE+0Tfne0UcKMaPZlnkbGD3k0HP89iZq7N/ sWs6J0dKnk5szarD7XHaqNlQZFoXFmiwSGvD32AdC57TCAV/+TrIoZ0jQpHYggu7S+7ccLDcO1/t CtE1rNqi5hPQhg13gvsK58tHC2Ud63VZNMb4+gwp09Bf+Nce0qPAbqKX5EjPazFLcxrZ9bkb9k6a nWVs6LuUCzMTINQegNw1Gv7PrWp06IuEctTMW1IR5SZ1MPI7yALtSzdOazxGUenN0Kqotqc/XYbJ 3Tr4SzPk9gdCNvCI74kQ4YsMkvkSnNSwOhA0MrwlbIDcL+riHR56nUl2xS63hzlrXqUc9JRDx4Yj 1W7HWAmOzRcGyAlbJApSKe/CYWEiXEI5UMg85U7NFSStMKeKUd0bycttUIARLRNfebypiIztNvTu fYX89SkpgdKz5EFr6Yg+9m1rIdNKwwSaJdiT7kUwOZ0jRZPfofH+exKcmF65fN5DZPnSXuh59u6P L8GZID2PvfZO5XJ6QqFaQHsnhxOR+vQeh6xkWDi9k44bplfFCjgorpSQyr1r9gx4G/WSXl02za2C 0qWkBJjOa8k+mZXfx5HQMq4ryIxZIAl4Rplgv59+CHAX+Z3hQkJCJ0OJFiXWWevK/y9gqTQLv6Sg 35AoL5yk/CjTNzb/WaDRPmxOx0hJhtYDpLZiuSH/O3cTMb+wl++UT94E+aKTFK41Q6AYonb6DwDT vrxvS2+7IgfBt/E8t21Z2ILEtgIoM/n/T1Dm7id8A7l3MeWR6czzKS3YMkSoQl0phvJk09HtzNcf GOGpnAX5DiaJx0jnv0OlBJTIkzeJhfBBEZPaebWzZAIIPT8DiniT7Kvhzm/ofMyfB1QUsLZK4pm2 AsSkeNtMDcGAPkx5sO9BKiHCGPSIQpx0f1wuAquJfAUR+9o41YKbxI0k5ncZ9mP6f0j3wT9FxAcM FllBah4zdR0cuETvrVJ08GexWMwq+YI/MxNu1I1HxZvRS0tG2jmGBp++zQ6btADDqzptTCGtB2QV RAS8qaXg2M+Gr4RyudhIz4noOytgtBnV/RhBbPJqcAVYhE2V7CGmA9pFlS5ICqa+zT05H+UUivz5 bL2mBvuLL+wvWhd/aTb5UBEPg5KkfAqB+6iaRuFnSN+90Orh1r9qe8iMVyE1FDeVOBZEZ1sl1Tto fLp2QulzvhhQtO0VgKpnMu0iGu74qNurLc425hGGzA7fuKfcqUkvVDsp5otpL+ZairimYmIqetfQ k7oJXhwjrDZ982wTqIcLCgTaygKI32B/sDrkQGBAGhUeHaUsP8LW6DCe19fXFNDhTPwOqXhEzHSe LxWv3qsWgnyQRW1JkThpmrmH7HR3EQBQFRwK9up8KPvmEI4IRpfQdI39pOhhRyDSFeyCUSqn1LH5 NBUGk1xFq0KBL7XZ8T/+CXHBDZQUdHgbphfS9HRwIdZMCVOIX9jQQ9jFkl+zO9plsVPUT9UVblK6 rvmGR7ugqlFrA73f8ANNxTosNxZowtG6mlcecOOfh8DozzZ30v3ZXkroCTDQxE6jaVrqX28p96UU m+eFTSNSQpFWJfqI/x0aHePUOzbAYaPLii/MAW97wFQgZDUvTTp3W7QZ/Qr8S71/67Yxp8K8EOHf tVhpvqhelI9D8VVVd+gASWvTTKXsJk848Bw6Gy8N//7fFYhWUaEPEdKgunPMkHc2tZkg6tXdm/P5 D5kno14wOfTooOyUjJyz3nEXSwsFW3QMjcwJyGIcQfom6KUZNmJ8Mtp5MPSK3KfRDD4ey+3r2IgY 3UzJMRSK7eykE9hd54MrSfBoxlpgpvkzkbKgDq+nPw1Toa0u+0I0Iriq+wn539Jk5isOqn/F1YCx KqXO+AQyKJzpIGfhyBskmXrODRa+PuG3CnM9L3Ru4/A8H9XtRlM11IE4f7fFVxtCCJCvMvl9lpvI 8vt8TnBLkAiEkLHp96AReVLiaCe/JFCpBhI2UaXCSlZXoDnRDxYk8s+3qmGncULOtZ2o07eXtmh9 fqZPFADlTWPK0HciQUyl `protect end_protected
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: pll.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY pll IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; locked : OUT STD_LOGIC ); END pll; ARCHITECTURE SYN OF pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC ; SIGNAL sub_wire4 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( bandwidth_type : STRING; clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; pll_type : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING; self_reset_on_loss_lock : STRING; width_clock : NATURAL ); PORT ( clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0); inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); locked : OUT STD_LOGIC ); END COMPONENT; BEGIN sub_wire5_bv(0 DOWNTO 0) <= "0"; sub_wire5 <= To_stdlogicvector(sub_wire5_bv); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; locked <= sub_wire2; sub_wire3 <= inclk0; sub_wire4 <= sub_wire5(0 DOWNTO 0) & sub_wire3; altpll_component : altpll GENERIC MAP ( bandwidth_type => "AUTO", clk0_divide_by => 1, clk0_duty_cycle => 50, clk0_multiply_by => 1, clk0_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 20000, intended_device_family => "Cyclone IV E", lpm_hint => "CBX_MODULE_PREFIX=pll", lpm_type => "altpll", operation_mode => "NORMAL", pll_type => "AUTO", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_USED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_UNUSED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED", self_reset_on_loss_lock => "ON", width_clock => 5 ) PORT MAP ( inclk => sub_wire4, clk => sub_wire0, locked => sub_wire2 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "6" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "50.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "50.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "ON" -- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" -- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_uartlite:2.0 -- IP Revision: 7 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_uartlite_v2_0; USE axi_uartlite_v2_0.axi_uartlite; ENTITY design_1_axi_uartlite_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; interrupt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; rx : IN STD_LOGIC; tx : OUT STD_LOGIC ); END design_1_axi_uartlite_0_0; ARCHITECTURE design_1_axi_uartlite_0_0_arch OF design_1_axi_uartlite_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_uartlite_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_uartlite IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ACLK_FREQ_HZ : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_BAUDRATE : INTEGER; C_DATA_BITS : INTEGER; C_USE_PARITY : INTEGER; C_ODD_PARITY : INTEGER ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; interrupt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; rx : IN STD_LOGIC; tx : OUT STD_LOGIC ); END COMPONENT axi_uartlite; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_axi_uartlite_0_0_arch: ARCHITECTURE IS "axi_uartlite,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_uartlite_0_0_arch : ARCHITECTURE IS "design_1_axi_uartlite_0_0,axi_uartlite,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_uartlite_0_0_arch: ARCHITECTURE IS "design_1_axi_uartlite_0_0,axi_uartlite,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_uartlite,x_ipVersion=2.0,x_ipCoreRevision=7,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_S_AXI_ACLK_FREQ_HZ=100000000,C_S_AXI_ADDR_WIDTH=4,C_S_AXI_DATA_WIDTH=32,C_BAUDRATE=9600,C_DATA_BITS=8,C_USE_PARITY=0,C_ODD_PARITY=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT interrupt"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF rx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART RxD"; ATTRIBUTE X_INTERFACE_INFO OF tx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART TxD"; BEGIN U0 : axi_uartlite GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ACLK_FREQ_HZ => 100000000, C_S_AXI_ADDR_WIDTH => 4, C_S_AXI_DATA_WIDTH => 32, C_BAUDRATE => 9600, C_DATA_BITS => 8, C_USE_PARITY => 0, C_ODD_PARITY => 0 ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, interrupt => interrupt, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, rx => rx, tx => tx ); END design_1_axi_uartlite_0_0_arch;
architecture RTL of FIFO is procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin end procedure proc_name; procedure proc_name ( constant a : in integer; signal b : in std_logic; variable c : in std_logic_vector(3 downto 0); signal d : out std_logic) is begin END procedure proc_name; function func1 return integer is begin End function func1; begin end architecture RTL;
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY Instruction_register IS GENERIC(N : POSITIVE := 8); PORT( clk,rst,set : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ); END ENTITY Instruction_register; Architecture behavior OF Instruction_register IS SIGNAL sint: STD_LOGIC_VECTOR(N-1 DOWNTO 0); BEGIN shift : PROCESS (clk, rst, set) BEGIN IF clk'EVENT AND clk = '1' THEN IF rst='1' THEN sint <= (others=>'0'); ELSE IF set = '1' THEN sint <= din; END IF; END IF; END IF; END PROCESS shift; dout <= sint; END ARCHITECTURE behavior;
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Module Name: tx_arbiter - Behavioral -- -- Description: Control who has access to the transmit queue -- The higher number bit in "request" have higher priority -- ------------------------------------------------------------------------------------ -- FPGA_Webserver from https://github.com/hamsternz/FPGA_Webserver ------------------------------------------------------------------------------------ -- The MIT License (MIT) -- -- Copyright (c) 2015 Michael Alan Field <[email protected]> -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -- THE SOFTWARE. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity tx_arbiter is generic (idle_time : std_logic_vector(5 downto 0)); Port ( clk : in STD_LOGIC; ready : in STD_LOGIC; ch0_request : in STD_LOGIC; ch0_granted : out STD_LOGIC; ch0_valid : in STD_LOGIC; ch0_data : in STD_LOGIC_VECTOR (7 downto 0); ch1_request : in STD_LOGIC; ch1_granted : out STD_LOGIC; ch1_valid : in STD_LOGIC; ch1_data : in STD_LOGIC_VECTOR (7 downto 0); ch2_request : in STD_LOGIC; ch2_granted : out STD_LOGIC; ch2_valid : in STD_LOGIC; ch2_data : in STD_LOGIC_VECTOR (7 downto 0); ch3_request : in STD_LOGIC; ch3_granted : out STD_LOGIC; ch3_valid : in STD_LOGIC; ch3_data : in STD_LOGIC_VECTOR (7 downto 0); merged_data_valid : out STD_LOGIC; merged_data : out STD_LOGIC_VECTOR (7 downto 0)); end tx_arbiter; architecture Behavioral of tx_arbiter is signal count : unsigned(5 downto 0) := (others => '0'); signal request : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); signal grant : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); begin request(0) <= ch0_request; ch0_granted <= grant(0) and request(0); request(1) <= ch1_request; ch1_granted <= grant(1) and request(1); request(2) <= ch2_request; ch2_granted <= grant(2) and request(2); request(3) <= ch3_request; ch3_granted <= grant(3) and request(3); merged_data_valid <= ch0_valid or ch1_valid or ch2_valid or ch3_valid; merged_data <= ch0_data or ch1_data or ch2_data or ch3_data; process(clk) begin if rising_edge(clk) then grant <= grant and request; if count = 0 and ready = '1' then if request(3) = '1' then grant(3) <= '1'; count <= unsigned(idle_time); elsif request(2) = '1' then grant(2) <= '1'; count <= unsigned(idle_time); elsif request(1) = '1' then grant(1) <= '1'; count <= unsigned(idle_time); elsif request(0) = '1' then grant(0) <= '1'; count <= unsigned(idle_time); end if; elsif (grant and request) /= "00000000" then count <= unsigned(idle_time)-2; else count <= count-1; end if; end if; end process; end Behavioral;
package pack is type rec is record x, y : integer; end record; type rec_vec is array (integer range <>) of rec; constant c : bit_vector(3 downto 0) := X"f"; constant d : rec_vec(1 to 2); end package; entity top is end entity; use work.pack.all; architecture test of top is signal x : bit := not c(1); signal y : integer := d(1).x + 1; begin end architecture;
package pack is type rec is record x, y : integer; end record; type rec_vec is array (integer range <>) of rec; constant c : bit_vector(3 downto 0) := X"f"; constant d : rec_vec(1 to 2); end package; entity top is end entity; use work.pack.all; architecture test of top is signal x : bit := not c(1); signal y : integer := d(1).x + 1; begin end architecture;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library UNISIM; use UNISIM.VComponents.all; entity LimitTo is Generic( VALID_BITS : positive ); Port ( CLK : in std_logic; RST : in std_logic; -- low active VALID_IN : in std_logic; -- high active READY_IN : in std_logic; DATA_IN : in std_logic_vector(31 downto 0); VALID_OUT : out std_logic; -- high active READY_OUT : out std_logic; DATA_OUT : out std_logic_vector(31 downto 0) ); end LimitTo; architecture limit_to_behave of LimitTo is begin slice_full: if VALID_BITS >= 32 generate begin DATA_OUT <= DATA_IN; end generate slice_full; slice_limit: if VALID_BITS < 32 generate begin DATA_OUT <= (31 downto VALID_BITS => '0') & DATA_IN(VALID_BITS-1 downto 0); end generate slice_limit; VALID_OUT <= VALID_IN; READY_OUT <= READY_IN; end limit_to_behave;
------------------------------------------------------------------------------- -- $Id: ipif_pkg.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $ ------------------------------------------------------------------------------- -- IPIF Common Library Package -- Moved to proc_common_v2_00_a ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_pkg.vhd -- Version: Intital -- Description: This file contains the constants and functions used in the -- ipif common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: DET -- History: -- DET 02/21/02 -- Created from proc_common_pkg.vhd -- -- DET 03/13/02 -- PLB IPIF development updates -- ^^^^^^ -- - Commented out string types and string functions due to an XST -- problem with string arrays and functions. THe string array -- processing functions were replaced with comperable functions -- operating on integer arrays. -- ~~~~~~ -- -- -- DET 4/30/2002 Initial -- ~~~~~~ -- - Added three functions: rebuild_slv32_array, rebuild_slv64_array, and -- rebuild_int_array to support removal of unused elements from the -- ARD arrays. -- ^^^^^^ -- -- -- FLO 8/12/2002 -- ~~~~~~ -- - Added three functions: bits_needed_for_vac, bits_needed_for_occ, -- and get_id_index_iboe. -- (Removed provisional functions bits_needed_for_vacancy, -- bits needed_for_occupancy, and bits_needed_for.) -- ^^^^^^ -- -- FLO 3/24/2003 -- ~~~~~~ -- - Added dependent property paramters for channelized DMA. -- - Added common property parameter array type. -- - Definded the KEYHOLD_BURST common-property parameter. -- ^^^^^^ -- -- FLO 10/22/2003 -- ~~~~~~ -- - Some adjustment to CHDMA parameterization. -- - Cleanup of obsolete code and comments. (The former "XST workaround" -- has become the officially deployed method.) -- ^^^^^^ -- -- LSS 03/24/2004 -- ~~~~~~ -- - Added 5 functions -- ^^^^^^ -- -- ALS 09/03/04 -- ^^^^^^ -- -- Added constants to describe the channel protocols used in MCH_OPB_IPIF -- ~~~~~~ -- -- GAB 10/05/09 -- ^^^^^^ -- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and -- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d -- -- Updated legal header -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package ipif_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type SLV32_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 31); subtype SLV64_TYPE is std_logic_vector(0 to 63); type SLV64_ARRAY_TYPE is array (natural range <>) of SLV64_TYPE; type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean; function equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN; function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer; function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer; function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function S32 (in_string : string) return string; -------------------------------------------------------------------------------- -- ARD support functions. -- These function can be useful when operating with the ARD parameterization. -------------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean; function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer; function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer; function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer ; function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE; function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE; function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE; -- 5 Functions Added 3/24/04 function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE ; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Channel Protocols -- The constant declarations below give symbolic-name aliases for values that -- can be used in the C_MCH_PROTOCOL_ARRAY generic of the MCH_OPB_IPIF. ------------------------------------------------------------------------------- constant XCL : integer := 0; constant DAG : integer := 1; -------------------------------------------------------------------------------- -- Address range types. -- The constant declarations, below, give symbolic-name aliases for values -- that can be used in the C_ARD_ID_ARRAY generic of IPIFs. The first set -- gives aliases that are used to include IPIF services. -------------------------------------------------------------------------------- -- IPIF module aliases Constant IPIF_INTR : integer := 1; Constant IPIF_RST : integer := 2; Constant IPIF_SESR_SEAR : integer := 3; Constant IPIF_DMA_SG : integer := 4; Constant IPIF_WRFIFO_REG : integer := 5; Constant IPIF_WRFIFO_DATA : integer := 6; Constant IPIF_RDFIFO_REG : integer := 7; Constant IPIF_RDFIFO_DATA : integer := 8; Constant IPIF_CHDMA_CHANNELS : integer := 9; Constant IPIF_CHDMA_GLOBAL_REGS : integer := 10; Constant CHDMA_STATUS_FIFO : integer := 90; -- Some predefined user module aliases Constant USER_00 : integer := 100; Constant USER_01 : integer := 101; Constant USER_02 : integer := 102; Constant USER_03 : integer := 103; Constant USER_04 : integer := 104; Constant USER_05 : integer := 105; Constant USER_06 : integer := 106; Constant USER_07 : integer := 107; Constant USER_08 : integer := 108; Constant USER_09 : integer := 109; Constant USER_10 : integer := 110; Constant USER_11 : integer := 111; Constant USER_12 : integer := 112; Constant USER_13 : integer := 113; Constant USER_14 : integer := 114; Constant USER_15 : integer := 115; Constant USER_16 : integer := 116; ---( Start of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Dependent Properties (properties that depend on the type of -- the address range, or in other words, address-range-specific parameters). -- There is one property, i.e. one parameter, encoded as an integer at -- each index of the properties array. There is one properties array for -- each address range. -- -- The C_ARD_DEPENDENT_PROPS_ARRAY generic parameter in (most) IPIFs is such -- a properties array and it is usually giving its (static) value using a -- VHDL aggregate construct. (--ToDo, give an example of this.) -- -- The the "assigned" default value of a dependent property is zero. This value -- is usually specified the aggregate by leaving its (index) name out so that -- it is covered by an "others => 0" choice in the aggregate. Some parameters, -- as noted in the definitions, below, have an "effective" default value that is -- different from the assigned default value of zero. In such cases, the -- function, eff_dp, given below, can be used to get the effective value of -- the dependent property. -------------------------------------------------------------------------------- constant DEPENDENT_PROPS_SIZE : integer := 32; subtype DEPENDENT_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to DEPENDENT_PROPS_SIZE-1); type DEPENDENT_PROPS_ARRAY_TYPE is array (natural range <>) of DEPENDENT_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of dependent properties for the different types of -- address ranges. -- -- Example: Let C_ARD_DEPENDENT_PROPS_ARRAY hold the dependent properites -- for a set of address ranges. Then, e.g., -- -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(FIFO_CAPACITY_BITS) -- -- gives the fifo capacity in bits, provided that the i'th address range -- is of type IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA. -- -- These indices should be referenced only by the names below and never -- by numerical literals. (The right to change numerical index assignments -- is reserved; applications using the names will not be affected by such -- reassignments.) -------------------------------------------------------------------------------- -- --ToDo, if the interrupt controller parameterization is ever moved to -- C_ARD_DEPENDENT_PROPS_ARRAY, then the following declarations -- could be uncommented and used. ---- IPIF_INTR IDX ---------------------------------------------------------------------------- --- constant EXCLUDE_DEV_ISC : integer := 0; -- 1 specifies that only the global interrupt -- enable is present in the device interrupt source -- controller and that the only source of interrupts -- in the device is the IP interrupt source controller. -- 0 specifies that the full device interrupt -- source controller structure will be included. constant INCLUDE_DEV_PENCODER : integer := 1; -- 1 will include the Device IID in the device interrupt -- source controller, 0 will exclude it. -- -- IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA IDX ---------------------------------------------------------------------------- --- constant FIFO_CAPACITY_BITS : integer := 0; constant WR_WIDTH_BITS : integer := 1; constant RD_WIDTH_BITS : integer := 2; constant EXCLUDE_PACKET_MODE : integer := 3; -- 1 Don't include packet mode features -- 0 Include packet mode features constant EXCLUDE_VACANCY : integer := 4; -- 1 Don't include vacancy calculation -- 0 Include vacancy calculation -- See also the functions -- bits_needed_for_vac and -- bits_needed_for_occ that are declared below. constant INCLUDE_DRE : integer := 5; constant INCLUDE_AUTOPUSH_POP : integer := 6; constant AUTOPUSH_POP_CE : integer := 7; constant INCLUDE_CSUM : integer := 8; -------------------------------------------------------------------------------- -- -- DMA_SG IDX ---------------------------------------------------------------------------- --- -------------------------------------------------------------------------------- -- IPIF_CHDMA_CHANNELS IDX ---------------------------------------------------------------------------- --- constant NUM_SUBS_FOR_PHYS_0 : integer :=0; constant NUM_SUBS_FOR_PHYS_1 : integer :=1; constant NUM_SUBS_FOR_PHYS_2 : integer :=2; constant NUM_SUBS_FOR_PHYS_3 : integer :=3; constant NUM_SUBS_FOR_PHYS_4 : integer :=4; constant NUM_SUBS_FOR_PHYS_5 : integer :=5; constant NUM_SUBS_FOR_PHYS_6 : integer :=6; constant NUM_SUBS_FOR_PHYS_7 : integer :=7; constant NUM_SUBS_FOR_PHYS_8 : integer :=8; constant NUM_SUBS_FOR_PHYS_9 : integer :=9; constant NUM_SUBS_FOR_PHYS_10 : integer :=10; constant NUM_SUBS_FOR_PHYS_11 : integer :=11; constant NUM_SUBS_FOR_PHYS_12 : integer :=12; constant NUM_SUBS_FOR_PHYS_13 : integer :=13; constant NUM_SUBS_FOR_PHYS_14 : integer :=14; constant NUM_SUBS_FOR_PHYS_15 : integer :=15; -- Gives the number of sub-channels for physical channel i. -- -- These constants, which will be MAX_NUM_PHYS_CHANNELS in number (see -- below), have consecutive values starting with 0 for -- NUM_SUBS_FOR_PHYS_0. (The constants serve the purpose of giving symbolic -- names for use in the dependent-properties aggregates that parameterize -- an IPIF_CHDMA_CHANNELS address range.) -- -- [Users can ignore this note for developers -- If the number of physical channels changes, both the -- IPIF_CHDMA_CHANNELS constants and MAX_NUM_PHYS_CHANNELS, -- below, must be adjusted. -- (Use of an array constant or a function of the form -- NUM_SUBS_FOR_PHYS(i) to define the indices -- runs afoul of LRM restrictions on non-locally static aggregate -- choices. (Further, the LRM imposes perhaps unnecessarily -- strict limits on what qualifies as a locally static primary.) -- Note: This information is supplied for the benefit of anyone seeking -- to improve the way that these NUM_SUBS_FOR_PHYS parameter -- indices are defined.) -- End of note for developers ] -- -- The value associated with any index NUM_SUBS_FOR_PHYS_i in the -- dependent-properties array must be even since TX and RX channels -- come in pairs with the TX followed immediately by -- the corresponding RX. -- constant NUM_SIMPLE_DMA_CHANS : integer :=16; -- The number of simple DMA channels. constant NUM_SIMPLE_SG_CHANS : integer :=17; -- The number of simple SG channels. constant INTR_COALESCE : integer :=18; -- 0 Interrupt coalescing is disabled -- 1 Interrupt coalescing is enabled constant CLK_PERIOD_PS : integer :=19; -- The period of the OPB Bus clock in ps. -- The default value of 0 is a special value that -- is synonymous with 10000 ps (10 ns). -- The value for CLK_PERIOD_PS is relevant only if (INTR_COALESCE = 1). constant PACKET_WAIT_UNIT_NS : integer :=20; -- Gives the unit for used for timing of pack-wait bounds. -- The default value of 0 is a special value that -- is synonymous with 1,000,000 ns (1 ms) and a non-default -- value is typically only used for testing. -- Relevant only if (INTR_COALESCE = 1). constant BURST_SIZE : integer :=21; -- 1, 2, 4, 8 or 16 -- The default value of 0 is a special value that -- is synonymous with a burst size of 16. -- Setting the BURST_SIZE to 1 effectively disables -- bursts. constant REMAINDER_AS_SINGLES : integer :=22; -- 0 Remainder handled as a short burst -- 1 Remainder handled as a series of singles -------------------------------------------------------------------------------- -- The constant below is not the index of a dependent-properties -- parameter (and, as such, would never appear as a choice in a -- dependent-properties aggregate). Rather, it is fixed to the maximum -- number of physical channels that an Address Range of type -- IPIF_CHDMA_CHANNELS supports. It must be maintained in conjuction with -- the constants named, e.g., NUM_SUBS_FOR_PHYS_15, above. -------------------------------------------------------------------------------- constant MAX_NUM_PHYS_CHANNELS : natural := 16; -------------------------------------------------------------------------- -- EXAMPLE: Here is an example dependent-properties aggregate for an -- address range of type IPIF_CHDMA_CHANNELS. -- To have a compact list of all of the CHDMA parameters, all are -- shown, however three are commented out and the unneeded -- MUM_SUBS_FOR_PHYS_x are excluded. The "OTHERS => 0" association -- gives these parameters their default values, such that, for the example -- -- - All physical channels above 2 have zero subchannels (effectively, -- these physical channels are not used) -- - There are no simple SG channels -- - The packet-wait time unit is 1 ms -- - Burst size is 16 -------------------------------------------------------------------------- -- ( -- NUM_SUBS_FOR_PHYS_0 => 8, -- NUM_SUBS_FOR_PHYS_1 => 4, -- NUM_SUBS_FOR_PHYS_2 => 14, -- NUM_SIMPLE_DMA_CHANS => 1, -- --NUM_SIMPLE_SG_CHANS => 5, -- INTR_COALESCE => 1, -- CLK_PERIOD_PS => 20000, -- --PACKET_WAIT_UNIT_NS => 50000, -- --BURST_SIZE => 1, -- REMAINDER_AS_SINGLES => 1, -- OTHERS => 0 -- ) -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the vacancy (emptiness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the occupancy (fullness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Function eff_dp. -- -- For some of the dependent properties, the default value of zero is meant -- to imply an effective default value of other than zero (see e.g. -- PKT_WAIT_UNIT_NS for the IPIF_CHDMA_CHANNELS address-range type). The -- following function is used to get the (possibly default-adjusted) -- value for a dependent property. -- -- Example call: -- -- eff_value_of_param := -- eff_dp( -- C_IPIF_CHDMA_CHANNELS, -- PACKET_WAIT_UNIT_NS, -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(PACKET_WAIT_UNIT_NS) -- ); -- -- where C_ARD_DEPENDENT_PROPS_ARRAY(i) is an object of type -- DEPENDENT_PROPS_ARRAY_TYPE, that was parameterized for an address range of -- type C_IPIF_CHDMA_CHANNELS. -------------------------------------------------------------------------------- function eff_dp(id : integer; -- The type of address range. dep_prop : integer; -- The index of the dependent prop. value : integer -- The value at that index. ) return integer; -- The effective value, possibly adjusted -- if value has the default value of 0. ---) End of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Common Properties (properties that apply regardless of the -- type of the address range). Structurally, these work the same as -- the dependent properties. -------------------------------------------------------------------------------- constant COMMON_PROPS_SIZE : integer := 2; subtype COMMON_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to COMMON_PROPS_SIZE-1); type COMMON_PROPS_ARRAY_TYPE is array (natural range <>) of COMMON_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of the common properties. -- -- These indices should be referenced only by the names below and never -- by numerical literals. -- IDX ---------------------------------------------------------------------------- --- constant KEYHOLE_BURST : integer := 0; -- 1 All addresses of a burst are forced to the initial -- address of the burst. -- 0 Burst addresses follow the bus protocol. -- IP interrupt mode array constants Constant INTR_PASS_THRU : integer := 1; Constant INTR_PASS_THRU_INV : integer := 2; Constant INTR_REG_EVENT : integer := 3; Constant INTR_REG_EVENT_INV : integer := 4; Constant INTR_POS_EDGE_DETECT : integer := 5; Constant INTR_NEG_EDGE_DETECT : integer := 6; end ipif_pkg; library opb_v20_v1_10_d; use opb_v20_v1_10_d.proc_common_pkg.log2; package body ipif_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Function "=" -- -- This function can be used to overload the "=" operator when comparing -- strings. ----------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean is constant tc: character := ' '; -- string termination character variable i: integer := 1; variable v1 : string(1 to s1'length) := s1; variable v2 : string(1 to s2'length) := s2; begin while (i <= v1'length) and (v1(i) /= tc) and (i <= v2'length) and (v2(i) /= tc) and (v1(i) = v2(i)) loop i := i+1; end loop; return ((i > v1'length) or (v1(i) = tc)) and ((i > v2'length) or (v2(i) = tc)); end; ---------------------------------------------------------------------------- -- Function equaluseCase -- -- This function returns true if case sensitive string comparison determines -- that str1 and str2 are the same. ----------------------------------------------------------------------------- FUNCTION equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str1'range LOOP IF NOT (str1(i) = str2(i)) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equaluseCase; ----------------------------------------------------------------------------- -- Function calc_num_ce -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The array is input to -- the function and an integer is returned reflecting the total number of -- Chip Enables required for the CE, RdCE, and WrCE Buses ----------------------------------------------------------------------------- function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is Variable ce_num_sum : integer := 0; begin for i in 0 to (ce_num_array'length)-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; return(ce_num_sum); end function calc_num_ce; ----------------------------------------------------------------------------- -- Function calc_start_ce_index -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The CE Size array is -- input to the function and an integer index representing the index of the -- target module in the ce_num_array. An integer is returned reflecting the -- starting index of the assigned Chip Enables within the CE, RdCE, and -- WrCE Buses. ----------------------------------------------------------------------------- function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer is Variable ce_num_sum : integer := 0; begin If (index = 0) Then ce_num_sum := 0; else for i in 0 to index-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; End if; return(ce_num_sum); end function calc_start_ce_index; ----------------------------------------------------------------------------- -- Function get_min_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the smallest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_min : Integer := 1024; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) < temp_min) Then temp_min := dwidth_array(i); else null; End if; End loop; return(temp_min); end function get_min_dwidth; ----------------------------------------------------------------------------- -- Function get_max_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the largest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_max : Integer := 0; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) > temp_max) Then temp_max := dwidth_array(i); else null; End if; End loop; return(temp_max); end function get_max_dwidth; ----------------------------------------------------------------------------- -- Function S32 -- -- This function is used to expand an input string to 32 characters by -- padding with spaces. If the input string is larger than 32 characters, -- it will truncate to 32 characters. ----------------------------------------------------------------------------- function S32 (in_string : string) return string is constant OUTPUT_STRING_LENGTH : integer := 32; Constant space : character := ' '; variable new_string : string(1 to 32); Variable start_index : Integer := in_string'length+1; begin If (in_string'length < OUTPUT_STRING_LENGTH) Then for i in 1 to in_string'length loop new_string(i) := in_string(i); End loop; for j in start_index to OUTPUT_STRING_LENGTH loop new_string(j) := space; End loop; else -- use first 32 chars of in_string (truncate the rest) for k in 1 to OUTPUT_STRING_LENGTH loop new_string(k) := in_string(k); End loop; End if; return(new_string); end function S32; ----------------------------------------------------------------------------- -- Function get_id_index -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- id number is input to the function. A integer is returned reflecting the -- array index of the id matching the id input number. This function -- should only be called if the id number is known to exist in the -- name_array input. This can be detirmined by using the find_ard_id -- function. ----------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := 10000; -- a really big number! begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index; -------------------------------------------------------------------------------- -- get_id_index but return a value in bounds on error (iboe). -- -- This function is the same as get_id_index, except that when id does -- not exist in id_array, the value returned is any index that is -- within the index range of id_array. -- -- This function would normally only be used where function find_ard_id -- is used to establish the existence of id but, even when non-existent, -- an element of one of the ARD arrays will be computed from the -- returned get_id_index_iboe value. See, e.g., function bits_needed_for_vac -- and the example call, below -- -- bits_needed_for_vac( -- find_ard_id(C_ARD_ID_ARRAY, IPIF_RDFIFO_DATA), -- C_ARD_DEPENDENT_PROPS_ARRAY(get_id_index_iboe(C_ARD_ID_ARRAY, -- IPIF_RDFIFO_DATA)) -- ) -------------------------------------------------------------------------------- function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := id_array'left; -- any valid array index begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index_iboe; ----------------------------------------------------------------------------- -- Function find_ard_id -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- integer id is input to the function. A boolean is returned reflecting the -- presence (or not) of a number in the array matching the id input number. ----------------------------------------------------------------------------- function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean is Variable match : Boolean := false; begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); End if; End loop; return(match); end function find_ard_id; ----------------------------------------------------------------------------- -- Function find_id_dwidth -- -- This function is used to find the data width of a target module. If the -- target module exists, the data width is extracted from the input dwidth -- array. If the module is not in the ID array, the default input is -- returned. This function is needed to assign data port size constraints on -- unconstrained port widths. ----------------------------------------------------------------------------- function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer is Variable id_present : Boolean := false; Variable array_index : Integer := 0; Variable dwidth : Integer := default; begin id_present := find_ard_id(id_array, id); If (id_present) Then array_index := get_id_index (id_array, id); dwidth := dwidth_array(array_index); else null; -- use default input End if; Return (dwidth); end function find_id_dwidth; ----------------------------------------------------------------------------- -- Function cnt_ipif_id_blks -- -- This function is used to detirmine the number of IPIF components specified -- in the ARD ID Array. An integer is returned representing the number -- of elements counted. User IDs are ignored in the counting process. ----------------------------------------------------------------------------- function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer is Variable blk_count : integer := 0; Variable temp_id : integer; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_count := blk_count+1; else -- go to next loop iteration null; End if; End loop; return(blk_count); end function cnt_ipif_id_blks; ----------------------------------------------------------------------------- -- Function get_ipif_id_dbus_index -- -- This function is used to detirmine the IPIF relative index of a given -- ID value. User IDs are ignored in the index detirmination. ----------------------------------------------------------------------------- function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer is Variable blk_index : integer := 0; Variable temp_id : integer; Variable id_found : Boolean := false; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (id_found) then null; elsif (temp_id = id) then id_found := true; elsif (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_index := blk_index+1; else -- user block so do nothing null; End if; End loop; return(blk_index); end function get_ipif_id_dbus_index; ------------------------------------------------------------------------------ -- Function: rebuild_slv32_array -- -- Description: -- This function takes an input slv32 array and rebuilds an output slv32 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr32_array : SLV32_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr32_array(array_index) := slv32_array(array_index); end loop; return(temp_baseaddr32_array); end function rebuild_slv32_array; ------------------------------------------------------------------------------ -- Function: rebuild_slv64_array -- -- Description: -- This function takes an input slv64 array and rebuilds an output slv64 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr64_array : SLV64_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr64_array(array_index) := slv64_array(array_index); end loop; return(temp_baseaddr64_array); end function rebuild_slv64_array; ------------------------------------------------------------------------------ -- Function: rebuild_int_array -- -- Description: -- This function takes an input integer array and rebuilds an output integer -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE is -- Variables variable temp_int_array : INTEGER_ARRAY_TYPE( 0 to num_valid_entry-1); begin for array_index in 0 to num_valid_entry-1 loop temp_int_array(array_index) := int_array(array_index); end loop; return(temp_int_array); end function rebuild_int_array; function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(RD_WIDTH_BITS) ); end if; end function bits_needed_for_vac; function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(WR_WIDTH_BITS) ); end if; end function bits_needed_for_occ; function eff_dp(id : integer; dep_prop : integer; value : integer) return integer is variable dp : integer := dep_prop; type bo2na_type is array (boolean) of natural; constant bo2na : bo2na_type := (0, 1); begin if value /= 0 then return value; end if; -- Not default case id is when IPIF_CHDMA_CHANNELS => ------------------- return( bo2na(dp = CLK_PERIOD_PS ) * 10000 + bo2na(dp = PACKET_WAIT_UNIT_NS ) * 1000000 + bo2na(dp = BURST_SIZE ) * 16 ); when others => return 0; end case; end eff_dp; function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE is variable intr_mode_array : INTEGER_ARRAY_TYPE(0 to num_user_intr-1); begin for i in 0 to num_user_intr-1 loop intr_mode_array(i) := intr_capture_mode; end loop; return intr_mode_array; end function populate_intr_mode_array; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_id_array : INTEGER_ARRAY_TYPE(0 to ard_id_array'length); begin intr_ard_id_array(0 to ard_id_array'length-1) := ard_id_array; if include_intr then intr_ard_id_array(ard_id_array'length) := IPIF_INTR; return intr_ard_id_array; else return ard_id_array; end if; end function add_intr_ard_id_array; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE is variable intr_ard_addr_range_array : SLV64_ARRAY_TYPE(0 to ard_addr_range_array'length+1); begin intr_ard_addr_range_array(0 to ard_addr_range_array'length-1) := ard_addr_range_array; if include_intr then intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)) := ZERO_ADDR_PAD & intr_baseaddr; intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)+1) := ZERO_ADDR_PAD & intr_highaddr; return intr_ard_addr_range_array; else return ard_addr_range_array; end if; end function add_intr_ard_addr_range_array; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_dwidth_array : INTEGER_ARRAY_TYPE(0 to ard_dwidth_array'length); begin intr_ard_dwidth_array(0 to ard_dwidth_array'length-1) := ard_dwidth_array; if include_intr then intr_ard_dwidth_array(get_id_index(ard_id_array, IPIF_INTR)) := intr_dwidth; return intr_ard_dwidth_array; else return ard_dwidth_array; end if; end function add_intr_ard_dwidth_array; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_num_ce_array : INTEGER_ARRAY_TYPE(0 to ard_num_ce_array'length); begin intr_ard_num_ce_array(0 to ard_num_ce_array'length-1) := ard_num_ce_array; if include_intr then intr_ard_num_ce_array(get_id_index(ard_id_array, IPIF_INTR)) := 16; return intr_ard_num_ce_array; else return ard_num_ce_array; end if; end function add_intr_ard_num_ce_array; end package body ipif_pkg;
------------------------------------------------------------------------------- -- $Id: ipif_pkg.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $ ------------------------------------------------------------------------------- -- IPIF Common Library Package -- Moved to proc_common_v2_00_a ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_pkg.vhd -- Version: Intital -- Description: This file contains the constants and functions used in the -- ipif common library components. -- ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: DET -- History: -- DET 02/21/02 -- Created from proc_common_pkg.vhd -- -- DET 03/13/02 -- PLB IPIF development updates -- ^^^^^^ -- - Commented out string types and string functions due to an XST -- problem with string arrays and functions. THe string array -- processing functions were replaced with comperable functions -- operating on integer arrays. -- ~~~~~~ -- -- -- DET 4/30/2002 Initial -- ~~~~~~ -- - Added three functions: rebuild_slv32_array, rebuild_slv64_array, and -- rebuild_int_array to support removal of unused elements from the -- ARD arrays. -- ^^^^^^ -- -- -- FLO 8/12/2002 -- ~~~~~~ -- - Added three functions: bits_needed_for_vac, bits_needed_for_occ, -- and get_id_index_iboe. -- (Removed provisional functions bits_needed_for_vacancy, -- bits needed_for_occupancy, and bits_needed_for.) -- ^^^^^^ -- -- FLO 3/24/2003 -- ~~~~~~ -- - Added dependent property paramters for channelized DMA. -- - Added common property parameter array type. -- - Definded the KEYHOLD_BURST common-property parameter. -- ^^^^^^ -- -- FLO 10/22/2003 -- ~~~~~~ -- - Some adjustment to CHDMA parameterization. -- - Cleanup of obsolete code and comments. (The former "XST workaround" -- has become the officially deployed method.) -- ^^^^^^ -- -- LSS 03/24/2004 -- ~~~~~~ -- - Added 5 functions -- ^^^^^^ -- -- ALS 09/03/04 -- ^^^^^^ -- -- Added constants to describe the channel protocols used in MCH_OPB_IPIF -- ~~~~~~ -- -- GAB 10/05/09 -- ^^^^^^ -- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and -- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d -- -- Updated legal header -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- need conversion function to convert reals/integers to std logic vectors use ieee.std_logic_arith.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package ipif_pkg is ------------------------------------------------------------------------------- -- Type Declarations ------------------------------------------------------------------------------- type SLV32_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 31); subtype SLV64_TYPE is std_logic_vector(0 to 63); type SLV64_ARRAY_TYPE is array (natural range <>) of SLV64_TYPE; type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; ------------------------------------------------------------------------------- -- Function and Procedure Declarations ------------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean; function equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN; function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer; function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer; function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer; function S32 (in_string : string) return string; -------------------------------------------------------------------------------- -- ARD support functions. -- These function can be useful when operating with the ARD parameterization. -------------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer; function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean; function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer; function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer; function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer ; function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE; function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE; function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE; -- 5 Functions Added 3/24/04 function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE ; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Channel Protocols -- The constant declarations below give symbolic-name aliases for values that -- can be used in the C_MCH_PROTOCOL_ARRAY generic of the MCH_OPB_IPIF. ------------------------------------------------------------------------------- constant XCL : integer := 0; constant DAG : integer := 1; -------------------------------------------------------------------------------- -- Address range types. -- The constant declarations, below, give symbolic-name aliases for values -- that can be used in the C_ARD_ID_ARRAY generic of IPIFs. The first set -- gives aliases that are used to include IPIF services. -------------------------------------------------------------------------------- -- IPIF module aliases Constant IPIF_INTR : integer := 1; Constant IPIF_RST : integer := 2; Constant IPIF_SESR_SEAR : integer := 3; Constant IPIF_DMA_SG : integer := 4; Constant IPIF_WRFIFO_REG : integer := 5; Constant IPIF_WRFIFO_DATA : integer := 6; Constant IPIF_RDFIFO_REG : integer := 7; Constant IPIF_RDFIFO_DATA : integer := 8; Constant IPIF_CHDMA_CHANNELS : integer := 9; Constant IPIF_CHDMA_GLOBAL_REGS : integer := 10; Constant CHDMA_STATUS_FIFO : integer := 90; -- Some predefined user module aliases Constant USER_00 : integer := 100; Constant USER_01 : integer := 101; Constant USER_02 : integer := 102; Constant USER_03 : integer := 103; Constant USER_04 : integer := 104; Constant USER_05 : integer := 105; Constant USER_06 : integer := 106; Constant USER_07 : integer := 107; Constant USER_08 : integer := 108; Constant USER_09 : integer := 109; Constant USER_10 : integer := 110; Constant USER_11 : integer := 111; Constant USER_12 : integer := 112; Constant USER_13 : integer := 113; Constant USER_14 : integer := 114; Constant USER_15 : integer := 115; Constant USER_16 : integer := 116; ---( Start of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Dependent Properties (properties that depend on the type of -- the address range, or in other words, address-range-specific parameters). -- There is one property, i.e. one parameter, encoded as an integer at -- each index of the properties array. There is one properties array for -- each address range. -- -- The C_ARD_DEPENDENT_PROPS_ARRAY generic parameter in (most) IPIFs is such -- a properties array and it is usually giving its (static) value using a -- VHDL aggregate construct. (--ToDo, give an example of this.) -- -- The the "assigned" default value of a dependent property is zero. This value -- is usually specified the aggregate by leaving its (index) name out so that -- it is covered by an "others => 0" choice in the aggregate. Some parameters, -- as noted in the definitions, below, have an "effective" default value that is -- different from the assigned default value of zero. In such cases, the -- function, eff_dp, given below, can be used to get the effective value of -- the dependent property. -------------------------------------------------------------------------------- constant DEPENDENT_PROPS_SIZE : integer := 32; subtype DEPENDENT_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to DEPENDENT_PROPS_SIZE-1); type DEPENDENT_PROPS_ARRAY_TYPE is array (natural range <>) of DEPENDENT_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of dependent properties for the different types of -- address ranges. -- -- Example: Let C_ARD_DEPENDENT_PROPS_ARRAY hold the dependent properites -- for a set of address ranges. Then, e.g., -- -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(FIFO_CAPACITY_BITS) -- -- gives the fifo capacity in bits, provided that the i'th address range -- is of type IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA. -- -- These indices should be referenced only by the names below and never -- by numerical literals. (The right to change numerical index assignments -- is reserved; applications using the names will not be affected by such -- reassignments.) -------------------------------------------------------------------------------- -- --ToDo, if the interrupt controller parameterization is ever moved to -- C_ARD_DEPENDENT_PROPS_ARRAY, then the following declarations -- could be uncommented and used. ---- IPIF_INTR IDX ---------------------------------------------------------------------------- --- constant EXCLUDE_DEV_ISC : integer := 0; -- 1 specifies that only the global interrupt -- enable is present in the device interrupt source -- controller and that the only source of interrupts -- in the device is the IP interrupt source controller. -- 0 specifies that the full device interrupt -- source controller structure will be included. constant INCLUDE_DEV_PENCODER : integer := 1; -- 1 will include the Device IID in the device interrupt -- source controller, 0 will exclude it. -- -- IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA IDX ---------------------------------------------------------------------------- --- constant FIFO_CAPACITY_BITS : integer := 0; constant WR_WIDTH_BITS : integer := 1; constant RD_WIDTH_BITS : integer := 2; constant EXCLUDE_PACKET_MODE : integer := 3; -- 1 Don't include packet mode features -- 0 Include packet mode features constant EXCLUDE_VACANCY : integer := 4; -- 1 Don't include vacancy calculation -- 0 Include vacancy calculation -- See also the functions -- bits_needed_for_vac and -- bits_needed_for_occ that are declared below. constant INCLUDE_DRE : integer := 5; constant INCLUDE_AUTOPUSH_POP : integer := 6; constant AUTOPUSH_POP_CE : integer := 7; constant INCLUDE_CSUM : integer := 8; -------------------------------------------------------------------------------- -- -- DMA_SG IDX ---------------------------------------------------------------------------- --- -------------------------------------------------------------------------------- -- IPIF_CHDMA_CHANNELS IDX ---------------------------------------------------------------------------- --- constant NUM_SUBS_FOR_PHYS_0 : integer :=0; constant NUM_SUBS_FOR_PHYS_1 : integer :=1; constant NUM_SUBS_FOR_PHYS_2 : integer :=2; constant NUM_SUBS_FOR_PHYS_3 : integer :=3; constant NUM_SUBS_FOR_PHYS_4 : integer :=4; constant NUM_SUBS_FOR_PHYS_5 : integer :=5; constant NUM_SUBS_FOR_PHYS_6 : integer :=6; constant NUM_SUBS_FOR_PHYS_7 : integer :=7; constant NUM_SUBS_FOR_PHYS_8 : integer :=8; constant NUM_SUBS_FOR_PHYS_9 : integer :=9; constant NUM_SUBS_FOR_PHYS_10 : integer :=10; constant NUM_SUBS_FOR_PHYS_11 : integer :=11; constant NUM_SUBS_FOR_PHYS_12 : integer :=12; constant NUM_SUBS_FOR_PHYS_13 : integer :=13; constant NUM_SUBS_FOR_PHYS_14 : integer :=14; constant NUM_SUBS_FOR_PHYS_15 : integer :=15; -- Gives the number of sub-channels for physical channel i. -- -- These constants, which will be MAX_NUM_PHYS_CHANNELS in number (see -- below), have consecutive values starting with 0 for -- NUM_SUBS_FOR_PHYS_0. (The constants serve the purpose of giving symbolic -- names for use in the dependent-properties aggregates that parameterize -- an IPIF_CHDMA_CHANNELS address range.) -- -- [Users can ignore this note for developers -- If the number of physical channels changes, both the -- IPIF_CHDMA_CHANNELS constants and MAX_NUM_PHYS_CHANNELS, -- below, must be adjusted. -- (Use of an array constant or a function of the form -- NUM_SUBS_FOR_PHYS(i) to define the indices -- runs afoul of LRM restrictions on non-locally static aggregate -- choices. (Further, the LRM imposes perhaps unnecessarily -- strict limits on what qualifies as a locally static primary.) -- Note: This information is supplied for the benefit of anyone seeking -- to improve the way that these NUM_SUBS_FOR_PHYS parameter -- indices are defined.) -- End of note for developers ] -- -- The value associated with any index NUM_SUBS_FOR_PHYS_i in the -- dependent-properties array must be even since TX and RX channels -- come in pairs with the TX followed immediately by -- the corresponding RX. -- constant NUM_SIMPLE_DMA_CHANS : integer :=16; -- The number of simple DMA channels. constant NUM_SIMPLE_SG_CHANS : integer :=17; -- The number of simple SG channels. constant INTR_COALESCE : integer :=18; -- 0 Interrupt coalescing is disabled -- 1 Interrupt coalescing is enabled constant CLK_PERIOD_PS : integer :=19; -- The period of the OPB Bus clock in ps. -- The default value of 0 is a special value that -- is synonymous with 10000 ps (10 ns). -- The value for CLK_PERIOD_PS is relevant only if (INTR_COALESCE = 1). constant PACKET_WAIT_UNIT_NS : integer :=20; -- Gives the unit for used for timing of pack-wait bounds. -- The default value of 0 is a special value that -- is synonymous with 1,000,000 ns (1 ms) and a non-default -- value is typically only used for testing. -- Relevant only if (INTR_COALESCE = 1). constant BURST_SIZE : integer :=21; -- 1, 2, 4, 8 or 16 -- The default value of 0 is a special value that -- is synonymous with a burst size of 16. -- Setting the BURST_SIZE to 1 effectively disables -- bursts. constant REMAINDER_AS_SINGLES : integer :=22; -- 0 Remainder handled as a short burst -- 1 Remainder handled as a series of singles -------------------------------------------------------------------------------- -- The constant below is not the index of a dependent-properties -- parameter (and, as such, would never appear as a choice in a -- dependent-properties aggregate). Rather, it is fixed to the maximum -- number of physical channels that an Address Range of type -- IPIF_CHDMA_CHANNELS supports. It must be maintained in conjuction with -- the constants named, e.g., NUM_SUBS_FOR_PHYS_15, above. -------------------------------------------------------------------------------- constant MAX_NUM_PHYS_CHANNELS : natural := 16; -------------------------------------------------------------------------- -- EXAMPLE: Here is an example dependent-properties aggregate for an -- address range of type IPIF_CHDMA_CHANNELS. -- To have a compact list of all of the CHDMA parameters, all are -- shown, however three are commented out and the unneeded -- MUM_SUBS_FOR_PHYS_x are excluded. The "OTHERS => 0" association -- gives these parameters their default values, such that, for the example -- -- - All physical channels above 2 have zero subchannels (effectively, -- these physical channels are not used) -- - There are no simple SG channels -- - The packet-wait time unit is 1 ms -- - Burst size is 16 -------------------------------------------------------------------------- -- ( -- NUM_SUBS_FOR_PHYS_0 => 8, -- NUM_SUBS_FOR_PHYS_1 => 4, -- NUM_SUBS_FOR_PHYS_2 => 14, -- NUM_SIMPLE_DMA_CHANS => 1, -- --NUM_SIMPLE_SG_CHANS => 5, -- INTR_COALESCE => 1, -- CLK_PERIOD_PS => 20000, -- --PACKET_WAIT_UNIT_NS => 50000, -- --BURST_SIZE => 1, -- REMAINDER_AS_SINGLES => 1, -- OTHERS => 0 -- ) -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the vacancy (emptiness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Calculates the number of bits needed to convey the occupancy (fullness) of -- the fifo described by dependent_props, if fifo_present. If not fifo_present, -- returns 0 (or the smallest value allowed by tool limitations on null arrays) -- without making reference to dependent_props. -------------------------------------------------------------------------------- function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer; -------------------------------------------------------------------------------- -- Function eff_dp. -- -- For some of the dependent properties, the default value of zero is meant -- to imply an effective default value of other than zero (see e.g. -- PKT_WAIT_UNIT_NS for the IPIF_CHDMA_CHANNELS address-range type). The -- following function is used to get the (possibly default-adjusted) -- value for a dependent property. -- -- Example call: -- -- eff_value_of_param := -- eff_dp( -- C_IPIF_CHDMA_CHANNELS, -- PACKET_WAIT_UNIT_NS, -- C_ARD_DEPENDENT_PROPS_ARRAY(i)(PACKET_WAIT_UNIT_NS) -- ); -- -- where C_ARD_DEPENDENT_PROPS_ARRAY(i) is an object of type -- DEPENDENT_PROPS_ARRAY_TYPE, that was parameterized for an address range of -- type C_IPIF_CHDMA_CHANNELS. -------------------------------------------------------------------------------- function eff_dp(id : integer; -- The type of address range. dep_prop : integer; -- The index of the dependent prop. value : integer -- The value at that index. ) return integer; -- The effective value, possibly adjusted -- if value has the default value of 0. ---) End of Dependent Properties declarations -------------------------------------------------------------------------------- -- Declarations for Common Properties (properties that apply regardless of the -- type of the address range). Structurally, these work the same as -- the dependent properties. -------------------------------------------------------------------------------- constant COMMON_PROPS_SIZE : integer := 2; subtype COMMON_PROPS_TYPE is INTEGER_ARRAY_TYPE(0 to COMMON_PROPS_SIZE-1); type COMMON_PROPS_ARRAY_TYPE is array (natural range <>) of COMMON_PROPS_TYPE; -------------------------------------------------------------------------------- -- Below are the indices of the common properties. -- -- These indices should be referenced only by the names below and never -- by numerical literals. -- IDX ---------------------------------------------------------------------------- --- constant KEYHOLE_BURST : integer := 0; -- 1 All addresses of a burst are forced to the initial -- address of the burst. -- 0 Burst addresses follow the bus protocol. -- IP interrupt mode array constants Constant INTR_PASS_THRU : integer := 1; Constant INTR_PASS_THRU_INV : integer := 2; Constant INTR_REG_EVENT : integer := 3; Constant INTR_REG_EVENT_INV : integer := 4; Constant INTR_POS_EDGE_DETECT : integer := 5; Constant INTR_NEG_EDGE_DETECT : integer := 6; end ipif_pkg; library opb_v20_v1_10_d; use opb_v20_v1_10_d.proc_common_pkg.log2; package body ipif_pkg is ------------------------------------------------------------------------------- -- Function Definitions ------------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Function "=" -- -- This function can be used to overload the "=" operator when comparing -- strings. ----------------------------------------------------------------------------- function "=" (s1: in string; s2: in string) return boolean is constant tc: character := ' '; -- string termination character variable i: integer := 1; variable v1 : string(1 to s1'length) := s1; variable v2 : string(1 to s2'length) := s2; begin while (i <= v1'length) and (v1(i) /= tc) and (i <= v2'length) and (v2(i) /= tc) and (v1(i) = v2(i)) loop i := i+1; end loop; return ((i > v1'length) or (v1(i) = tc)) and ((i > v2'length) or (v2(i) = tc)); end; ---------------------------------------------------------------------------- -- Function equaluseCase -- -- This function returns true if case sensitive string comparison determines -- that str1 and str2 are the same. ----------------------------------------------------------------------------- FUNCTION equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str1'range LOOP IF NOT (str1(i) = str2(i)) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equaluseCase; ----------------------------------------------------------------------------- -- Function calc_num_ce -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The array is input to -- the function and an integer is returned reflecting the total number of -- Chip Enables required for the CE, RdCE, and WrCE Buses ----------------------------------------------------------------------------- function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is Variable ce_num_sum : integer := 0; begin for i in 0 to (ce_num_array'length)-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; return(ce_num_sum); end function calc_num_ce; ----------------------------------------------------------------------------- -- Function calc_start_ce_index -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The CE Size array is -- input to the function and an integer index representing the index of the -- target module in the ce_num_array. An integer is returned reflecting the -- starting index of the assigned Chip Enables within the CE, RdCE, and -- WrCE Buses. ----------------------------------------------------------------------------- function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer is Variable ce_num_sum : integer := 0; begin If (index = 0) Then ce_num_sum := 0; else for i in 0 to index-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; End if; return(ce_num_sum); end function calc_start_ce_index; ----------------------------------------------------------------------------- -- Function get_min_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the smallest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_min : Integer := 1024; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) < temp_min) Then temp_min := dwidth_array(i); else null; End if; End loop; return(temp_min); end function get_min_dwidth; ----------------------------------------------------------------------------- -- Function get_max_dwidth -- -- This function is used to process the array specifying the data bus width -- for each of the target modules. The dwidth_array is input to the function -- and an integer is returned that is the largest value found of all the -- entries in the array. ----------------------------------------------------------------------------- function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is Variable temp_max : Integer := 0; begin for i in 0 to dwidth_array'length-1 loop If (dwidth_array(i) > temp_max) Then temp_max := dwidth_array(i); else null; End if; End loop; return(temp_max); end function get_max_dwidth; ----------------------------------------------------------------------------- -- Function S32 -- -- This function is used to expand an input string to 32 characters by -- padding with spaces. If the input string is larger than 32 characters, -- it will truncate to 32 characters. ----------------------------------------------------------------------------- function S32 (in_string : string) return string is constant OUTPUT_STRING_LENGTH : integer := 32; Constant space : character := ' '; variable new_string : string(1 to 32); Variable start_index : Integer := in_string'length+1; begin If (in_string'length < OUTPUT_STRING_LENGTH) Then for i in 1 to in_string'length loop new_string(i) := in_string(i); End loop; for j in start_index to OUTPUT_STRING_LENGTH loop new_string(j) := space; End loop; else -- use first 32 chars of in_string (truncate the rest) for k in 1 to OUTPUT_STRING_LENGTH loop new_string(k) := in_string(k); End loop; End if; return(new_string); end function S32; ----------------------------------------------------------------------------- -- Function get_id_index -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- id number is input to the function. A integer is returned reflecting the -- array index of the id matching the id input number. This function -- should only be called if the id number is known to exist in the -- name_array input. This can be detirmined by using the find_ard_id -- function. ----------------------------------------------------------------------------- function get_id_index (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := 10000; -- a really big number! begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index; -------------------------------------------------------------------------------- -- get_id_index but return a value in bounds on error (iboe). -- -- This function is the same as get_id_index, except that when id does -- not exist in id_array, the value returned is any index that is -- within the index range of id_array. -- -- This function would normally only be used where function find_ard_id -- is used to establish the existence of id but, even when non-existent, -- an element of one of the ARD arrays will be computed from the -- returned get_id_index_iboe value. See, e.g., function bits_needed_for_vac -- and the example call, below -- -- bits_needed_for_vac( -- find_ard_id(C_ARD_ID_ARRAY, IPIF_RDFIFO_DATA), -- C_ARD_DEPENDENT_PROPS_ARRAY(get_id_index_iboe(C_ARD_ID_ARRAY, -- IPIF_RDFIFO_DATA)) -- ) -------------------------------------------------------------------------------- function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE; id : integer) return integer is Variable match : Boolean := false; Variable match_index : Integer := id_array'left; -- any valid array index begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); If (match) Then match_index := array_index; else null; End if; End if; End loop; return(match_index); end function get_id_index_iboe; ----------------------------------------------------------------------------- -- Function find_ard_id -- -- This function is used to process the array specifying the target function -- assigned to a Base Address pair address range. The id_array and a -- integer id is input to the function. A boolean is returned reflecting the -- presence (or not) of a number in the array matching the id input number. ----------------------------------------------------------------------------- function find_ard_id (id_array : INTEGER_ARRAY_TYPE; id : integer) return boolean is Variable match : Boolean := false; begin for array_index in 0 to id_array'length-1 loop If (match = true) Then -- match already found so do nothing null; else -- compare the numbers one by one match := (id_array(array_index) = id); End if; End loop; return(match); end function find_ard_id; ----------------------------------------------------------------------------- -- Function find_id_dwidth -- -- This function is used to find the data width of a target module. If the -- target module exists, the data width is extracted from the input dwidth -- array. If the module is not in the ID array, the default input is -- returned. This function is needed to assign data port size constraints on -- unconstrained port widths. ----------------------------------------------------------------------------- function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE; dwidth_array: INTEGER_ARRAY_TYPE; id : integer; default : integer) return integer is Variable id_present : Boolean := false; Variable array_index : Integer := 0; Variable dwidth : Integer := default; begin id_present := find_ard_id(id_array, id); If (id_present) Then array_index := get_id_index (id_array, id); dwidth := dwidth_array(array_index); else null; -- use default input End if; Return (dwidth); end function find_id_dwidth; ----------------------------------------------------------------------------- -- Function cnt_ipif_id_blks -- -- This function is used to detirmine the number of IPIF components specified -- in the ARD ID Array. An integer is returned representing the number -- of elements counted. User IDs are ignored in the counting process. ----------------------------------------------------------------------------- function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer is Variable blk_count : integer := 0; Variable temp_id : integer; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_count := blk_count+1; else -- go to next loop iteration null; End if; End loop; return(blk_count); end function cnt_ipif_id_blks; ----------------------------------------------------------------------------- -- Function get_ipif_id_dbus_index -- -- This function is used to detirmine the IPIF relative index of a given -- ID value. User IDs are ignored in the index detirmination. ----------------------------------------------------------------------------- function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE; id : integer) return integer is Variable blk_index : integer := 0; Variable temp_id : integer; Variable id_found : Boolean := false; begin for array_index in 0 to id_array'length-1 loop temp_id := id_array(array_index); If (id_found) then null; elsif (temp_id = id) then id_found := true; elsif (temp_id = IPIF_WRFIFO_DATA or temp_id = IPIF_RDFIFO_DATA or temp_id = IPIF_RST or temp_id = IPIF_INTR or temp_id = IPIF_DMA_SG or temp_id = IPIF_SESR_SEAR ) Then -- IPIF block found blk_index := blk_index+1; else -- user block so do nothing null; End if; End loop; return(blk_index); end function get_ipif_id_dbus_index; ------------------------------------------------------------------------------ -- Function: rebuild_slv32_array -- -- Description: -- This function takes an input slv32 array and rebuilds an output slv32 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE; num_valid_pairs : integer) return SLV32_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr32_array : SLV32_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr32_array(array_index) := slv32_array(array_index); end loop; return(temp_baseaddr32_array); end function rebuild_slv32_array; ------------------------------------------------------------------------------ -- Function: rebuild_slv64_array -- -- Description: -- This function takes an input slv64 array and rebuilds an output slv64 -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE; num_valid_pairs : integer) return SLV64_ARRAY_TYPE is --Constants constant num_elements : Integer := num_valid_pairs * 2; -- Variables variable temp_baseaddr64_array : SLV64_ARRAY_TYPE( 0 to num_elements-1); begin for array_index in 0 to num_elements-1 loop temp_baseaddr64_array(array_index) := slv64_array(array_index); end loop; return(temp_baseaddr64_array); end function rebuild_slv64_array; ------------------------------------------------------------------------------ -- Function: rebuild_int_array -- -- Description: -- This function takes an input integer array and rebuilds an output integer -- array composed of the first "num_valid_entry" elements from the input -- array. ------------------------------------------------------------------------------ function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE; num_valid_entry : integer) return INTEGER_ARRAY_TYPE is -- Variables variable temp_int_array : INTEGER_ARRAY_TYPE( 0 to num_valid_entry-1); begin for array_index in 0 to num_valid_entry-1 loop temp_int_array(array_index) := int_array(array_index); end loop; return(temp_int_array); end function rebuild_int_array; function bits_needed_for_vac( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(RD_WIDTH_BITS) ); end if; end function bits_needed_for_vac; function bits_needed_for_occ( fifo_present: boolean; dependent_props : DEPENDENT_PROPS_TYPE ) return integer is begin if not fifo_present then return 1; -- Zero would be better but leads to "0 to -1" null -- ranges that are not handled by XST Flint or earlier -- because of the negative index. else return log2(1 + dependent_props(FIFO_CAPACITY_BITS) / dependent_props(WR_WIDTH_BITS) ); end if; end function bits_needed_for_occ; function eff_dp(id : integer; dep_prop : integer; value : integer) return integer is variable dp : integer := dep_prop; type bo2na_type is array (boolean) of natural; constant bo2na : bo2na_type := (0, 1); begin if value /= 0 then return value; end if; -- Not default case id is when IPIF_CHDMA_CHANNELS => ------------------- return( bo2na(dp = CLK_PERIOD_PS ) * 10000 + bo2na(dp = PACKET_WAIT_UNIT_NS ) * 1000000 + bo2na(dp = BURST_SIZE ) * 16 ); when others => return 0; end case; end eff_dp; function populate_intr_mode_array (num_user_intr : integer; intr_capture_mode : integer) return INTEGER_ARRAY_TYPE is variable intr_mode_array : INTEGER_ARRAY_TYPE(0 to num_user_intr-1); begin for i in 0 to num_user_intr-1 loop intr_mode_array(i) := intr_capture_mode; end loop; return intr_mode_array; end function populate_intr_mode_array; function add_intr_ard_id_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_id_array : INTEGER_ARRAY_TYPE(0 to ard_id_array'length); begin intr_ard_id_array(0 to ard_id_array'length-1) := ard_id_array; if include_intr then intr_ard_id_array(ard_id_array'length) := IPIF_INTR; return intr_ard_id_array; else return ard_id_array; end if; end function add_intr_ard_id_array; function add_intr_ard_addr_range_array(include_intr : boolean; ZERO_ADDR_PAD : std_logic_vector; intr_baseaddr : std_logic_vector; intr_highaddr : std_logic_vector; ard_id_array : INTEGER_ARRAY_TYPE; ard_addr_range_array : SLV64_ARRAY_TYPE) return SLV64_ARRAY_TYPE is variable intr_ard_addr_range_array : SLV64_ARRAY_TYPE(0 to ard_addr_range_array'length+1); begin intr_ard_addr_range_array(0 to ard_addr_range_array'length-1) := ard_addr_range_array; if include_intr then intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)) := ZERO_ADDR_PAD & intr_baseaddr; intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)+1) := ZERO_ADDR_PAD & intr_highaddr; return intr_ard_addr_range_array; else return ard_addr_range_array; end if; end function add_intr_ard_addr_range_array; function add_intr_ard_dwidth_array(include_intr : boolean; intr_dwidth : integer; ard_id_array : INTEGER_ARRAY_TYPE; ard_dwidth_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_dwidth_array : INTEGER_ARRAY_TYPE(0 to ard_dwidth_array'length); begin intr_ard_dwidth_array(0 to ard_dwidth_array'length-1) := ard_dwidth_array; if include_intr then intr_ard_dwidth_array(get_id_index(ard_id_array, IPIF_INTR)) := intr_dwidth; return intr_ard_dwidth_array; else return ard_dwidth_array; end if; end function add_intr_ard_dwidth_array; function add_intr_ard_num_ce_array(include_intr : boolean; ard_id_array : INTEGER_ARRAY_TYPE; ard_num_ce_array : INTEGER_ARRAY_TYPE) return INTEGER_ARRAY_TYPE is variable intr_ard_num_ce_array : INTEGER_ARRAY_TYPE(0 to ard_num_ce_array'length); begin intr_ard_num_ce_array(0 to ard_num_ce_array'length-1) := ard_num_ce_array; if include_intr then intr_ard_num_ce_array(get_id_index(ard_id_array, IPIF_INTR)) := 16; return intr_ard_num_ce_array; else return ard_num_ce_array; end if; end function add_intr_ard_num_ce_array; end package body ipif_pkg;
----------------------------------------------------------------------------- -- Title : -- Project : ----------------------------------------------------------------------------- -- File : baudrate_gen.vhd -- Author : -- Company : -- Created : Thu Aug 21 10:54:44 2014 -- Last update : Thu Aug 21 10:54:44 2014 -- Target Device : Cyclone V -- Standard : VHDL'93 ------------------------------------------------------------------------------ -- Description : ------------------------------------------------------------------------------ -- Generated with MyHDL Version 0.8 ------------------------------------------------------------------------------ -- Copyright : (c) 2014 ------------------------------------------------------------------------------ -- Revisions : -- Date Version Author Description ------------------------------------------------------------------------------ -- Libraries and use clauses library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_08.all; entity baudrate_gen is port ( sysclk: in std_logic; reset_n: in std_logic; half_baud_rate_tick_o: out std_logic; baud_rate_tick_o: out std_logic ); end entity baudrate_gen; -- Serial -- This module implements a baudrate generator -- -- Ports: -- ----- -- sysclk: sysclk input -- reset_n: reset input -- baud_rate_i: the baut rate to generate -- baud_rate_tick_o: the baud rate enable -- ----- architecture MyHDL of baudrate_gen is constant half_baud_const: integer := 434; constant baud_rate_i: integer := 868; signal baud_gen_count_reg: unsigned(9 downto 0); begin BAUDRATE_GEN_SEQUENTIAL_PROCESS: process (sysclk, reset_n) is begin if (reset_n = '0') then baud_gen_count_reg <= to_unsigned(0, 10); baud_rate_tick_o <= '0'; half_baud_rate_tick_o <= '0'; elsif rising_edge(sysclk) then baud_gen_count_reg <= (baud_gen_count_reg + 1); baud_rate_tick_o <= '0'; half_baud_rate_tick_o <= '0'; if (baud_gen_count_reg = baud_rate_i) then baud_gen_count_reg <= to_unsigned(0, 10); baud_rate_tick_o <= '1'; half_baud_rate_tick_o <= '1'; end if; if (baud_gen_count_reg = half_baud_const) then half_baud_rate_tick_o <= '1'; end if; end if; end process BAUDRATE_GEN_SEQUENTIAL_PROCESS; end architecture MyHDL;
-- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- Copyright (C) 2014 Jakub Kicinski <[email protected]> library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY tb_eth_add_ts IS END tb_eth_add_ts; ARCHITECTURE behavior OF tb_eth_add_ts IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT bus_append GENERIC ( N_BYTES : integer ); PORT( Clk : IN std_logic; Rst : IN std_logic; Value : in STD_LOGIC_VECTOR (N_BYTES*8 - 1 downto 0); InPkt : IN std_logic; InData : IN std_logic_vector(7 downto 0); OutPkt : OUT std_logic; OutData : OUT std_logic_vector(7 downto 0)); END COMPONENT; --Inputs signal Clk : std_logic := '0'; signal Rst : std_logic := '0'; signal Cnt64 : std_logic_vector(63 downto 0) := (others => '0'); signal InPkt : std_logic := '0'; signal InData : std_logic_vector(7 downto 0) := (others => '0'); --Outputs signal OutPkt : std_logic; signal OutData : std_logic_vector(7 downto 0); -- Clock period definitions constant Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: bus_append GENERIC MAP ( N_BYTES <= 8 ) PORT MAP ( Clk => Clk, Rst => Rst, Value => Cnt64, InPkt => InPkt, InData => InData, OutPkt => OutPkt, OutData => OutData ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; Cnt64 <= Cnt64 + 1; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for Clk_period*10; InPkt <= '1'; for i in 0 to 6 loop InData <= X"55"; wait for Clk_period; end loop; InData <= X"d5"; wait for Clk_period; for i in 0 to 63 loop InData <= CONV_std_logic_vector(i, 8); wait for Clk_period; end loop; -- InData <= x"00"; -- wait for Clk_period; InPkt <= '0'; -- insert stimulus here wait; end process; END;
library verilog; use verilog.vl_types.all; entity uc is port( clock : in vl_logic; reset : in vl_logic; z : in vl_logic; id_out : in vl_logic_vector(1 downto 0); opcode : in vl_logic_vector(5 downto 0); s_inc : out vl_logic; s_inm : out vl_logic; we3 : out vl_logic; rwe1 : out vl_logic; rwe2 : out vl_logic; rwe3 : out vl_logic; rwe4 : out vl_logic; sec : out vl_logic; s_es : out vl_logic; s_rel : out vl_logic; swe : out vl_logic; s_ret : out vl_logic; op : out vl_logic_vector(2 downto 0) ); end uc;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1540.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s09b00x00p10n01i01540ent IS END c08s09b00x00p10n01i01540ent; ARCHITECTURE c08s09b00x00p10n01i01540arch OF c08s09b00x00p10n01i01540ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN for j in 1 to 100 loop for i in 1 to 5 loop k := k + 1; end loop; end loop; assert NOT( k=500 ) report "***PASSED TEST: c08s09b00x00p10n01i01540" severity NOTE; assert ( k=500 ) report "***FAILED TEST: c08s09b00x00p10n01i01540 - The sequence of statements is executed once for each value of the discrete range" severity ERROR; wait; END PROCESS TESTING; END c08s09b00x00p10n01i01540arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1540.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s09b00x00p10n01i01540ent IS END c08s09b00x00p10n01i01540ent; ARCHITECTURE c08s09b00x00p10n01i01540arch OF c08s09b00x00p10n01i01540ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN for j in 1 to 100 loop for i in 1 to 5 loop k := k + 1; end loop; end loop; assert NOT( k=500 ) report "***PASSED TEST: c08s09b00x00p10n01i01540" severity NOTE; assert ( k=500 ) report "***FAILED TEST: c08s09b00x00p10n01i01540 - The sequence of statements is executed once for each value of the discrete range" severity ERROR; wait; END PROCESS TESTING; END c08s09b00x00p10n01i01540arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1540.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s09b00x00p10n01i01540ent IS END c08s09b00x00p10n01i01540ent; ARCHITECTURE c08s09b00x00p10n01i01540arch OF c08s09b00x00p10n01i01540ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN for j in 1 to 100 loop for i in 1 to 5 loop k := k + 1; end loop; end loop; assert NOT( k=500 ) report "***PASSED TEST: c08s09b00x00p10n01i01540" severity NOTE; assert ( k=500 ) report "***FAILED TEST: c08s09b00x00p10n01i01540 - The sequence of statements is executed once for each value of the discrete range" severity ERROR; wait; END PROCESS TESTING; END c08s09b00x00p10n01i01540arch;
-- ____ _____ -- ________ _________ ____ / __ \/ ___/ -- / ___/ _ \/ ___/ __ \/ __ \/ / / /\__ \ -- / / / __/ /__/ /_/ / / / / /_/ /___/ / -- /_/ \___/\___/\____/_/ /_/\____//____/ -- -- ====================================================================== -- -- title: IP-Core - Clock - Top level entity -- -- project: ReconOS -- author: Christoph R??thing, University of Paderborn -- description: A clock manager which can be configures via the AXI -- bus. Therefore it provides the following write only -- registers: -- Reg#i#: Clock 1 and 2 register of pll#i# -- -- ====================================================================== <<reconos_preproc>> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library axi_lite_ipif_v3_0_4; use axi_lite_ipif_v3_0_4.ipif_pkg.all; use axi_lite_ipif_v3_0_4.axi_lite_ipif; entity reconos_clock is -- -- Generic definitions -- -- C_S_AXI_ - @see axi bus -- -- C_BASE_ADDR - lower address of axi slave -- C_HIGH_ADDR - higher address of axi slave -- -- C_NUM_CLOCKS - number of clocks -- -- C_CLKIN_PERIOD - input clock period -- -- C_CLK#i# - pll generics -- generic ( C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_DATA_WIDTH : integer := 32; C_BASEADDR : std_logic_vector := x"FFFFFFFF"; C_HIGHADDR : std_logic_vector := x"00000000"; C_NUM_CLOCKS: integer := 1; -- Silly Vivado does not yet (Version 2017.1) support real typed generics. -- See AR# 58038 : https://www.xilinx.com/support/answers/58038.html C_CLKIN_PERIOD : integer := 10; <<generate for CLOCKS>> C_CLK<<Id>>_CLKFBOUT_MULT : integer := 16; C_CLK<<Id>>_DIVCLK_DIVIDE : integer := 1; C_CLK<<Id>>_CLKOUT_DIVIDE : integer := 16<<c;>> <<end generate>> ); -- -- Port defintions -- -- CLK_Ref - reference clock -- -- CLK#i#_ - clock outputs -- -- S_AXI_ - @see axi bus -- port ( CLK_Ref : in std_logic; <<generate for CLOCKS>> CLK<<Id>>_Out : out std_logic; CLK<<Id>>_Locked : out std_logic; <<end generate>> S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH / 8 - 1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic ); end entity reconos_clock; architecture imp of reconos_clock is -- Declare port attributes for the Vivado IP Packager ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_INFO of CLK_Ref: SIGNAL is "xilinx.com:signal:clock:1.0 CLK_Ref CLK"; <<generate for CLOCKS>> ATTRIBUTE X_INTERFACE_INFO of CLK<<Id>>_Out: SIGNAL is "xilinx.com:signal:clock:1.0 CLK<<Id>>_Out CLK"; ATTRIBUTE X_INTERFACE_PARAMETER of CLK<<Id>>_Out: SIGNAL is "FREQ_HZ 100000000"; <<end generate>> -- -- Internal ipif signals -- -- @see axi_lite_ipif_v1_01_a -- signal bus2ip_clk : std_logic; signal bus2ip_resetn : std_logic; signal bus2ip_data : std_logic_vector(31 downto 0); signal bus2ip_cs : std_logic_vector(C_NUM_CLOCKS - 1 downto 0); signal bus2ip_rdce : std_logic_vector(C_NUM_CLOCKS - 1 downto 0); signal bus2ip_wrce : std_logic_vector(C_NUM_CLOCKS - 1 downto 0); signal ip2bus_data : std_logic_vector(31 downto 0); signal ip2bus_rdack : std_logic; signal ip2bus_wrack : std_logic; signal ip2bus_error : std_logic; -- -- Constants to configure ipif -- -- @see axi_lite_ipif_v1_01_a -- constant C_ADDR_PAD : std_logic_vector(31 downto 0) := (others => '0'); constant C_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( <<generate for CLOCKS>> 2 * <<_i>> + 0 => C_ADDR_PAD & std_logic_vector(unsigned(C_BASEADDR) + <<_i>> * 4), 2 * <<_i>> + 1 => C_ADDR_PAD & std_logic_vector(unsigned(C_BASEADDR) + <<_i>> * 4 + 3)<<c,>> <<end generate>> ); constant C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( <<generate for CLOCKS>> <<_i>> => 1<<c,>> <<end generate>> ); begin -- == Instantiation of components ===================================== -- -- Instantiation of axi_lite_ipif_v1_01_a -- -- @see axi_lite_ipif_ds765.pdf -- ipif : entity axi_lite_ipif_v3_0_4.axi_lite_ipif generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY, C_DPHASE_TIMEOUT => 64 ) port map ( s_axi_aclk => S_AXI_ACLK, s_axi_aresetn => S_AXI_ARESETN, s_axi_awaddr => S_AXI_AWADDR, s_axi_awvalid => S_AXI_AWVALID, s_axi_wdata => S_AXI_WDATA, s_axi_wstrb => S_AXI_WSTRB, s_axi_wvalid => S_AXI_WVALID, s_axi_bready => S_AXI_BREADY, s_axi_araddr => S_AXI_ARADDR, s_axi_arvalid => S_AXI_ARVALID, s_axi_rready => S_AXI_RREADY, s_axi_arready => S_AXI_ARREADY, s_axi_rdata => S_AXI_RDATA, s_axi_rresp => S_AXI_RRESP, s_axi_rvalid => S_AXI_RVALID, s_axi_wready => S_AXI_WREADY, s_axi_bresp => S_AXI_BRESP, s_axi_bvalid => S_AXI_BVALID, s_axi_awready => S_AXI_AWREADY, bus2ip_clk => bus2ip_clk, bus2ip_resetn => bus2ip_resetn, bus2ip_data => bus2ip_data, bus2ip_cs => bus2ip_cs, bus2ip_rdce => bus2ip_rdce, bus2ip_wrce => bus2ip_wrce, ip2bus_data => ip2bus_data, ip2bus_rdack => ip2bus_rdack, ip2bus_wrack => ip2bus_wrack, ip2bus_error => ip2bus_error ); -- -- Instantiation of user logic -- -- The user logic includes the actual implementation of the bus -- attachment. -- ul : entity work.reconos_clock_user_logic generic map ( C_NUM_CLOCKS => C_NUM_CLOCKS, C_CLKIN_PERIOD => REAL(C_CLKIN_PERIOD), <<generate for CLOCKS>> C_CLK<<Id>>_CLKFBOUT_MULT => C_CLK<<Id>>_CLKFBOUT_MULT, C_CLK<<Id>>_DIVCLK_DIVIDE => C_CLK<<Id>>_DIVCLK_DIVIDE, C_CLK<<Id>>_CLKOUT_DIVIDE => C_CLK<<Id>>_CLKOUT_DIVIDE<<c,>> <<end generate>> ) port map ( CLK_Ref => CLK_Ref, <<generate for CLOCKS>> CLK<<Id>>_Out => CLK<<Id>>_Out, CLK<<Id>>_Locked => CLK<<Id>>_Locked, <<end generate>> BUS2IP_Clk => bus2ip_clk, BUS2IP_Resetn => bus2ip_resetn, BUS2IP_Data => bus2ip_data, BUS2IP_CS => bus2ip_cs, BUS2IP_RdCE => bus2ip_rdce, BUS2IP_WrCE => bus2ip_wrce, IP2BUS_Data => ip2bus_data, IP2BUS_RdAck => ip2bus_rdack, IP2BUS_WrAck => ip2bus_wrack, IP2BUS_Error => ip2bus_error ); end architecture imp;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library sys; use sys.sys_pkg.all; use work.cpu_mmu_inst_pass_pkg.all; entity cpu_mmu_inst_pass is port ( clk : in std_ulogic; rstn : in std_ulogic; cpu_mmu_inst_pass_ctrl_in : in cpu_mmu_inst_pass_ctrl_in_type; cpu_mmu_inst_pass_dp_in : in cpu_mmu_inst_pass_dp_in_type; cpu_mmu_inst_pass_ctrl_out : out cpu_mmu_inst_pass_ctrl_out_type; cpu_mmu_inst_pass_dp_out : out cpu_mmu_inst_pass_dp_out_type ); end;
-- $Id: s3_sram_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2007-2010 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: s3_sram_dummy - syn -- Description: s3board: SRAM protection dummy -- -- Dependencies: - -- Test bench: - -- Target Devices: generic -- Tool versions: xst 8.1-14.7; ghdl 0.18-0.31 -- Revision History: -- Date Rev Version Comment -- 2010-04-17 278 1.0.2 renamed from sram_dummy -- 2007-12-09 101 1.0.1 use _N for active low -- 2007-12-08 100 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.slvtypes.all; entity s3_sram_dummy is -- SRAM protection dummy port ( O_MEM_CE_N : out slv2; -- sram: chip enables (act.low) O_MEM_BE_N : out slv4; -- sram: byte enables (act.low) O_MEM_WE_N : out slbit; -- sram: write enable (act.low) O_MEM_OE_N : out slbit; -- sram: output enable (act.low) O_MEM_ADDR : out slv18; -- sram: address lines IO_MEM_DATA : inout slv32 -- sram: data lines ); end s3_sram_dummy; architecture syn of s3_sram_dummy is begin O_MEM_CE_N <= "11"; -- disable sram chips O_MEM_BE_N <= "1111"; O_MEM_WE_N <= '1'; O_MEM_OE_N <= '1'; O_MEM_ADDR <= (others=>'0'); IO_MEM_DATA <= (others=>'0'); end syn;
------------------------------------------------------------------------------- -- Title : Decode dependencies -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : Control_Decode_Dependencies.vhd -- Author : Robert Jarzmik <[email protected]> -- Company : -- Created : 2016-11-28 -- Last update: 2016-12-14 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Dtall the decode (Read After Write) ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-28 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.cpu_defs.all; ------------------------------------------------------------------------------- entity Control_Decode_Dependencies is generic ( NB_REGISTERS : integer ); port ( clk : in std_logic; rst : in std_logic; -- Decode source registers signal rsi : in natural range 0 to NB_REGISTERS - 1; signal rti : in natural range 0 to NB_REGISTERS - 1; -- Decode to Execute signal i_di2ex_reg1 : in register_port_type; signal i_di2ex_reg2 : in register_port_type; -- Execute to Memory signal i_ex2mem_reg1 : in register_port_type; signal i_ex2mem_reg2 : in register_port_type; -- Memory internal pipe signal i_mem2ctrl_stage1_reg1 : in register_port_type; signal i_mem2ctrl_stage1_reg2 : in register_port_type; signal i_mem2ctrl_stage2_reg1 : in register_port_type; signal i_mem2ctrl_stage2_reg2 : in register_port_type; -- Memory to WriteBack signal i_mem2wb_reg1 : in register_port_type; signal i_mem2wb_reg2 : in register_port_type; -- Writeback to Decode signal i_wb2di_reg1 : in register_port_type; signal i_wb2di_reg2 : in register_port_type; -- Dependencies signal o_raw_detected : out std_logic ); end entity Control_Decode_Dependencies; ------------------------------------------------------------------------------- architecture rtl of Control_Decode_Dependencies is ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- begin -- architecture rtl ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- o_raw_detected <= '1' when (i_di2ex_reg1.we = '1' and i_di2ex_reg1.idx = rsi) or (i_di2ex_reg2.we = '1' and i_di2ex_reg2.idx = rsi) or (i_ex2mem_reg1.we = '1' and i_ex2mem_reg1.idx = rsi) or (i_ex2mem_reg2.we = '1' and i_ex2mem_reg2.idx = rsi) or (i_mem2ctrl_stage1_reg1.we = '1' and i_mem2ctrl_stage1_reg1.idx = rsi) or (i_mem2ctrl_stage1_reg2.we = '1' and i_mem2ctrl_stage1_reg2.idx = rsi) or (i_mem2ctrl_stage2_reg1.we = '1' and i_mem2ctrl_stage2_reg1.idx = rsi) or (i_mem2ctrl_stage2_reg2.we = '1' and i_mem2ctrl_stage2_reg2.idx = rsi) or (i_mem2wb_reg1.we = '1' and i_mem2wb_reg1.idx = rsi) or (i_mem2wb_reg2.we = '1' and i_mem2wb_reg2.idx = rsi) or (i_wb2di_reg1.we = '1' and i_wb2di_reg1.idx = rsi) or (i_wb2di_reg2.we = '1' and i_wb2di_reg2.idx = rsi) or (i_ex2mem_reg1.we = '1' and i_ex2mem_reg1.idx = rti) or (i_ex2mem_reg2.we = '1' and i_ex2mem_reg2.idx = rti) or (i_mem2ctrl_stage1_reg1.we = '1' and i_mem2ctrl_stage1_reg1.idx = rti) or (i_mem2ctrl_stage1_reg2.we = '1' and i_mem2ctrl_stage1_reg2.idx = rti) or (i_mem2ctrl_stage2_reg1.we = '1' and i_mem2ctrl_stage2_reg1.idx = rti) or (i_mem2ctrl_stage2_reg2.we = '1' and i_mem2ctrl_stage2_reg2.idx = rti) or (i_mem2wb_reg1.we = '1' and i_mem2wb_reg1.idx = rti) or (i_mem2wb_reg2.we = '1' and i_mem2wb_reg2.idx = rti) or (i_wb2di_reg1.we = '1' and i_wb2di_reg1.idx = rti) or (i_wb2di_reg2.we = '1' and i_wb2di_reg2.idx = rti) else '0'; end architecture rtl; -------------------------------------------------------------------------------
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon May 29 20:15:21 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_buffer_register_0_0 -prefix -- system_buffer_register_0_0_ system_buffer_register_0_0_sim_netlist.vhdl -- Design : system_buffer_register_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_buffer_register_0_0_buffer_register is port ( val_out : out STD_LOGIC_VECTOR ( 31 downto 0 ); val_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); clk : in STD_LOGIC ); end system_buffer_register_0_0_buffer_register; architecture STRUCTURE of system_buffer_register_0_0_buffer_register is begin \val_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(0), Q => val_out(0), R => '0' ); \val_out_reg[10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(10), Q => val_out(10), R => '0' ); \val_out_reg[11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(11), Q => val_out(11), R => '0' ); \val_out_reg[12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(12), Q => val_out(12), R => '0' ); \val_out_reg[13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(13), Q => val_out(13), R => '0' ); \val_out_reg[14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(14), Q => val_out(14), R => '0' ); \val_out_reg[15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(15), Q => val_out(15), R => '0' ); \val_out_reg[16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(16), Q => val_out(16), R => '0' ); \val_out_reg[17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(17), Q => val_out(17), R => '0' ); \val_out_reg[18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(18), Q => val_out(18), R => '0' ); \val_out_reg[19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(19), Q => val_out(19), R => '0' ); \val_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(1), Q => val_out(1), R => '0' ); \val_out_reg[20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(20), Q => val_out(20), R => '0' ); \val_out_reg[21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(21), Q => val_out(21), R => '0' ); \val_out_reg[22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(22), Q => val_out(22), R => '0' ); \val_out_reg[23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(23), Q => val_out(23), R => '0' ); \val_out_reg[24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(24), Q => val_out(24), R => '0' ); \val_out_reg[25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(25), Q => val_out(25), R => '0' ); \val_out_reg[26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(26), Q => val_out(26), R => '0' ); \val_out_reg[27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(27), Q => val_out(27), R => '0' ); \val_out_reg[28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(28), Q => val_out(28), R => '0' ); \val_out_reg[29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(29), Q => val_out(29), R => '0' ); \val_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(2), Q => val_out(2), R => '0' ); \val_out_reg[30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(30), Q => val_out(30), R => '0' ); \val_out_reg[31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(31), Q => val_out(31), R => '0' ); \val_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(3), Q => val_out(3), R => '0' ); \val_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(4), Q => val_out(4), R => '0' ); \val_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(5), Q => val_out(5), R => '0' ); \val_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(6), Q => val_out(6), R => '0' ); \val_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(7), Q => val_out(7), R => '0' ); \val_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(8), Q => val_out(8), R => '0' ); \val_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => val_in(9), Q => val_out(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_buffer_register_0_0 is port ( clk : in STD_LOGIC; val_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); val_out : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_buffer_register_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_buffer_register_0_0 : entity is "system_buffer_register_0_0,buffer_register,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_buffer_register_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_buffer_register_0_0 : entity is "buffer_register,Vivado 2016.4"; end system_buffer_register_0_0; architecture STRUCTURE of system_buffer_register_0_0 is begin U0: entity work.system_buffer_register_0_0_buffer_register port map ( clk => clk, val_in(31 downto 0) => val_in(31 downto 0), val_out(31 downto 0) => val_out(31 downto 0) ); end STRUCTURE;
--MAC > Multiplier - Adder - Accumulator library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_signed.all; -------------------------------------------- entity mac is port ( clock : in std_logic; ai : in std_logic_vector(7 downto 0); xi : in std_logic_vector(7 downto 0); mac_clean : in std_logic; data_out : out std_logic_vector (18 downto 0) ); end entity mac; --------------------------------------------- architecture multiplier_accumulator_implentation of mac is signal multiplier_result : std_logic_vector(15 downto 0); signal mult_out : std_logic_vector(18 downto 0); signal mult_out_reg : std_logic_vector(15 downto 0); signal reg : std_logic_vector(18 downto 0); signal adder_result : std_logic_vector(18 downto 0); begin multiplier_result <= ai * xi; adder_result <= reg + mult_out; data_out <= reg; process (clock) begin if rising_edge(clock) then mult_out_reg <= multiplier_result; mult_out <= std_logic_vector(resize(signed(mult_out_reg), 19)); if (mac_clean = '1') then -- Multiplier result is ready reg <= mult_out; else reg <= adder_result; end if; end if; end process; end architecture multiplier_accumulator_implentation;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 00:31:33 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/embedded_lab_1/embedded_lab_1.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_auto_pc_1/zynq_design_1_auto_pc_1_sim_netlist.vhdl -- Design : zynq_design_1_auto_pc_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter"; attribute P_AXI3 : integer; attribute P_AXI3 of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \^m_axi_arready\ : STD_LOGIC; signal \^m_axi_awready\ : STD_LOGIC; signal \^m_axi_bid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_buser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_bvalid\ : STD_LOGIC; signal \^m_axi_rdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^m_axi_rid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_rlast\ : STD_LOGIC; signal \^m_axi_rresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_ruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_rvalid\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_araddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_arburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_arlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_arqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_aruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_arvalid\ : STD_LOGIC; signal \^s_axi_awaddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_awburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_awlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_awvalid\ : STD_LOGIC; signal \^s_axi_bready\ : STD_LOGIC; signal \^s_axi_rready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wlast\ : STD_LOGIC; signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_arready\ <= m_axi_arready; \^m_axi_awready\ <= m_axi_awready; \^m_axi_bid\(11 downto 0) <= m_axi_bid(11 downto 0); \^m_axi_bresp\(1 downto 0) <= m_axi_bresp(1 downto 0); \^m_axi_buser\(0) <= m_axi_buser(0); \^m_axi_bvalid\ <= m_axi_bvalid; \^m_axi_rdata\(31 downto 0) <= m_axi_rdata(31 downto 0); \^m_axi_rid\(11 downto 0) <= m_axi_rid(11 downto 0); \^m_axi_rlast\ <= m_axi_rlast; \^m_axi_rresp\(1 downto 0) <= m_axi_rresp(1 downto 0); \^m_axi_ruser\(0) <= m_axi_ruser(0); \^m_axi_rvalid\ <= m_axi_rvalid; \^m_axi_wready\ <= m_axi_wready; \^s_axi_araddr\(31 downto 0) <= s_axi_araddr(31 downto 0); \^s_axi_arburst\(1 downto 0) <= s_axi_arburst(1 downto 0); \^s_axi_arcache\(3 downto 0) <= s_axi_arcache(3 downto 0); \^s_axi_arid\(11 downto 0) <= s_axi_arid(11 downto 0); \^s_axi_arlen\(3 downto 0) <= s_axi_arlen(3 downto 0); \^s_axi_arlock\(0) <= s_axi_arlock(0); \^s_axi_arprot\(2 downto 0) <= s_axi_arprot(2 downto 0); \^s_axi_arqos\(3 downto 0) <= s_axi_arqos(3 downto 0); \^s_axi_arsize\(2 downto 0) <= s_axi_arsize(2 downto 0); \^s_axi_aruser\(0) <= s_axi_aruser(0); \^s_axi_arvalid\ <= s_axi_arvalid; \^s_axi_awaddr\(31 downto 0) <= s_axi_awaddr(31 downto 0); \^s_axi_awburst\(1 downto 0) <= s_axi_awburst(1 downto 0); \^s_axi_awcache\(3 downto 0) <= s_axi_awcache(3 downto 0); \^s_axi_awid\(11 downto 0) <= s_axi_awid(11 downto 0); \^s_axi_awlen\(3 downto 0) <= s_axi_awlen(3 downto 0); \^s_axi_awlock\(0) <= s_axi_awlock(0); \^s_axi_awprot\(2 downto 0) <= s_axi_awprot(2 downto 0); \^s_axi_awqos\(3 downto 0) <= s_axi_awqos(3 downto 0); \^s_axi_awsize\(2 downto 0) <= s_axi_awsize(2 downto 0); \^s_axi_awuser\(0) <= s_axi_awuser(0); \^s_axi_awvalid\ <= s_axi_awvalid; \^s_axi_bready\ <= s_axi_bready; \^s_axi_rready\ <= s_axi_rready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wlast\ <= s_axi_wlast; \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wuser\(0) <= s_axi_wuser(0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_araddr(31 downto 0) <= \^s_axi_araddr\(31 downto 0); m_axi_arburst(1 downto 0) <= \^s_axi_arburst\(1 downto 0); m_axi_arcache(3 downto 0) <= \^s_axi_arcache\(3 downto 0); m_axi_arid(11 downto 0) <= \^s_axi_arid\(11 downto 0); m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3 downto 0) <= \^s_axi_arlen\(3 downto 0); m_axi_arlock(0) <= \^s_axi_arlock\(0); m_axi_arprot(2 downto 0) <= \^s_axi_arprot\(2 downto 0); m_axi_arqos(3 downto 0) <= \^s_axi_arqos\(3 downto 0); m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2 downto 0) <= \^s_axi_arsize\(2 downto 0); m_axi_aruser(0) <= \^s_axi_aruser\(0); m_axi_arvalid <= \^s_axi_arvalid\; m_axi_awaddr(31 downto 0) <= \^s_axi_awaddr\(31 downto 0); m_axi_awburst(1 downto 0) <= \^s_axi_awburst\(1 downto 0); m_axi_awcache(3 downto 0) <= \^s_axi_awcache\(3 downto 0); m_axi_awid(11 downto 0) <= \^s_axi_awid\(11 downto 0); m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3 downto 0) <= \^s_axi_awlen\(3 downto 0); m_axi_awlock(0) <= \^s_axi_awlock\(0); m_axi_awprot(2 downto 0) <= \^s_axi_awprot\(2 downto 0); m_axi_awqos(3 downto 0) <= \^s_axi_awqos\(3 downto 0); m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2 downto 0) <= \^s_axi_awsize\(2 downto 0); m_axi_awuser(0) <= \^s_axi_awuser\(0); m_axi_awvalid <= \^s_axi_awvalid\; m_axi_bready <= \^s_axi_bready\; m_axi_rready <= \^s_axi_rready\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \^s_axi_wlast\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \^s_axi_wuser\(0); m_axi_wvalid <= \^s_axi_wvalid\; s_axi_arready <= \^m_axi_arready\; s_axi_awready <= \^m_axi_awready\; s_axi_bid(11 downto 0) <= \^m_axi_bid\(11 downto 0); s_axi_bresp(1 downto 0) <= \^m_axi_bresp\(1 downto 0); s_axi_buser(0) <= \^m_axi_buser\(0); s_axi_bvalid <= \^m_axi_bvalid\; s_axi_rdata(31 downto 0) <= \^m_axi_rdata\(31 downto 0); s_axi_rid(11 downto 0) <= \^m_axi_rid\(11 downto 0); s_axi_rlast <= \^m_axi_rlast\; s_axi_rresp(1 downto 0) <= \^m_axi_rresp\(1 downto 0); s_axi_ruser(0) <= \^m_axi_ruser\(0); s_axi_rvalid <= \^m_axi_rvalid\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_auto_pc_1 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zynq_design_1_auto_pc_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zynq_design_1_auto_pc_1 : entity is "zynq_design_1_auto_pc_1,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zynq_design_1_auto_pc_1 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zynq_design_1_auto_pc_1 : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end zynq_design_1_auto_pc_1; architecture STRUCTURE of zynq_design_1_auto_pc_1 is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.zynq_design_1_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(11 downto 0) => m_axi_arid(11 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(11 downto 0) => m_axi_awid(11 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => m_axi_bid(11 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
library verilog; use verilog.vl_types.all; entity bus_master_mux is port( m0_addr : in vl_logic_vector(29 downto 0); m0_as_n : in vl_logic; m0_rw : in vl_logic; m0_wr_data : in vl_logic_vector(31 downto 0); m0_grant_n : in vl_logic; m1_addr : in vl_logic_vector(29 downto 0); m1_as_n : in vl_logic; m1_rw : in vl_logic; m1_wr_data : in vl_logic_vector(31 downto 0); m1_grant_n : in vl_logic; m2_addr : in vl_logic_vector(29 downto 0); m2_as_n : in vl_logic; m2_rw : in vl_logic; m2_wr_data : in vl_logic_vector(31 downto 0); m2_grant_n : in vl_logic; m3_addr : in vl_logic_vector(29 downto 0); m3_as_n : in vl_logic; m3_rw : in vl_logic; m3_wr_data : in vl_logic_vector(31 downto 0); m3_grant_n : in vl_logic; s_addr : out vl_logic_vector(29 downto 0); s_as_n : out vl_logic; s_rw : out vl_logic; s_wr_data : out vl_logic_vector(31 downto 0) ); end bus_master_mux;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:47:00 10/29/2013 -- Design Name: -- Module Name: C:/Users/Fabian/Documents/GitHub/taller-diseno-digital/Proyecto Final/proyecto-final/test_i2s.vhd -- Project Name: proyecto-final -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: i2s_output -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY test_i2s IS END test_i2s; ARCHITECTURE behavior OF test_i2s IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT i2s_output PORT( clk : IN std_logic; data_l : IN std_logic_vector(15 downto 0); data_r : IN std_logic_vector(15 downto 0); accepted : OUT std_logic; i2s_sd : OUT std_logic; i2s_lrclk : OUT std_logic; i2s_sclk : OUT std_logic; i2s_mclk : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal data_l : std_logic_vector(15 downto 0) := (others => '0'); signal data_r : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal accepted : std_logic; signal i2s_sd : std_logic; signal i2s_lrclk : std_logic; signal i2s_sclk : std_logic; signal i2s_mclk : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; constant i2s_lrclk_period : time := 10 ns; constant i2s_sclk_period : time := 10 ns; constant i2s_mclk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: i2s_output PORT MAP ( clk => clk, data_l => data_l, data_r => data_r, accepted => accepted, i2s_sd => i2s_sd, i2s_lrclk => i2s_lrclk, i2s_sclk => i2s_sclk, i2s_mclk => i2s_mclk ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; i2s_lrclk_process :process begin i2s_lrclk <= '0'; wait for i2s_lrclk_period/2; i2s_lrclk <= '1'; wait for i2s_lrclk_period/2; end process; i2s_sclk_process :process begin i2s_sclk <= '0'; wait for i2s_sclk_period/2; i2s_sclk <= '1'; wait for i2s_sclk_period/2; end process; i2s_mclk_process :process begin i2s_mclk <= '0'; wait for i2s_mclk_period/2; i2s_mclk <= '1'; wait for i2s_mclk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here wait; end process; END;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: can_oc -- File: can_oc.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: AHB interface for the OpenCores CAN MAC ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.can.all; entity can_mc is generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; ncores : integer range 1 to 8 := 1; sepirq : integer range 0 to 1 := 0; syncrst : integer range 0 to 2 := 0; ft : integer range 0 to 1 := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic_vector(0 to 7); can_txo : out std_logic_vector(0 to 7) ); attribute sync_set_reset of resetn : signal is "true"; end; architecture rtl of can_mc is constant REVISION : amba_version_type := ncores-1; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_CANAHB, 0, REVISION, irq), 4 => ahb_iobar(ioaddr, iomask), others => zero32); type ahbregs is record hsel : std_ulogic; hwrite : std_ulogic; hwrite2 : std_ulogic; htrans : std_logic_vector(1 downto 0); haddr : std_logic_vector(10 downto 0); hwdata : std_logic_vector(7 downto 0); herr : std_ulogic; hready : std_ulogic; ws : std_logic_vector(1 downto 0); irqi : std_logic_vector(ncores-1 downto 0); irqo : std_logic_vector(ncores-1 downto 0); end record; subtype cdata is std_logic_vector(7 downto 0); type cdataarr is array (0 to 7) of cdata; signal data_out : cdataarr; signal reset : std_logic; signal irqo : std_logic_vector(ncores-1 downto 0); signal cs : std_logic_vector(7 downto 0); signal vcc, gnd : std_ulogic; signal r, rin : ahbregs; --attribute sync_set_reset : string; attribute sync_set_reset of reset : signal is "true"; begin gnd <= '0'; vcc <= '1'; reset <= not resetn; comb : process(ahbsi, r, resetn, data_out, irqo) variable v : ahbregs; variable hresp : std_logic_vector(1 downto 0); variable lcs, dataout : std_logic_vector(7 downto 0); variable irqvec : std_logic_vector(NAHBIRQ-1 downto 0); variable hwdata : std_logic_vector(31 downto 0); begin v := r; hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)); if (r.hsel = '1' ) and (r.ws /= "11") then v.ws := r.ws + 1; end if; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(slvndx); v.haddr := ahbsi.haddr(10 downto 0); v.htrans := ahbsi.htrans; v.hwrite := ahbsi.hwrite; v.herr := orv(ahbsi.hsize) and ahbsi.hwrite; v.ws := "00"; end if; v.hready := (r.hsel and r.ws(1) and not r.ws(0)) or not resetn or (ahbsi.hready and not ahbsi.htrans(1)) or not v.hsel; v.hwrite2 := r.hwrite and r.hsel and r.htrans(1) and r.ws(1) and not r.ws(0) and not r.herr; if (r.herr and r.ws(1)) = '1' then hresp := HRESP_ERROR; else hresp := HRESP_OKAY; end if; case r.haddr(1 downto 0) is when "00" => v.hwdata := hwdata(31 downto 24); when "01" => v.hwdata := hwdata(23 downto 16); when "10" => v.hwdata := hwdata(15 downto 8); when others => v.hwdata := hwdata(7 downto 0); end case; if ncores > 1 then if r.hsel = '1' then lcs := decode(r.haddr(10 downto 8)); else lcs := (others => '0'); end if; dataout := data_out(conv_integer(r.haddr(10 downto 8))); else dataout := data_out(0); lcs := "0000000" & r.hsel; end if; -- Interrupt goes to low when appeard and is normal high -- but the irq controller from leon is active high and the interrupt should appear only -- for 1 Clk cycle, v.irqi := irqo; v.irqo:= (r.irqi and not irqo); irqvec := (others => '0'); if sepirq = 1 then irqvec(ncores-1+irq downto irq) := r.irqo; else irqvec(irq) := orv(r.irqo); end if; ahbso.hirq <= irqvec; ahbso.hrdata <= ahbdrivedata(dataout); cs <= lcs; ahbso.hresp <= hresp; rin <= v; end process; reg : process(clk) begin if clk'event and clk = '1' then r <= rin; end if; end process; cgen : for i in 0 to 7 generate c0 : if i < ncores generate cmod : can_mod generic map (memtech, syncrst, ft) port map (reset, clk, cs(i), r.hwrite2, r.haddr(7 downto 0), r.hwdata, data_out(i), irqo(i), can_rxi(i), can_txo(i), ahbsi.testen); end generate; c1 : if i >= ncores generate can_txo(i) <= '0'; data_out(i) <= (others => '0'); end generate; end generate; ahbso.hconfig <= hconfig; ahbso.hindex <= slvndx; ahbso.hsplit <= (others => '0'); ahbso.hready <= r.hready; -- pragma translate_off bootmsg : report_version generic map ( "can_oc" & tost(slvndx) & ": SJA1000 Compatible CAN MAC, #cores " & tost(REVISION+1) & ", irq " & tost(irq)); -- pragma translate_on end;
package fifo_pkg is end package fifo_pkg; package fifo_pkg is end package; package fifo_pkg is end;
------------------------------------------------------------------------------- -- -- Title : rtl_lcd1602 -- Author : Alexander Kapitanov -- Company : Instrumental Systems -- E-mail : [email protected] -- -- Version : 1.0 -- ------------------------------------------------------------------------------- -- -- Description : Controller for LCD Display LCD1602 -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity rtl_lcd1602 is generic ( TD : in time; --! simulation time; DIV_SCL : in integer --! clock division for SCL: clk50m/DIV_SCL ); port( -- global ports clk50m : in std_logic; --! system frequency (50 MHz) rstn : in std_logic; --! '0' - negative reset -- main interface start : in std_logic; --! start data_ena : in std_logic; --! data enable (S) data_int : in std_logic_vector(7 downto 0); --! data Tx data_sel : in std_logic; --! select: '0' - data, '1' - command data_rw : in std_logic; --! data write: write - '0', read - '1' lcd_ready : out std_logic; --! ready for data lcd_init : out std_logic; --! lcd initialization complete -- lcd1602 interface lcd_dt : out std_logic_vector(7 downto 0); --! lcd data lcd_en : out std_logic; --! lcd clock enable lcd_rw : out std_logic; --! lcd r/w: write - '0', read - '1' lcd_rs : out std_logic --! lcd set: command - '0', data - '1' ); end rtl_lcd1602; architecture rtl_lcd1602 of rtl_lcd1602 is signal clk_r : std_logic; --signal clk_f : std_logic; signal clk_z : std_logic; signal clk_low : std_logic; signal cnt_div : integer range 0 to DIV_SCL:=0; type fsm_stage is (RDY_START, INIT, WAITING, DATA, DATA_WAIT, COM, COM_WAIT); signal STM_OP : fsm_stage; signal busy : std_logic; signal en : std_logic; signal rw : std_logic; signal rs : std_logic; signal dt : std_logic_vector(7 downto 0); signal lcd_cnt : std_logic_vector(2 downto 0); signal lcd_initr : std_logic; --signal clk_rise : std_logic; signal clk_en : std_logic; begin -- clk_div generator: pr_cnt_div: process(clk50m, rstn) is begin if (rstn = '0') then cnt_div <= 0; clk_low <= '0'; elsif (rising_edge(clk50m)) then if (cnt_div = DIV_SCL) then cnt_div <= 0 after td; clk_low <= not clk_low after td; else cnt_div <= cnt_div + 1 after td; end if; end if; end process; -- clk rising/falling clk_z <= clk_low after td when rising_edge(clk50m); clk_r <= (not clk_z) and clk_low after td when rising_edge(clk50m); --clk_f <= (not clk_low) and clk_z after td when rising_edge(clk50m); -- lcd_output data --lcd_initr <= '0' when (rstn = '0') else lcd_cnt(2); lcd_init <= lcd_initr after td when rising_edge(clk50m); lcd_ready <= busy after td when rising_edge(clk50m); lcd_dt <= dt after td when rising_edge(clk50m); lcd_en <= en after td when rising_edge(clk50m); lcd_rw <= rw after td when rising_edge(clk50m); lcd_rs <= rs after td when rising_edge(clk50m); pr_en_clk: process(clk50m, rstn) is begin if (rstn = '0') then en <= '0'; elsif (rising_edge(clk50m)) then if (clk_en = '1') then if (clk_r = '1') then en <= not en after td; end if; else en <= '0' after td; end if; end if; end process; --clk_rise <= (clk_f and (not en)) after td when rising_edge(clk50m); pr_fsm_operation: process(clk50m, rstn) is variable cnt1: std_logic_vector(4 downto 0):="00000"; begin if (rstn = '0') then busy <= '0'; rs <= '0'; rw <= '0'; dt <= x"00"; clk_en <= '0'; lcd_initr <= '0'; lcd_cnt <= "000"; STM_OP <= RDY_START; elsif (rising_edge(clk50m)) then case STM_OP is when RDY_START => cnt1 := "11111"; lcd_initr <= '0' after td; rs <= '0' after td; rw <= '0' after td; lcd_cnt <= "001" after td; if ((start = '1') and (clk_r = '1')) then STM_OP <= INIT after td; clk_en <= '1' after td; end if; when INIT => if (clk_r = '1') then rs <= '0' after td; rw <= '0' after td; STM_OP <= WAITING after td; if lcd_cnt = "001" then if (lcd_initr = '0') then dt <= x"01" after td; else dt <= x"00" after td; end if; elsif lcd_cnt = "010" then dt <= x"38" after td; elsif lcd_cnt = "011" then dt <= x"0C" after td; elsif lcd_cnt = "100" then dt <= x"06" after td; else null; end if; end if; when WAITING => if (clk_r = '1') then if lcd_cnt(2) = '1' then STM_OP <= DATA after td; busy <= '1' after td; lcd_initr <= '1' after td; else lcd_cnt <= lcd_cnt + '1' after td; STM_OP <= INIT after td; end if; end if; when DATA_WAIT => if (clk_r = '1') then busy <= '0' after td; STM_OP <= COM after td; end if; when DATA => if (clk_r = '1') then if (data_ena = '1') then busy <= '0' after td; rs <= '1' after td; rw <= data_rw after td; dt <= data_int after td; STM_OP <= DATA_WAIT after td; end if; end if; when COM_WAIT => if (clk_r = '1') then STM_OP <= INIT after td; end if; when COM => if (clk_r = '1') then if (cnt1 < "11111") then cnt1 := cnt1 + 1; else cnt1 := "00000"; end if; if (cnt1(4) = '0') then dt <= "10000000" + cnt1 after td; else dt <= "10110000" + cnt1 after td;--80H end if; rs <= '0' after td; rw <= '0' after td; lcd_cnt <= "001" after td; STM_OP <= COM_WAIT after td; end if; end case; end if; end process; end rtl_lcd1602;
-- ------------------------------------------------------------- -- -- Generated Configuration for inst_t_e -- -- Generated -- by: wig -- on: Sat Mar 3 17:18:10 2007 -- cmd: /cygdrive/c/Documents and Settings/wig/My Documents/work/MIX/mix_0.pl ../case.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_t_e-rtl-conf-c.vhd,v 1.1 2007/03/03 17:24:06 wig Exp $ -- $Date: 2007/03/03 17:24:06 $ -- $Log: inst_t_e-rtl-conf-c.vhd,v $ -- Revision 1.1 2007/03/03 17:24:06 wig -- Updated testcase for case matches. Added filename serialization. -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.101 2007/03/01 16:28:38 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.47 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration inst_t_e_rtl_conf / inst_t_e -- configuration inst_t_e_rtl_conf of inst_t_e is for rtl -- Generated Configuration for inst_A : inst_A_e use configuration work.inst_A_e_rtl_conf; end for; for inst_a : inst_a_e use configuration work.inst_a_e_rtl_conf; end for; for inst_b : inst_b_e use configuration work.inst_b_e_rtl_conf; end for; end for; end inst_t_e_rtl_conf; -- -- End of Generated Configuration inst_t_e_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
Library IEEE; use IEEE.STD_LOGIC_1164.all; entity DisplayDemo is port( SW : in std_logic_vector(3 downto 0); HEX7 : out std_logic_vector(6 downto 0); LEDR : out std_logic_vector(3 downto 0); KEY : in std_logic_vector(3 downto 3)); end DisplayDemo; architecture Shell of DisplayDemo is begin system_core : entity work.Bin7SegDecoder(Behavioral) port map(binInput => SW (3 downto 0), decOut_n => HEX7(6 downto 0), ledOut => LEDR(3 downto 0), enable => KEY(3)); end Shell;
Library IEEE; use IEEE.STD_LOGIC_1164.all; entity DisplayDemo is port( SW : in std_logic_vector(3 downto 0); HEX7 : out std_logic_vector(6 downto 0); LEDR : out std_logic_vector(3 downto 0); KEY : in std_logic_vector(3 downto 3)); end DisplayDemo; architecture Shell of DisplayDemo is begin system_core : entity work.Bin7SegDecoder(Behavioral) port map(binInput => SW (3 downto 0), decOut_n => HEX7(6 downto 0), ledOut => LEDR(3 downto 0), enable => KEY(3)); end Shell;
--! --! \file third.vhd --! --! \author Ariane Keller --! \date 23.03.2011 -- Demo file for the multibus. This file will be executed in slot 2. -- It can also send and receive data to/from the Ethernet interface. ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.NUMERIC_STD.all; library unisim; use unisim.vcomponents.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity third is generic ( C_BURST_AWIDTH : integer := 11; C_BURST_DWIDTH : integer := 32; C_NR_SLOTS : integer := 3 ); port ( -- user defined signals: use the signal names defined in the system.ucf file! -- user defined signals only work if they are before the reconos signals! -- Signals for the Ethernet interface TXP : out std_logic; TXN : out std_logic; RXP : in std_logic; RXN : in std_logic; -- SGMII-transceiver reference clock buffer input MGTCLK_P : in std_logic; MGTCLK_N : in std_logic; -- Asynchronous reset PRE_PHY_RESET : in std_logic; PHY_RESET : out std_logic; -- Signals for the Multibus ready_2 : out std_logic; req_2 : out std_logic_vector(0 to 3 - 1); grant_2 : in std_logic_vector(0 to 3 - 1); data_2 : out std_logic_vector(0 to 3 * 32 - 1); sof_2 : out std_logic_vector(0 to C_NR_SLOTS - 1); eof_2 : out std_logic_vector(0 to C_NR_SLOTS - 1); src_rdy_2 : out std_logic_vector(0 to C_NR_SLOTS - 1); dst_rdy_2 : in std_logic_vector(0 to C_NR_SLOTS - 1); busdata_2 : in std_logic_vector(0 to 32 - 1); bussof_2 : in std_logic; buseof_2 : in std_logic; bus_dst_rdy_2 : out std_logic; bus_src_rdy_2 : in std_logic; -- end user defined ports -- normal reconOS signals clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic; -- second ram o_RAMAddr_x : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData_x : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData_x : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE_x : out std_logic; o_RAMClk_x : out std_logic ); end third; architecture Behavioral of third is -----------------start component declaration------------------------------ -- Component declaration for the LocalLink-level EMAC wrapper component v6_emac_v1_4_locallink is port( -- 125MHz clock output from transceiver CLK125_OUT : out std_logic; -- 125MHz clock input from BUFG CLK125 : in std_logic; -- LocalLink receiver interface RX_LL_CLOCK : in std_logic; RX_LL_RESET : in std_logic; RX_LL_DATA : out std_logic_vector(7 downto 0); RX_LL_SOF_N : out std_logic; RX_LL_EOF_N : out std_logic; RX_LL_SRC_RDY_N : out std_logic; RX_LL_DST_RDY_N : in std_logic; RX_LL_FIFO_STATUS : out std_logic_vector(3 downto 0); -- LocalLink transmitter interface TX_LL_CLOCK : in std_logic; TX_LL_RESET : in std_logic; TX_LL_DATA : in std_logic_vector(7 downto 0); TX_LL_SOF_N : in std_logic; TX_LL_EOF_N : in std_logic; TX_LL_SRC_RDY_N : in std_logic; TX_LL_DST_RDY_N : out std_logic; -- Client receiver interface EMACCLIENTRXDVLD : out std_logic; EMACCLIENTRXFRAMEDROP : out std_logic; EMACCLIENTRXSTATS : out std_logic_vector(6 downto 0); EMACCLIENTRXSTATSVLD : out std_logic; EMACCLIENTRXSTATSBYTEVLD : out std_logic; -- Client Transmitter Interface CLIENTEMACTXIFGDELAY : in std_logic_vector(7 downto 0); EMACCLIENTTXSTATS : out std_logic; EMACCLIENTTXSTATSVLD : out std_logic; EMACCLIENTTXSTATSBYTEVLD : out std_logic; -- MAC control interface CLIENTEMACPAUSEREQ : in std_logic; CLIENTEMACPAUSEVAL : in std_logic_vector(15 downto 0); -- EMAC-transceiver link status EMACCLIENTSYNCACQSTATUS : out std_logic; EMACANINTERRUPT : out std_logic; -- SGMII interface TXP : out std_logic; TXN : out std_logic; RXP : in std_logic; RXN : in std_logic; PHYAD : in std_logic_vector(4 downto 0); RESETDONE : out std_logic; -- SGMII transceiver clock buffer input CLK_DS : in std_logic; -- Asynchronous reset RESET : in std_logic ); end component; -- Component declaration for the ll_fifo. This is used on the transmit -- and on the receive side to convert from a data width of 8 bits to 32 bits. component ll_fifo generic ( MEM_TYPE : integer := 0; -- 0 choose BRAM, -- 1 choose Distributed RAM BRAM_MACRO_NUM : integer := 16; -- Memory Depth. For BRAM only DRAM_DEPTH : integer := 16; -- Memory Depth. For DRAM only WR_DWIDTH : integer := 32; -- FIFO write data width, -- Acceptable values are -- 8, 16, 32, 64, 128. RD_DWIDTH : integer := 8; -- FIFO read data width, -- Acceptable values are -- 8, 16, 32, 64, 128. RD_REM_WIDTH : integer := 1; -- Remainder width of read data WR_REM_WIDTH : integer := 2; -- Remainder width of write data USE_LENGTH : boolean := false; -- Length FIFO option glbtm : time := 1 ns -- Global timing delay for simulation ); port ( -- Reset areset_in : in std_logic; -- clocks write_clock_in : in std_logic; read_clock_in : in std_logic; -- Interface to downstream user application data_out : out std_logic_vector(0 to RD_DWIDTH-1); rem_out : out std_logic_vector(0 to RD_REM_WIDTH-1); sof_out_n : out std_logic; eof_out_n : out std_logic; src_rdy_out_n : out std_logic; dst_rdy_in_n : in std_logic; -- Interface to upstream user application data_in : in std_logic_vector(0 to WR_DWIDTH-1); rem_in : in std_logic_vector(0 to WR_REM_WIDTH-1); sof_in_n : in std_logic; eof_in_n : in std_logic; src_rdy_in_n : in std_logic; dst_rdy_out_n : out std_logic; -- FIFO status signals fifostatus_out : out std_logic_vector(0 to 3) ); end component; -----------------end component declaration------------------------------ -----------------signal declaration ------------------------------------ -- Constants for the message boxes. SW_HW: communication from SW to HW -- HW_SW: communication from HW to SW constant C_MBOX_HANDLE_SW_HW : std_logic_vector(0 to 31) := X"00000000"; constant C_MBOX_HANDLE_HW_SW : std_logic_vector(0 to 31) := X"00000001"; -- State machines type os_state is ( STATE_INIT, STATE_SEND_BUS_COUNTER, STATE_SEND_ETH_COUNTER, STATE_GET_COMMAND, STATE_DECODE); signal os_sync_state : os_state := STATE_INIT; type s_state is ( S_STATE_INIT, S_STATE_WAIT, S_STATE_LOCK, S_STATE_SEND_FIRST, S_STATE_INTERM); signal send_to_0_state : s_state; signal send_to_0_state_next : s_state; signal send_to_1_state : s_state; signal send_to_1_state_next : s_state; signal send_to_2_state : s_state; signal send_to_2_state_next : s_state; signal send_to_eth_state : s_state; signal send_to_eth_state_next : s_state; type r_state is ( R_STATE_INIT, R_STATE_COUNT); signal receive_state : r_state; signal receive_state_next : r_state; signal receive_eth_state : r_state; signal receive_eth_state_next : r_state; -- Ethernet Signals -- Synchronous reset registers in the LocalLink clock domain signal ll_pre_reset_i : std_logic_vector(5 downto 0); signal ll_reset_i : std_logic; attribute async_reg : string; attribute async_reg of ll_pre_reset_i : signal is "true"; -- Reset signal from the transceiver signal resetdone_i : std_logic; signal resetdone_r : std_logic; attribute async_reg of resetdone_r : signal is "true"; -- Transceiver output clock (REFCLKOUT at 125MHz) signal clk125_o : std_logic; -- 125MHz clock input to wrappers signal clk125 : std_logic; attribute keep : boolean; attribute keep of clk125 : signal is true; -- Input 125MHz differential clock for transceiver signal clk_ds : std_logic; -- Global asynchronous reset signal reset_i : std_logic; -- LocalLink interface clocking signal signal ll_clk_i : std_logic; -- Signals between sending process and ll tx fifo signal tx_ll_data_i : std_logic_vector(31 downto 0); signal tx_ll_sof_n_i : std_logic; signal tx_ll_eof_n_i : std_logic; signal tx_ll_src_rdy_n_i : std_logic; signal tx_ll_dst_rdy_n_i : std_logic; --Signals between ll_tx fifo and eth_ll_fifo signal eth_tx_ll_data_i : std_logic_vector(7 downto 0); signal eth_tx_ll_sof_n_i : std_logic; signal eth_tx_ll_eof_n_i : std_logic; signal eth_tx_ll_src_rdy_n_i : std_logic; signal eth_tx_ll_dst_rdy_n_i : std_logic; --Signals from eth ll fifo to rx_ll fifo signal rx_ll_data_i : std_logic_vector(7 downto 0); signal rx_ll_sof_n_i : std_logic; signal rx_ll_eof_n_i : std_logic; signal rx_ll_src_rdy_n_i : std_logic; signal rx_ll_dst_rdy_n_i : std_logic; --Signals from rx_ll fifo to process signal rx_data : std_logic_vector(31 downto 0); signal rx_sof_out_n : std_logic; signal rx_eof_out_n : std_logic; signal rx_src_rdy_out_n : std_logic; signal rx_dst_rdy_in_n : std_logic; signal rx_rem : std_logic_vector(1 downto 0); -- bus signals (for communication between hw threats) signal to_0_data : std_logic_vector(0 to 32 - 1); signal to_1_data : std_logic_vector(0 to 32 - 1); signal to_2_data : std_logic_vector(0 to 32 - 1); signal to_0_sof : std_logic; signal to_1_sof : std_logic; signal to_2_sof : std_logic; signal to_1_eof : std_logic; signal to_2_eof : std_logic; signal to_0_eof : std_logic; signal received_counter : natural; signal received_counter_next : natural; signal received_eth_counter : natural; signal received_eth_counter_next: natural; signal start_to_0 : std_logic; signal s_0_counter : natural; signal s_0_counter_next : natural; signal start_to_1 : std_logic; signal s_1_counter : natural; signal s_1_counter_next : natural; signal start_to_2 : std_logic; signal s_2_counter : natural; signal s_2_counter_next : natural; signal start_to_eth : std_logic; signal s_eth_counter : natural; signal s_eth_counter_next : natural; --end signal declaration begin -- Ethernet setup reset_i <= PRE_PHY_RESET; PHY_RESET <= not reset_i; -- Generate the clock input to the transceiver -- (clk_ds can be shared between multiple EMAC instances, including -- multiple instantiations of the EMAC wrappers) clkingen : IBUFDS_GTXE1 port map ( I => MGTCLK_P, IB => MGTCLK_N, CEB => '0', O => clk_ds, ODIV2 => open ); -- The 125MHz clock from the transceiver is routed through a BUFG and -- input to the MAC wrappers -- (clk125 can be shared between multiple EMAC instances, including -- multiple instantiations of the EMAC wrappers) bufg_clk125 : BUFG port map ( I => clk125_o, O => clk125 ); -- Clock the LocalLink interface with the globally-buffered 125MHz -- clock from the transceiver ll_clk_i <= clk125; -- Synchronize resetdone_i from the GT in the transmitter clock domain gen_resetdone_r : process(ll_clk_i, reset_i) begin if (reset_i = '1') then resetdone_r <= '0'; elsif ll_clk_i'event and ll_clk_i = '1' then resetdone_r <= resetdone_i; end if; end process gen_resetdone_r; -- Create synchronous reset in the transmitter clock domain gen_ll_reset : process (ll_clk_i, reset_i) begin if reset_i = '1' then ll_pre_reset_i <= (others => '1'); ll_reset_i <= '1'; elsif ll_clk_i'event and ll_clk_i = '1' then if resetdone_r = '1' then ll_pre_reset_i(0) <= '0'; ll_pre_reset_i(5 downto 1) <= ll_pre_reset_i(4 downto 0); ll_reset_i <= ll_pre_reset_i(5); end if; end if; end process gen_ll_reset; -- End Ethernet setup --Default assignements -- we don't need the memories in this example o_RAMAddr <= (others => '0'); o_RAMData <= (others => '0'); o_RAMWE <= '0'; o_RAMClk <= '0'; o_RAMAddr_x <= (others => '0'); o_RAMData_x <= (others => '0'); o_RAMWE_x <= '0'; o_RAMClk_x <= '0'; data_2 <= to_0_data & to_1_data & to_2_data; ready_2 <= '0'; -- unused -----------------start components------------------------------ v6_emac_v1_4_locallink_inst : v6_emac_v1_4_locallink port map ( -- 125MHz clock output from transceiver CLK125_OUT => clk125_o, -- 125MHz clock input from BUFG CLK125 => clk125, -- LocalLink receiver interface RX_LL_CLOCK => ll_clk_i, RX_LL_RESET => ll_reset_i, RX_LL_DATA => rx_ll_data_i, RX_LL_SOF_N => rx_ll_sof_n_i, RX_LL_EOF_N => rx_ll_eof_n_i, RX_LL_SRC_RDY_N => rx_ll_src_rdy_n_i, RX_LL_DST_RDY_N => rx_ll_dst_rdy_n_i, RX_LL_FIFO_STATUS => open, -- Client receiver signals EMACCLIENTRXDVLD => open, --EMACCLIENTRXDVLD, EMACCLIENTRXFRAMEDROP => open, --EMACCLIENTRXFRAMEDROP, EMACCLIENTRXSTATS => open, --EMACCLIENTRXSTATS, EMACCLIENTRXSTATSVLD => open, --EMACCLIENTRXSTATSVLD, EMACCLIENTRXSTATSBYTEVLD => open, --EMACCLIENTRXSTATSBYTEVLD, -- LocalLink transmitter interface TX_LL_CLOCK => ll_clk_i, TX_LL_RESET => ll_reset_i, TX_LL_DATA => eth_tx_ll_data_i, TX_LL_SOF_N => eth_tx_ll_sof_n_i, TX_LL_EOF_N => eth_tx_ll_eof_n_i, TX_LL_SRC_RDY_N => eth_tx_ll_src_rdy_n_i, TX_LL_DST_RDY_N => eth_tx_ll_dst_rdy_n_i, -- Client transmitter signals CLIENTEMACTXIFGDELAY => (others => '0'), --CLIENTEMACTXIFGDELAY, EMACCLIENTTXSTATS => open, --EMACCLIENTTXSTATS, EMACCLIENTTXSTATSVLD => open, --EMACCLIENTTXSTATSVLD, EMACCLIENTTXSTATSBYTEVLD => open, --EMACCLIENTTXSTATSBYTEVLD, -- MAC control interface CLIENTEMACPAUSEREQ => '0', --CLIENTEMACPAUSEREQ, CLIENTEMACPAUSEVAL => (others => '0'), --CLIENTEMACPAUSEVAL, -- EMAC-transceiver link status EMACCLIENTSYNCACQSTATUS => open, --EMACCLIENTSYNCACQSTATUS, EMACANINTERRUPT => open, --EMACANINTERRUPT, -- SGMII interface TXP => TXP, TXN => TXN, RXP => RXP, RXN => RXN, PHYAD => (others => '0'), --PHYAD, RESETDONE => resetdone_i, -- SGMII transceiver reference clock buffer input CLK_DS => clk_ds, -- Asynchronous reset RESET => reset_i ); TX_FIFO : ll_fifo port map ( areset_in => reset, write_clock_in => clk, read_clock_in => ll_clk_i, -- Interface to downstream user application data_out => eth_tx_ll_data_i, rem_out => open, sof_out_n => eth_tx_ll_sof_n_i, eof_out_n => eth_tx_ll_eof_n_i, src_rdy_out_n => eth_tx_ll_src_rdy_n_i, dst_rdy_in_n => eth_tx_ll_dst_rdy_n_i, -- Interface to upstream user application data_in => tx_ll_data_i, rem_in => (others => '0'), sof_in_n => tx_ll_sof_n_i, eof_in_n => tx_ll_eof_n_i, src_rdy_in_n => tx_ll_src_rdy_n_i, dst_rdy_out_n => tx_ll_dst_rdy_n_i, -- FIFO status signals fifostatus_out => open ); RX_FIFO_1 : ll_fifo generic map( WR_DWIDTH => 8, -- FIFO write data width, RD_DWIDTH => 32, -- FIFO read data width, RD_REM_WIDTH => 2, -- Remainder width of read data WR_REM_WIDTH => 1 -- Remainder width of write data ) port map ( areset_in => reset, write_clock_in => ll_clk_i, read_clock_in => clk, data_out => rx_data, rem_out => rx_rem, sof_out_n => rx_sof_out_n, eof_out_n => rx_eof_out_n, src_rdy_out_n => rx_src_rdy_out_n, dst_rdy_in_n => rx_dst_rdy_in_n, data_in => rx_ll_data_i, rem_in => (others => '0'), sof_in_n => rx_ll_sof_n_i, eof_in_n => rx_ll_eof_n_i, src_rdy_in_n => rx_ll_src_rdy_n_i, dst_rdy_out_n => rx_ll_dst_rdy_n_i, -- FIFO status signals fifostatus_out => open ); ------------------------ State machines------------------------------------ -- Counts the number of packets received on the Bus interface receiving : process(busdata_2, bussof_2, buseof_2, bus_src_rdy_2, receive_state, received_counter) begin bus_dst_rdy_2 <= '1'; receive_state_next <= receive_state; received_counter_next <= received_counter; case receive_state is when R_STATE_INIT => received_counter_next <= 0; receive_state_next <= R_STATE_COUNT; when R_STATE_COUNT => if bussof_2 = '1' then received_counter_next <= received_counter + 1; end if; end case; end process; -- Counts the number of packets received on the Ethernet interface receiving_eth : process(rx_data, rx_sof_out_n, rx_eof_out_n, rx_src_rdy_out_n, receive_eth_state, received_eth_counter) begin rx_dst_rdy_in_n <= '0'; receive_eth_state_next <= receive_eth_state; received_eth_counter_next <= received_eth_counter; case receive_state is when R_STATE_INIT => received_eth_counter_next <= 0; receive_eth_state_next <= R_STATE_COUNT; when R_STATE_COUNT => if rx_src_rdy_out_n = '0' then if rx_sof_out_n = '0' then received_eth_counter_next <= received_eth_counter + 1; end if; end if; end case; end process; -- Sends packets to the thread in slot 0 as long as the "start_to_eth" is high. send_to_0 : process(start_to_0, send_to_0_state, s_0_counter, grant_2) begin src_rdy_2(0) <= '0'; to_0_data <= (others => '0'); sof_2(0) <= '0'; eof_2(0) <= '0'; req_2(0) <= '0'; send_to_0_state_next <= send_to_0_state; s_0_counter_next <= s_0_counter; case send_to_0_state is when S_STATE_INIT => send_to_0_state_next <= S_STATE_WAIT; s_0_counter_next <= 0; when S_STATE_WAIT => if start_to_0 = '1' then send_to_0_state_next <= S_STATE_LOCK; end if; when S_STATE_LOCK => req_2(0) <= '1'; if grant_2(0) = '0' then send_to_0_state_next <= S_STATE_LOCK; else send_to_0_state_next <= S_STATE_SEND_FIRST; end if; when S_STATE_SEND_FIRST => src_rdy_2(0) <= '1'; sof_2(0) <= '1'; to_0_data <= (others => '1'); s_0_counter_next <= s_0_counter + 1; send_to_0_state_next <= S_STATE_INTERM; req_2(0) <= '1'; when S_STATE_INTERM => req_2(0) <= '1'; src_rdy_2(0) <= '1'; to_0_data <= (others => '0'); if s_0_counter = 15 then s_0_counter_next <= 0; send_to_0_state_next <= S_STATE_WAIT; eof_2(0) <= '1'; else s_0_counter_next <= s_0_counter + 1; end if; end case; end process; -- Sends packets to the thread in slot 1 as long as the "start_to_eth" is high. send_to_1 : process(start_to_1, send_to_1_state, s_1_counter, grant_2) begin src_rdy_2(1) <= '0'; to_1_data <= (others => '0'); sof_2(1) <= '0'; eof_2(1) <= '0'; req_2(1) <= '0'; send_to_1_state_next <= send_to_1_state; s_1_counter_next <= s_1_counter; case send_to_1_state is when S_STATE_INIT => send_to_1_state_next <= S_STATE_WAIT; s_1_counter_next <= 0; when S_STATE_WAIT => if start_to_1 = '1' then send_to_1_state_next <= S_STATE_LOCK; end if; when S_STATE_LOCK => req_2(1) <= '1'; if grant_2(1) = '0' then send_to_1_state_next <= S_STATE_LOCK; else send_to_1_state_next <= S_STATE_SEND_FIRST; end if; when S_STATE_SEND_FIRST => src_rdy_2(1) <= '1'; sof_2(1) <= '1'; to_1_data <= (others => '1'); s_1_counter_next <= s_1_counter + 1; send_to_1_state_next <= S_STATE_INTERM; req_2(1) <= '1'; when S_STATE_INTERM => req_2(1) <= '1'; src_rdy_2(1) <= '1'; to_1_data <= (others => '0'); if s_1_counter = 15 then s_1_counter_next <= 0; send_to_1_state_next <= S_STATE_WAIT; eof_2(1) <= '1'; else s_1_counter_next <= s_1_counter + 1; end if; end case; end process; -- Sends packets to the thread in slot 2 as long as the "start_to_eth" is high. send_to_2 : process(start_to_2, send_to_2_state, s_2_counter, grant_2) begin src_rdy_2(2) <= '0'; to_2_data <= (others => '0'); sof_2(2) <= '0'; eof_2(2) <= '0'; req_2(2) <= '0'; send_to_2_state_next <= send_to_2_state; s_2_counter_next <= s_2_counter; case send_to_2_state is when S_STATE_INIT => send_to_2_state_next <= S_STATE_WAIT; s_2_counter_next <= 0; when S_STATE_WAIT => if start_to_2 = '1' then send_to_2_state_next <= S_STATE_LOCK; end if; when S_STATE_LOCK => req_2(2) <= '1'; if grant_2(2) = '0' then send_to_2_state_next <= S_STATE_LOCK; else send_to_2_state_next <= S_STATE_SEND_FIRST; end if; when S_STATE_SEND_FIRST => src_rdy_2(2) <= '1'; sof_2(2) <= '1'; to_2_data <= (others => '1'); s_2_counter_next <= s_2_counter + 1; send_to_2_state_next <= S_STATE_INTERM; req_2(2) <= '1'; when S_STATE_INTERM => req_2(2) <= '1'; src_rdy_2(2) <= '1'; to_2_data <= (others => '0'); if s_2_counter = 15 then s_2_counter_next <= 0; send_to_2_state_next <= S_STATE_WAIT; eof_2(2) <= '1'; else s_2_counter_next <= s_2_counter + 1; end if; end case; end process; -- Sends packets to the Ethernet Interface as long as the "start_to_eth" is high. send_to_eth : process(start_to_eth, send_to_eth_state, s_eth_counter, tx_ll_dst_rdy_n_i) begin tx_ll_src_rdy_n_i <= '1'; tx_ll_data_i <= (others => '0'); tx_ll_sof_n_i <= '1'; tx_ll_eof_n_i <= '1'; send_to_eth_state_next <= send_to_eth_state; s_eth_counter_next <= s_eth_counter; case send_to_eth_state is when S_STATE_INIT => send_to_eth_state_next <= S_STATE_WAIT; s_eth_counter_next <= 0; when S_STATE_WAIT => if start_to_eth = '1' then send_to_eth_state_next <= S_STATE_SEND_FIRST; end if; when S_STATE_SEND_FIRST => tx_ll_src_rdy_n_i <= '0'; tx_ll_sof_n_i <= '0'; tx_ll_data_i <= (others => '1'); if tx_ll_dst_rdy_n_i = '0' then s_eth_counter_next <= s_eth_counter + 1; send_to_eth_state_next <= S_STATE_INTERM; end if; when S_STATE_INTERM => tx_ll_src_rdy_n_i <= '0'; tx_ll_data_i <= (others => '1'); if tx_ll_dst_rdy_n_i = '0' then if s_eth_counter = 15 then s_eth_counter_next <= 0; send_to_eth_state_next <= S_STATE_WAIT; tx_ll_eof_n_i <= '0'; else s_eth_counter_next <= s_eth_counter + 1; end if; end if; when others => send_to_eth_state_next <= S_STATE_INIT; end case; end process; -- memzing process -- updates all the registers proces_mem : process(clk, reset) begin if reset = '1' then send_to_0_state <= S_STATE_INIT; s_0_counter <= 0; send_to_1_state <= S_STATE_INIT; s_1_counter <= 0; send_to_2_state <= S_STATE_INIT; s_2_counter <= 0; send_to_eth_state <= S_STATE_INIT; s_eth_counter <= 0; receive_state <= R_STATE_INIT; received_counter <= 0; receive_eth_state <= R_STATE_INIT; received_eth_counter <= 0; elsif rising_edge(clk) then send_to_0_state <= send_to_0_state_next; s_0_counter <= s_0_counter_next; send_to_1_state <= send_to_1_state_next; s_1_counter <= s_1_counter_next; send_to_2_state <= send_to_2_state_next; s_2_counter <= s_2_counter_next; send_to_eth_state <= send_to_eth_state_next; s_eth_counter <= s_eth_counter_next; receive_state <= receive_state_next; received_counter <= received_counter_next; receive_eth_state <= receive_eth_state_next; received_eth_counter <= received_eth_counter_next; end if; end process; -- OS synchronization state machine (the reconOS state machine) -- this has to have this special format! state_proc : process(clk, reset) variable success : boolean; variable done : boolean; variable sw_command : std_logic_vector(0 to C_OSIF_DATA_WIDTH - 1); begin if reset = '1' then reconos_reset_with_signature(o_osif, i_osif, X"ABCDEF01"); os_sync_state <= STATE_INIT; start_to_0 <= '0'; start_to_1 <= '0'; start_to_2 <= '0'; elsif rising_edge(clk) then reconos_begin(o_osif, i_osif); if reconos_ready(i_osif) then case os_sync_state is when STATE_INIT => os_sync_state <= STATE_GET_COMMAND; start_to_0 <= '0'; start_to_1 <= '0'; start_to_2 <= '0'; when STATE_SEND_BUS_COUNTER => reconos_mbox_put(done, success, o_osif, i_osif, C_MBOX_HANDLE_HW_SW, std_logic_vector(to_unsigned(received_counter,C_OSIF_DATA_WIDTH))); if done then os_sync_state <= STATE_GET_COMMAND; end if; when STATE_SEND_ETH_COUNTER => reconos_mbox_put(done, success, o_osif, i_osif, C_MBOX_HANDLE_HW_SW, std_logic_vector(to_unsigned(received_eth_counter,C_OSIF_DATA_WIDTH))); if done then os_sync_state <= STATE_GET_COMMAND; end if; when STATE_GET_COMMAND => reconos_mbox_get(done, success, o_osif, i_osif, C_MBOX_HANDLE_SW_HW, sw_command); if done and success then os_sync_state <= STATE_DECODE; end if; when STATE_DECODE => --default: command not known os_sync_state <= STATE_GET_COMMAND; -- element 0 indicates whether this thread should send to slot 0, -- element 1 indicates whether this thread should send to slot 1, -- element 6 indicates whether the receive counter from the bus interface -- should be reported -- element 7 indicates whether the receive counter from the eth interface -- should be reported. Note, 6 and 7 can only be specified exclusivly. E.g. -- only one counter value can be reported with one request. if sw_command(6) = '1' then os_sync_state <= STATE_SEND_BUS_COUNTER; elsif sw_command(7) = '1' then os_sync_state <= STATE_SEND_ETH_COUNTER; else if sw_command(0) = '1' then start_to_0 <= '1'; else start_to_0 <= '0'; end if; if sw_command(1) = '1' then start_to_1 <= '1'; else start_to_1 <= '0'; end if; if sw_command(2) = '1' then start_to_2 <= '1'; else start_to_2 <= '0'; end if; if sw_command(3) = '1' then start_to_eth <= '1'; else start_to_eth <= '0'; end if; end if; when others => os_sync_state <= STATE_INIT; end case; end if; end if; end process; end Behavioral;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Mon Sep 18 12:32:27 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ vio_0_stub.vhdl -- Design : vio_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( clk : in STD_LOGIC; probe_in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in1 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe_in3 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe_in0[0:0],probe_in1[0:0],probe_in2[0:0],probe_in3[0:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "vio,Vivado 2016.3"; begin end;
-- NEED RESULT: ARCH00418.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00418: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00418: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00418: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00418: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00418 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.1 (1) -- 9.5.1 (2) -- -- DESIGN UNIT ORDERING: -- -- ENT00418(ARCH00418) -- ENT00418_Test_Bench(ARCH00418_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00418 is end ENT00418 ; -- -- architecture ARCH00418 of ENT00418 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_rec3 : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_st_rec3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_rec3_select : select_type := 1 ; -- signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_2.f2.f2 after 10 ns, -- c_st_rec3_1.f2.f2 after 20 ns ; -- when 1 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00418.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_2.f2.f2 after 10 ns , -- c_st_rec3_1.f2.f2 after 20 ns , -- c_st_rec3_2.f2.f2 after 30 ns , -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 3 => correct := s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_1.f2.f2 after 5 ns ; -- when 4 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00418" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 4 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_1.f2.f2 after 100 ns ; -- when 5 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00418" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 5 ; -- s_st_rec3.f2.f2 <= -- c_st_rec3_2.f2.f2 after 10 ns , -- c_st_rec3_1.f2.f2 after 20 ns , -- c_st_rec3_2.f2.f2 after 30 ns , -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 6 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_2.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00418" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_rec3_select <= transport 6 ; -- Last transaction above is marked -- s_st_rec3.f2.f2 <= -- c_st_rec3_1.f2.f2 after 40 ns ; -- when 7 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_rec3.f2.f2 = c_st_rec3_1.f2.f2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00418" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00418" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; wait until (not s_st_rec3.f2.f2'Quiet) and (s_st_rec3_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_rec3 = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- s_st_rec3.f2.f2 <= c_st_rec3_2.f2.f2 after 10 ns, c_st_rec3_1.f2.f2 after 20 ns when st_rec3_select = 1 else -- c_st_rec3_2.f2.f2 after 10 ns , c_st_rec3_1.f2.f2 after 20 ns , c_st_rec3_2.f2.f2 after 30 ns , c_st_rec3_1.f2.f2 after 40 ns when st_rec3_select = 2 else -- c_st_rec3_1.f2.f2 after 5 ns when st_rec3_select = 3 else -- c_st_rec3_1.f2.f2 after 100 ns when st_rec3_select = 4 else -- c_st_rec3_2.f2.f2 after 10 ns , c_st_rec3_1.f2.f2 after 20 ns , c_st_rec3_2.f2.f2 after 30 ns , c_st_rec3_1.f2.f2 after 40 ns when st_rec3_select = 5 else -- -- Last transaction above is marked c_st_rec3_1.f2.f2 after 40 ns ; -- end ARCH00418 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00418_Test_Bench is end ENT00418_Test_Bench ; -- -- architecture ARCH00418_Test_Bench of ENT00418_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00418 ( ARCH00418 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00418_Test_Bench ;
architecture RTL of ENT is begin -- These should pass the check O_FOO <= (1 => q_foo(63 downto 32), 0 => q_foo(31 downto 0)); n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); -- These should fail the check O_FOO <= (1 => q_foo(63 downto 32), 0 => q_foo(31 downto 0)); n_foo <= resize(unsigned(I_FOO) + unsigned(I_BAR), q_foo'length); O_FOO <= ( 1 => func1(std_logic_vector(G_GEN1), G_GEN2), 2 => func2(func3(G_GEN3), G_GEN3), 3 => func4(G_GEN4) ); end architecture RTL;