content
stringlengths 1
1.04M
⌀ |
---|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:55:04 01/15/2015
-- Design Name:
-- Module Name: componant_1_tb - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity componant_1_tb is
end componant_1_tb;
architecture Behavioral of componant_1_tb is
signal entree1, entree2, sortie1, sortie2 : std_logic;
component componant_1
port (e1,e2 : in std_logic;
s1,s2 : out std_logic);
end component;
begin
uut: componant_1 port map (e1 => entree1, e2 => entree2, s1 => sortie1, s2 => sortie2);
stimuli:process
begin
entree1<='0';
entree2<='0';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
entree1<='0';
entree2<='1';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:55:04 01/15/2015
-- Design Name:
-- Module Name: componant_1_tb - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity componant_1_tb is
end componant_1_tb;
architecture Behavioral of componant_1_tb is
signal entree1, entree2, sortie1, sortie2 : std_logic;
component componant_1
port (e1,e2 : in std_logic;
s1,s2 : out std_logic);
end component;
begin
uut: componant_1 port map (e1 => entree1, e2 => entree2, s1 => sortie1, s2 => sortie2);
stimuli:process
begin
entree1<='0';
entree2<='0';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
entree1<='0';
entree2<='1';
wait for 30 ns;
entree1<='1';
wait for 30 ns;
end process;
end Behavioral;
|
entity textio5 is
end entity;
use std.textio.all;
architecture test of textio5 is
begin
process is
file fptr : text;
variable l : line;
begin
file_open(fptr, "tmp.txt", WRITE_MODE);
write(l, string'("0123"));
tee(fptr, l);
write(l, string'("4567"));
tee(fptr, l);
assert l'length = 0;
file_close(fptr);
file_open(fptr, "tmp.txt", READ_MODE);
readline(fptr, l);
assert l.all = "0123";
readline(fptr, l);
assert l.all = "4567";
file_close(fptr);
assert justify("hello", right, 7) = " hello";
assert justify("hello", left, 0) = "hello";
assert justify("hello", left, 6) = "hello ";
deallocate(l);
wait;
end process;
end architecture;
|
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY Instructions IS
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(799 DOWNTO 0)
);
END Instructions;
ARCHITECTURE Instructions_arch OF Instructions IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF Instructions_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(799 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(799 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(799 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(799 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(799 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(799 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(9 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "artix7",
C_XDEVICEFAMILY => "artix7",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 3,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "Instructions.mif",
C_INIT_FILE => "Instructions.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 800,
C_READ_WIDTH_A => 800,
C_WRITE_DEPTH_A => 600,
C_READ_DEPTH_A => 600,
C_ADDRA_WIDTH => 10,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 0,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 800,
C_READ_WIDTH_B => 800,
C_WRITE_DEPTH_B => 600,
C_READ_DEPTH_B => 600,
C_ADDRB_WIDTH => 10,
C_HAS_MEM_OUTPUT_REGS_A => 1,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "22",
C_COUNT_18K_BRAM => "1",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 60.4532 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addra => addra,
dina => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 800)),
douta => douta,
clkb => '0',
rstb => '0',
enb => '0',
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 800)),
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 800)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END Instructions_arch;
|
architecture RTL of FIFO is
shared variable v_shar_var1 : integer;
begin
process
variable v_var1 : integer;
begin
end process;
end architecture RTL;
-- Violations below
architecture RTL of FIFO is
shared variable shar_var1 : integer;
begin
process
variable var1 : integer;
begin
end process;
end architecture RTL;
|
-------------------------------------------------------------------------------
-- Title : Exercise
-- Project : Counter
-------------------------------------------------------------------------------
-- File : cntr_tb.vhd
-- Author : Martin Angermair
-- Company : Technikum Wien, Embedded Systems
-- Last update: 24.10.2017
-- Platform : ModelSim
-------------------------------------------------------------------------------
-- Description: Testbench for the counter
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 19.11.2017 0.1 Martin Angermair init
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity cntr_tb is
end cntr_tb;
architecture sim of cntr_tb is
component cntr is
port (
clk_i : in std_logic;
reset_i : in std_logic;
cntrup_i : in std_logic;
cntrdown_i : in std_logic;
cntrreset_i : in std_logic;
cntrhold_i : in std_logic;
digits_o : out std_logic_vector(13 downto 0));
end component;
signal clk_i : std_logic;
signal reset_i : std_logic;
signal cntrup_i : std_logic;
signal cntrdown_i : std_logic;
signal cntrreset_i : std_logic;
signal cntrhold_i : std_logic;
signal digits_o : std_logic_vector(13 downto 0);
begin
-- Generate system clock 100 MHz
p_clk : process
begin
clk_i <= '0';
wait for 5 ns;
clk_i <= '1';
wait for 5 ns;
end process;
-- component under test
p_cntr : cntr
port map (
clk_i => clk_i,
reset_i => reset_i,
cntrup_i => cntrup_i,
cntrdown_i => cntrdown_i,
cntrreset_i => cntrreset_i,
cntrhold_i => cntrhold_i,
digits_o => digits_o);
-- do the simulation
p_sim : process
begin
-- init run with counting up
reset_i <= '0';
cntrup_i <= '1';
cntrdown_i <= '0';
cntrreset_i <= '0';
cntrhold_i <= '0';
wait for 5 ms;
-- set and unset reset
reset_i <= '1';
wait for 50 ns;
reset_i <= '0';
wait for 10 ms;
-- change counting direction
cntrup_i <= '0';
cntrdown_i <= '1';
wait for 30 ms;
-- test hold
cntrhold_i <= '1';
wait for 5 ms;
cntrhold_i <= '0';
wait for 200 ms;
end process;
end sim; |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1049.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n01i01049ent IS
END c06s04b00x00p03n01i01049ent;
ARCHITECTURE c06s04b00x00p03n01i01049arch OF c06s04b00x00p03n01i01049ent IS
BEGIN
TESTING: PROCESS
type THREE is range 1 to 3;
type A1 is array (THREE) of BOOLEAN;
type ONE is range 1 to 1;
type A2 is array (ONE) of BOOLEAN;
variable V1: BOOLEAN;
BEGIN
V1 := A2'(others=>TRUE)(1);
-- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
assert FALSE
report "***FAILED TEST: c06s04b00x00p03n01i01049 - Prefix of an indexed name cannot be an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n01i01049arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1049.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n01i01049ent IS
END c06s04b00x00p03n01i01049ent;
ARCHITECTURE c06s04b00x00p03n01i01049arch OF c06s04b00x00p03n01i01049ent IS
BEGIN
TESTING: PROCESS
type THREE is range 1 to 3;
type A1 is array (THREE) of BOOLEAN;
type ONE is range 1 to 1;
type A2 is array (ONE) of BOOLEAN;
variable V1: BOOLEAN;
BEGIN
V1 := A2'(others=>TRUE)(1);
-- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
assert FALSE
report "***FAILED TEST: c06s04b00x00p03n01i01049 - Prefix of an indexed name cannot be an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n01i01049arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1049.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s04b00x00p03n01i01049ent IS
END c06s04b00x00p03n01i01049ent;
ARCHITECTURE c06s04b00x00p03n01i01049arch OF c06s04b00x00p03n01i01049ent IS
BEGIN
TESTING: PROCESS
type THREE is range 1 to 3;
type A1 is array (THREE) of BOOLEAN;
type ONE is range 1 to 1;
type A2 is array (ONE) of BOOLEAN;
variable V1: BOOLEAN;
BEGIN
V1 := A2'(others=>TRUE)(1);
-- SYNTAX ERROR: PREFIX OF INDEXED NAME CANNOT BE AN AGGREGATE
assert FALSE
report "***FAILED TEST: c06s04b00x00p03n01i01049 - Prefix of an indexed name cannot be an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s04b00x00p03n01i01049arch;
|
-- Copyright (C) 2014 Roland Dobai
--
-- This file is part of ZyEHW.
--
-- ZyEHW is free software: you can redistribute it and/or modify it under the
-- terms of the GNU General Public License as published by the Free Software
-- Foundation, either version 3 of the License, or (at your option) any later
-- version.
--
-- ZyEHW is distributed in the hope that it will be useful, but WITHOUT ANY
-- WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
-- FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
-- details.
--
-- You should have received a copy of the GNU General Public License along
-- with ZyEHW. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ram is
generic (
AWIDTH: integer:= 4;
DWIDTH: integer:= 8
);
port (
rdclk: in std_logic;
rden: in std_logic;
rdaddr: in std_logic_vector(AWIDTH-1 downto 0);
do: out std_logic_vector(DWIDTH-1 downto 0);
wrclk: in std_logic;
wren: in std_logic;
wraddr: in std_logic_vector(AWIDTH-1 downto 0);
di: in std_logic_vector(DWIDTH-1 downto 0)
);
end ram;
architecture behav_ram of ram is
subtype data_t is std_logic_vector(DWIDTH-1 downto 0);
type ram_t is array(0 to (2**AWIDTH)-1) of data_t;
signal content: ram_t;
signal do_reg: data_t;
begin
process (wrclk)
begin
if wrclk'event and wrclk = '1' then
if wren = '1' then
content(to_integer(unsigned(wraddr))) <= di;
end if;
end if;
end process;
process (rdclk)
begin
if rdclk'event and rdclk = '1' then
if rden = '1' then
do_reg <= content(to_integer(unsigned(rdaddr)));
end if;
do <= do_reg;
end if;
end process;
end behav_ram;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ram1 is
generic (
WIDTHB : integer := 32;
SIZEB : integer := 64;
ADDRWIDTHB : integer := 6
);
port (
clkB : in std_logic;
enB : in std_logic;
weB : in std_logic;
addrB : in std_logic_vector(ADDRWIDTHB-1 downto 0);
diB : in std_logic_vector(WIDTHB-1 downto 0);
doB : out std_logic_vector(WIDTHB-1 downto 0)
);
end ram1;
architecture behavioral of ram1 is
type ramType is array (0 to SIZEB-1) of std_logic_vector(WIDTHB-1 downto 0);
shared variable ram : ramType := (others => (others => '0'));
begin
process (clkB)
begin
if rising_edge(clkB) then
if enB = '1' then
if weB = '1' then
ram(to_integer(unsigned(addrB))) := diB;
end if;
doB <= ram(to_integer(unsigned(addrB)));
end if;
end if;
end process;
end behavioral;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: leon3s
-- File: leon3s.vhd
-- Author: Jiri Gaisler, Edvin Catovic, Gaisler Research
-- Description: Top-level LEON3 component
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library gaisler;
library techmap;
use techmap.gencomp.all;
use gaisler.leon3.all;
use gaisler.libiu.all;
use gaisler.libcache.all;
use gaisler.libproc3.all;
use gaisler.arith.all;
--library fpu;
--use fpu.libfpu.all;
entity leon3s is
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 31 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 2 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 2 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 64 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
cached : integer := 0; -- cacheability table
scantest : integer := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type
);
end;
architecture rtl of leon3s is
constant IRFBITS : integer range 6 to 10 := log2(NWINDOWS+1) + 4;
constant IREGNUM : integer := NWINDOWS * 16 + 8;
signal holdn : std_logic;
signal rfi : iregfile_in_type;
signal rfo : iregfile_out_type;
signal crami : cram_in_type;
signal cramo : cram_out_type;
signal tbi : tracebuf_in_type;
signal tbo : tracebuf_out_type;
signal rst : std_ulogic;
signal fpi : fpc_in_type;
signal fpo : fpc_out_type;
signal cpi : fpc_in_type;
signal cpo : fpc_out_type;
signal cpodb : fpc_debug_out_type;
signal rd1, rd2, wd : std_logic_vector(35 downto 0);
signal gnd, vcc : std_logic;
constant FPURFHARD : integer := 1; --1-is_fpga(memtech);
constant fpuarch : integer := fpu mod 16;
constant fpunet : integer := fpu / 16;
attribute sync_set_reset : string;
attribute sync_set_reset of rst : signal is "true";
begin
gnd <= '0'; vcc <= '1';
-- leon3 processor core (iu, caches & mul/div)
p0 : proc3
generic map (hindex, fabtech, memtech, nwindows, dsu, fpuarch, v8, cp, mac,
pclow, notag, nwp, icen, irepl, isets, ilinesize, isetsize, isetlock,
dcen, drepl, dsets, dlinesize, dsetsize, dsetlock, dsnoop, ilram,
ilramsize, ilramstart, dlram, dlramsize, dlramstart, mmuen, itlbnum, dtlbnum,
tlb_type, tlb_rep, lddel, disas, tbuf, pwd, svt, rstaddr, smp, cached, 0, scantest)
port map (clk, rst, holdn, ahbi, ahbo, ahbsi, ahbso, rfi, rfo, crami, cramo,
tbi, tbo, fpi, fpo, cpi, cpo, irqi, irqo, dbgi, dbgo, gnd, clk, vcc);
-- IU register file
rf0 : regfile_3p generic map (memtech, IRFBITS, 32, 1, IREGNUM)
port map (clk, rfi.waddr(IRFBITS-1 downto 0), rfi.wdata, rfi.wren,
clk, rfi.raddr1(IRFBITS-1 downto 0), rfi.ren1, rfo.data1,
rfi.raddr2(IRFBITS-1 downto 0), rfi.ren2, rfo.data2, rfi.diag);
-- cache memory
cmem0 : cachemem
generic map (memtech, icen, irepl, isets, ilinesize, isetsize, isetlock, dcen,
drepl, dsets, dlinesize, dsetsize, dsetlock, dsnoop, ilram,
ilramsize, dlram, dlramsize, mmuen)
port map (clk, crami, cramo, clk);
-- instruction trace buffer memory
tbmem_gen : if (tbuf /= 0) generate
tbmem0 : tbufmem
generic map (tech => memtech, tbuf => tbuf)
port map (clk, tbi, tbo);
end generate;
-- FPU
fpu0 : if (fpu = 0) generate fpo.ldlock <= '0'; fpo.ccv <= '1'; fpo.holdn <= '1'; end generate;
grfpw0gen : if (fpuarch > 0) and (fpuarch < 8) generate
fpu0: grfpwx
generic map (fabtech, FPURFHARD*memtech, (fpuarch-1), pclow, dsu, disas, fpunet, 0)
port map (rst, clk, holdn, fpi, fpo);
end generate;
mfpw0gen : if (fpuarch = 15) generate
fpu0 : mfpwx
generic map (FPURFHARD*memtech, pclow, dsu, disas)
port map (rst, clk, holdn, fpi, fpo);
end generate;
grlfpc0gen : if (fpuarch >= 8) and (fpuarch < 15) generate
fpu0 : grlfpwx
generic map (FPURFHARD*memtech, pclow, dsu, disas, (fpuarch-8), fpunet)
port map (rst, clk, holdn, fpi, fpo);
end generate;
-- Default Co-Proc drivers
cpodb.data <= zero32;
cpo <= (zero32, '0', "00", '0', '0', '0', cpodb);
-- 1-clock reset delay
rstreg : process(clk)
begin if rising_edge(clk) then rst <= rstn; end if; end process;
-- pragma translate_off
bootmsg : report_version
generic map (
"leon3_" & tost(hindex) & ": LEON3 SPARC V8 processor rev " & tost(LEON3_VERSION),
"leon3_" & tost(hindex) & ": icache " & tost(isets*icen) & "*" & tost(isetsize*icen) &
" kbyte, dcache " & tost(dsets*dcen) & "*" & tost(dsetsize*dcen) & " kbyte"
);
-- pragma translate_on
end;
|
-- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
architecture rtl of mul_pipe is
begin
mul : entity work.mul_pipe_inferred(rtl)
generic map (
stages => stages,
src1_bits => src1_bits,
src2_bits => src2_bits
)
port map (
clk => clk,
rstn => rstn,
unsgnd => unsgnd,
src1 => src1,
src2 => src2,
result => result
);
end;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library reconos_v2_01_a;
use reconos_v2_01_a.reconos_pkg.all;
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- --
-- --
-- ////// ///////// /////// /////// --
-- // // // // // // --
-- // // // // // // --
-- ///// // // // /////// --
-- // // // // // --
-- // // // // // --
-- ////// // /////// // --
-- --
-- --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- --
-- -- --
-- !!! THIS IS PART OF THE HARDWARE FRAMEWORK !!! --
-- --
-- DO NOT CHANGE THIS ENTITY/FILE UNLESS YOU WANT TO CHANGE THE FRAMEWORK --
-- --
-- USERS OF THE FRAMEWORK SHALL ONLY MODIFY USER FUNCTIONS/PROCESSES, --
-- WHICH ARE ESPECIALLY MARKED (e.g by the prefix "uf_" in the filename) --
-- --
-- --
-- Author: Markus Happe --
-- --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
entity resampling is
generic (
C_BURST_AWIDTH : integer := 12;
C_BURST_DWIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
i_osif : in osif_os2task_t;
o_osif : out osif_task2os_t;
-- burst ram interface
o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1);
o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1);
i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1);
o_RAMWE : out std_logic;
o_RAMClk : out std_logic--;
-- time base
--i_timeBase : in std_logic_vector( 0 to C_OSIF_DATA_WIDTH-1 )
);
end resampling;
architecture Behavioral of resampling is
component uf_resampling
generic (
C_BURST_AWIDTH : integer := 12;
C_BURST_DWIDTH : integer := 32
);
Port (
clk : in std_logic;
reset : in std_logic;
-- burst ram interface
o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1);
o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1);
i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1);
o_RAMWE : out std_logic;
o_RAMClk : out std_logic;
-- init signal
init : in std_logic;
-- enable signal
enable : in std_logic;
-- start signal for the resampling user process
particles_loaded : in std_logic;
-- number of particles in local RAM
number_of_particles : in integer;
-- number of particles in total
number_of_particles_in_total : in integer;
-- index of first particles (the particles are sorted increasingly)
start_particle_index : in integer;
-- resampling function init
U_init : in integer;
-- address of the last 128 byte burst in local RAM
write_address : in std_logic_vector(0 to C_BURST_AWIDTH-1);
-- information if a write burst has been handled by the Framework
write_burst_done : in std_logic;
-- this signal has to be set to '1', if the Framework should write
-- the last burst from local RAM into Maim Memory
write_burst : out std_logic;
-- write burst done acknowledgement
write_burst_done_ack : out std_logic;
-- number of currently written particles
written_values : out integer;
-- if every particle is resampled, this signal has to be set to '1'
finished : out std_logic);
end component;
attribute keep_hierarchy : string;
attribute keep_hierarchy of Behavioral : architecture is "true";
-- ReconOS thread-local mailbox handles
constant C_MB_START : std_logic_vector(0 to 31) := X"00000000";
constant C_MB_DONE : std_logic_vector(0 to 31) := X"00000001";
constant C_MB_MEASUREMENT : std_logic_vector(0 to 31) := X"00000002";
-- states
type state_t is (
STATE_CHECK,
STATE_INIT,
STATE_READ_PARTICLES_ADDRESS,
STATE_READ_INDEXES_ADDRESS,
STATE_READ_N,
STATE_READ_PARTICLE_SIZE,
STATE_READ_MAX_NUMBER_OF_PARTICLES,
STATE_READ_BLOCK_SIZE,
STATE_READ_U_FUNCTION,
STATE_WAIT_FOR_MESSAGE,
STATE_CALCULATE_REMAINING_PARTICLES_1,
STATE_CALCULATE_REMAINING_PARTICLES_2,
STATE_CALCULATE_REMAINING_PARTICLES_3,
STATE_CALCULATE_REMAINING_PARTICLES_4,
STATE_CALCULATE_REMAINING_PARTICLES_5,
STATE_LOAD_U_INIT,
STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_1,
STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_2,
STATE_WRITE_TO_RAM,
STATE_WRITE_BURST_DECISION,
STATE_WRITE_BURST_DECISION_2,
STATE_WRITE_BURST,
STATE_WRITE_DECISION,
STATE_READ,
STATE_WRITE,
STATE_WRITE_BURST_DONE_ACK,
STATE_SEND_MESSAGE,
STATE_SEND_MEASUREMENT_1,
STATE_SEND_MEASUREMENT_2,
STATE_SEND_INFO_1,
STATE_SEND_INFO_2,
STATE_EXIT
);
type encode_t is array(state_t) of reconos_state_enc_t;
type decode_t is array(natural range <>) of state_t;
constant encode : encode_t := (X"00",
X"01",
X"02",
X"03",
X"04",
X"05",
X"06",
X"07",
X"08",
X"09",
X"0A",
X"0B",
X"0C",
X"0D",
X"0E",
X"0F",
X"10",
X"11",
X"12",
X"13",
X"14",
X"15",
X"16",
X"17",
X"18",
X"19",
X"1A",
X"1B",
X"1C",
X"1D",
X"1E",
X"1F"
);
constant decode : decode_t := (
STATE_CHECK,
STATE_INIT,
STATE_READ_PARTICLES_ADDRESS,
STATE_READ_INDEXES_ADDRESS,
STATE_READ_N,
STATE_READ_PARTICLE_SIZE,
STATE_READ_MAX_NUMBER_OF_PARTICLES,
STATE_READ_BLOCK_SIZE,
STATE_READ_U_FUNCTION,
STATE_WAIT_FOR_MESSAGE,
STATE_CALCULATE_REMAINING_PARTICLES_1,
STATE_CALCULATE_REMAINING_PARTICLES_2,
STATE_CALCULATE_REMAINING_PARTICLES_3,
STATE_CALCULATE_REMAINING_PARTICLES_4,
STATE_CALCULATE_REMAINING_PARTICLES_5,
STATE_LOAD_U_INIT,
STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_1,
STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_2,
STATE_WRITE_TO_RAM,
STATE_WRITE_BURST_DECISION,
STATE_WRITE_BURST_DECISION_2,
STATE_WRITE_BURST,
STATE_WRITE_DECISION,
STATE_READ,
STATE_WRITE,
STATE_WRITE_BURST_DONE_ACK,
STATE_SEND_MESSAGE,
STATE_SEND_MEASUREMENT_1,
STATE_SEND_MEASUREMENT_2,
STATE_SEND_INFO_1,
STATE_SEND_INFO_2,
STATE_EXIT
);
-- current state
signal state : state_t := STATE_CHECK;
-- particle array
signal particle_array_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
signal particle_array_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
-- index array
signal index_array_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"10000000";
signal index_array_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
-- resampling function U array
signal U_array_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := X"10000000";
signal U_array_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
-- local RAM address
signal local_ram_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
signal local_ram_address_if_write : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0');
signal local_ram_address_if_read : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0');
-- local RAM write_address
signal local_ram_start_address : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
-- information struct containing array addresses and other information like N, particle size
signal information_struct : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
-- message (received from message box). The number in the message says,
-- which particle block has to be sampled
signal message : integer := 1;
-- message2 is message minus one
signal message2 : integer := 0;
-- block size, is the number of particles in a particle block
signal block_size : integer := 10;
-- local RAM data (particle weight)
signal weight_data : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
-- number of particles (set by message box, default = 100)
signal N : integer := 18;
-- number of particles still to resample
signal remaining_particles : integer := 0;
-- number of needed bursts
signal number_of_bursts : integer := 0;
-- size of a particle
signal particle_size : integer := 8;
-- temp variable
signal temp : integer := 0;
signal temp2 : integer := 0;
signal temp3 : integer := 0;
signal temp4 : integer := 0;
-- number of particles to resample
signal number_of_particles_to_resample : integer := 9;
-- write counter
signal write_counter : integer := 0;
-- local RAM data
signal ram_data : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
-- start index
signal start_index : integer := 3;
-- temporary variables
signal offset : integer := 1;
signal offset2 : integer := 1;
-- time values for start, stop and the difference of both
--signal time_start : integer := 0;
--signal time_stop : integer := 0;
--signal time_measurement : integer := 0;
-----------------------------------------------------------
-- NEEDED FOR USER ENTITY INSTANCE
-----------------------------------------------------------
-- for resampling user process
-- init
signal init : std_logic := '1';
-- enable
signal enable : std_logic := '0';
-- start signal for the resampling user process
signal particles_loaded : std_logic := '0';
-- number of particles in local RAM
signal number_of_particles : integer := 18;
-- number of particles in total
signal number_of_particles_in_total : integer := 18;
-- index of first particles (the particles are sorted increasingly)
signal start_particle_index : integer := 0;
-- resampling function init
signal U_init : integer := 2000;
-- address of the last 128 byte burst in local RAM
signal write_address : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0');
-- information if a write burst has been handled by the Framework
signal write_burst_done : std_logic := '0';
-- the last burst from local RAM into Maim Memory
signal write_burst : std_logic := '0';
-- number of currently written index values
signal written_values : integer := 0;
-- if every particle is resampled, this signal has to be set to '1'
signal finished : std_logic := '0';
-- for switch 1: corrected local ram address. the least bit is inverted, because else the local ram will be used incorrect
signal o_RAMAddrUserProcess : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0');
-- for switch 1:corrected local ram address for this importance thread
signal o_RAMAddrResampling : std_logic_vector(0 to C_BURST_AWIDTH-1) := (others => '0');
-- for switch 2: Write enable, user process
signal o_RAMWEUserProcess : std_logic := '0';
-- for switch 2: Write enable, importance
signal o_RAMWEResampling : std_logic := '0';
-- for switch 3: output ram data, user process
signal o_RAMDataUserProcess : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0');
-- for switch 3: output ram data, importance
signal o_RAMDataResampling : std_logic_vector(0 to C_BURST_DWIDTH-1) := (others => '0');
-- write burst done acknowledgement
signal write_burst_done_ack : std_logic := '0';
begin
-- entity of user process
user_process : uf_resampling
port map (reset=>reset, clk=>clk, o_RAMAddr=>o_RAMAddrUserProcess, o_RAMData=>o_RAMDataUserProcess,
i_RAMData=>i_RAMData, o_RAMWE=>o_RAMWEUserProcess, o_RAMClk=>o_RAMClk,
init=>init, enable=>enable, particles_loaded=>particles_loaded,
number_of_particles=>number_of_particles,
number_of_particles_in_total => number_of_particles_in_total,
start_particle_index=>start_particle_index,
U_init=>U_init, write_address=>write_address,
write_burst_done=>write_burst_done, write_burst=>write_burst,
write_burst_done_ack=>write_burst_done_ack, written_values=>written_values,
finished=>finished);
-- burst ram interface
-- switch 1: address, correction is needed to avoid wrong addressing
o_RAMAddr <= o_RAMAddrUserProcess(0 to C_BURST_AWIDTH-2) & not o_RAMAddrUserProcess(C_BURST_AWIDTH-1)
when enable = '1' else o_RAMAddrResampling(0 to C_BURST_AWIDTH-2) & not o_RAMAddrResampling(C_BURST_AWIDTH-1);
-- switch 2: write enable
o_RAMWE <= o_RAMWEUserProcess when enable = '1' else o_RAMWEResampling;
-- switch 3: output ram data
o_RAMData <= o_RAMDataUserProcess when enable = '1' else o_RAMDataResampling;
number_of_particles_in_total <= N;
write_address <= "011111100000";
-----------------------------------------------------------------------------
--
-- Reconos State Machine for Resampling:
--
-- 1) The index array adress, the number of particles (N) and
-- the particle size is received by message boxes
--
--
-- 2) Waiting for Message m (Start of a Resampling run)
-- Resample particles of m-th block
--
--
-- 3) calcualte the number of particles, which have to be resampled
--
--
-- 4) Copy the weight of the particles to the local RAM
--
--
-- 5) The user resampling process is started
--
--
-- 6) Every time the user process demands to make a write burst into
-- the index array, it is done by the Framework
--
--
-- 7) If the user process is finished go to step 8
--
--
-- 8) Send Message m (Stop of a Resampling run)
-- Particles of m-th block are resampled
--
------------------------------------------------------------------------------
state_proc : process(clk, reset)
-- done signal for Reconos methods
variable done : boolean;
variable success : boolean;
-- signals for N, particle_size and max number of particles which fit in the local RAM
variable N_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
variable particle_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
variable U_init_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
variable message_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
variable block_size_var : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0');
variable resume_state_enc : reconos_state_enc_t := (others => '0');
variable preempted : boolean;
begin
if reset = '1' then
reconos_reset(o_osif, i_osif);
resume_state_enc := (others => '0');
done := false;
success := false;
preempted := false;
state <= STATE_CHECK;
elsif rising_edge(clk) then
reconos_begin(o_osif, i_osif);
if reconos_ready(i_osif) then
case state is
when STATE_CHECK =>
reconos_thread_resume(done, success, o_osif, i_osif, resume_state_enc);
if done then
if success then
-- preempted
preempted := true;
state <= decode(to_integer(unsigned(resume_state_enc)));
else
-- unpreempted
state <= STATE_INIT;
end if;
end if;
when STATE_INIT =>
--! init state, receive particle array address
reconos_get_init_data_s (done, o_osif, i_osif, information_struct);
-- CHANGE BACK !!! (1 of 3)
--reconos_get_init_data_s (done, o_osif, i_osif, particle_array_address);
enable <= '0';
init <= '1';
if done then
--state <= STATE_READ_PARTICLES_ADDRESS;
state <= STATE_SEND_INFO_1;
-- CHANGE BACK !!! (2 of 3)
--state <= STATE_WAIT_FOR_MESSAGE;
end if;
when STATE_SEND_INFO_1 =>
-- send particles array address
reconos_mbox_put(done,success,o_osif,i_osif,C_MB_MEASUREMENT,information_struct);
if (done and success) then
state <= STATE_READ_PARTICLES_ADDRESS;
end if;
when STATE_READ_PARTICLES_ADDRESS =>
--! read particle array address
reconos_read_s (done, o_osif, i_osif, information_struct, particle_array_start_address);
if done then
--state <= STATE_READ_INDEXES_ADDRESS;
state <= STATE_SEND_INFO_2;
end if;
when STATE_SEND_INFO_2 =>
-- send particles array address
reconos_mbox_put(done,success,o_osif,i_osif,C_MB_MEASUREMENT,particle_array_start_address);
if (done and success) then
state <= STATE_READ_INDEXES_ADDRESS;
end if;
when STATE_READ_INDEXES_ADDRESS =>
--! read index array address
reconos_read_s (done, o_osif, i_osif, information_struct+4, index_array_start_address);
if done then
state <= STATE_READ_N;
end if;
when STATE_READ_N =>
--! read number of particles N
reconos_read (done, o_osif, i_osif, information_struct+8, N_var);
if done then
N <= TO_INTEGER(SIGNED(N_var));
state <= STATE_READ_PARTICLE_SIZE;
end if;
when STATE_READ_PARTICLE_SIZE =>
--! read particle size
reconos_read (done, o_osif, i_osif, information_struct+12, particle_size_var);
if done then
particle_size <= TO_INTEGER(SIGNED(particle_size_var));
state <= STATE_READ_BLOCK_SIZE;
end if;
when STATE_READ_BLOCK_SIZE =>
--! read number of particles to resample
reconos_read (done, o_osif, i_osif, information_struct+16, block_size_var);
if done then
block_size <= TO_INTEGER(SIGNED(block_size_var));
state <= STATE_READ_U_FUNCTION;
end if;
when STATE_READ_U_FUNCTION =>
--! read start index of first particle to resample
reconos_read_s (done, o_osif, i_osif, information_struct+20, U_array_start_address);
if done then
if preempted then
preempted := false;
state <= STATE_CALCULATE_REMAINING_PARTICLES_1;
else
state <= STATE_WAIT_FOR_MESSAGE;
end if;
end if;
when STATE_WAIT_FOR_MESSAGE =>
--! wait for Message, that starts resampling
reconos_mbox_get(done, success, o_osif, i_osif, C_MB_START, message_var);
reconos_flag_yield(o_osif, i_osif, encode(STATE_WAIT_FOR_MESSAGE));
if done then
if success then
message <= TO_INTEGER(SIGNED(message_var));
--remaining_particles <= number_of_particles_to_resample;
--index_array_address <= index_array_address;
--particle_array_address <= particle_array_address;
local_ram_address <= (others=>'0');
local_ram_address_if_read <= (others=>'0');
local_ram_address_if_write <= (others=>'0');
init <= '1';
enable <= '0';
particles_loaded <= '0';
if preempted then
state <= STATE_INIT;
else
state <= STATE_CALCULATE_REMAINING_PARTICLES_1;
end if;
--time_start <= TO_INTEGER(SIGNED(i_timebase));
-- CHANGE BACK !!! (3 of 3)
--state <= STATE_NEEDED_BURSTS_1;
else
state <= STATE_EXIT;
end if;
end if;
when STATE_CALCULATE_REMAINING_PARTICLES_1 =>
--! calcualte remaining particles
message2 <= message - 1;
state <= STATE_CALCULATE_REMAINING_PARTICLES_2;
when STATE_CALCULATE_REMAINING_PARTICLES_2 =>
--! calcualte remaining particles
offset <= message2 * block_size;
temp2 <= message2 * 4;
state <= STATE_CALCULATE_REMAINING_PARTICLES_3;
when STATE_CALCULATE_REMAINING_PARTICLES_3 =>
--! calcualte remaining particles
temp3 <= offset * 8;
state <= STATE_CALCULATE_REMAINING_PARTICLES_4;
when STATE_CALCULATE_REMAINING_PARTICLES_4 =>
--! calcualte remaining particles
remaining_particles <= N - offset;
index_array_address <= index_array_start_address + temp3;
start_index <= offset;
start_particle_index <= offset;
temp4 <= offset * particle_size;
U_array_address <= U_array_start_address + temp2;
state <= STATE_CALCULATE_REMAINING_PARTICLES_5;
when STATE_CALCULATE_REMAINING_PARTICLES_5 =>
--! calcualte remaining particles
if (remaining_particles > block_size) then
number_of_particles_to_resample <= block_size;
remaining_particles <= block_size;
else
number_of_particles_to_resample <= remaining_particles;
end if;
particle_array_address <= particle_array_start_address + temp4;
state <= STATE_LOAD_U_INIT;
when STATE_LOAD_U_INIT =>
--! load U_init
reconos_read (done, o_osif, i_osif, U_array_address, U_init_var);
if done then
U_init <= TO_INTEGER(SIGNED(U_init_var));
state <= STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_1;
number_of_particles <= remaining_particles;
end if;
when STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_1 =>
--! load weights to local ram, if this is done start the resampling
o_RAMWEResampling<= '0';
if (remaining_particles > 0) then
remaining_particles <= remaining_particles - 1;
state <= STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_2;
else
enable <= '1';
particles_loaded <= '1';
init <= '0';
state <= STATE_WRITE_BURST_DECISION;
end if;
when STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_2 =>
--! load weights to local ram
reconos_read_s (done, o_osif, i_osif, particle_array_address, weight_data);
if done then
state <= STATE_WRITE_TO_RAM;
particle_array_address <= particle_array_address + particle_size;
end if;
when STATE_WRITE_TO_RAM =>
--! write value to ram
o_RAMWEResampling<= '1';
o_RAMAddrResampling <= local_ram_address_if_read;
o_RAMDataResampling <= weight_data;
local_ram_address_if_read <= local_ram_address_if_read + 1;
state <= STATE_LOAD_WEIGHTS_TO_LOCAL_RAM_1;
when STATE_WRITE_BURST_DECISION =>
--! if write burst is demanded by user process, it will be done
write_burst_done <= '0';
if (finished = '1') then
-- everything is finished
state <= STATE_SEND_MESSAGE;
enable <= '0';
particles_loaded <= '0';
--time_stop <= TO_INTEGER(SIGNED(i_timebase));
--init <= '1';
elsif (write_burst = '1') then
--state <= STATE_WRITE_BURST;
state <= STATE_WRITE_BURST_DECISION_2;
end if;
when STATE_WRITE_BURST_DECISION_2 =>
--! decides if there will be a burst or there will be several writes
-- NO MORE BURSTS
--if (written_values = 16) then
-- write only burst, if the burst is full
-- state <= STATE_WRITE_BURST;
--else
local_ram_address_if_write <= write_address;
write_counter <= 2 * written_values;
enable <= '0';
state <= STATE_WRITE_DECISION;
--end if;
when STATE_WRITE_BURST =>
--! write bursts from local ram into index array
-- TODO: FIXME!!! WRITES COMMENTED OUT --- CHANGE CHANGE CHANGE
--reconos_write_burst(done, o_osif, i_osif, (local_ram_start_address + 8064), index_array_address);
--if done then
write_burst_done <= '1';
index_array_address <= index_array_address + 128;
state <= STATE_WRITE_BURST_DONE_ACK;
--end if;
when STATE_WRITE_DECISION =>
-- decides if there is still something to write
if (write_counter > 0) then
o_RAMAddrResampling <= local_ram_address_if_write;
state <= STATE_READ;
else
write_burst_done <= '1';
enable <= '1';
state <= STATE_WRITE_BURST_DONE_ACK;
end if;
when STATE_READ =>
--! read index values
state <= STATE_WRITE;
when STATE_WRITE =>
--! write data to index array
-- TODO: FIXME!!! WRITES COMMENTED OUT --- CHANGE CHANGE CHANGE
reconos_write(done, o_osif, i_osif, index_array_address, i_RAMData);
if done then
index_array_address <= index_array_address + 4;
local_ram_address_if_write <= local_ram_address_if_write + 1;
write_counter <= write_counter - 1;
state <= STATE_WRITE_DECISION;
end if;
when STATE_WRITE_BURST_DONE_ACK =>
--! write bursts from local ram into index array
if (write_burst_done_ack = '1') then
write_burst_done <= '0';
state <= STATE_WRITE_BURST_DECISION;
end if;
when STATE_SEND_MESSAGE =>
--! send Message (resampling is finished)
reconos_mbox_put(done, success, o_osif, i_osif, C_MB_DONE, STD_LOGIC_VECTOR(TO_SIGNED(message, C_OSIF_DATA_WIDTH)));
if done and success then
enable <= '0';
init <= '1';
particles_loaded <= '0';
state <= STATE_SEND_MEASUREMENT_1;
end if;
when STATE_SEND_MEASUREMENT_1 =>
--! sends time measurement to message box
-- send only, if time start < time stop. Else ignore this measurement
--if (time_start < time_stop) then
-- time_measurement <= time_stop - time_start;
-- state <= STATE_SEND_MEASUREMENT_2;
--else
state <= STATE_WAIT_FOR_MESSAGE;
--end if;
--when STATE_SEND_MEASUREMENT_2 =>
--! sends time measurement to message box
-- send message
--reconos_mbox_put(done, success, o_osif, i_osif, C_MB_MEASUREMENT, STD_LOGIC_VECTOR(TO_SIGNED(time_measurement, C_OSIF_DATA_WIDTH)));
-- if (done and success) then
-- state <= STATE_WAIT_FOR_MESSAGE;
--end if;
when STATE_EXIT =>
reconos_thread_exit(o_osif, i_osif, X"00000000");
when others =>
state <= STATE_WAIT_FOR_MESSAGE;
end case;
end if;
end if;
end process;
end Behavioral;
|
entity test is
end entity test;
architecture beh of test is
signal sig : bit_vector(-1 downto 0);
signal sig2 : bit_vector(0 to -1);
begin
process(sig,sig2)
begin
sig <= (sig'range => '0'); -- OK
sig2 <= (sig2'range => '0'); -- OK
end process;
end architecture beh;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity nxor00 is
port(
Anx: in std_logic ;
Bnx: in std_logic ;
Ynx: out std_logic );
end;
architecture nxor0 of nxor00 is
begin
Ynx <= not(Anx xor Bnx);
end nxor0;
|
library verilog;
use verilog.vl_types.all;
entity controller is
port(
clk : in vl_logic;
coin_Detected : in vl_logic;
value_cents : in vl_logic_vector(7 downto 0);
soda_price_0 : in vl_logic_vector(7 downto 0);
soda_price_1 : in vl_logic_vector(7 downto 0);
soda_choice : in vl_logic;
reset : in vl_logic;
comp_greater_in : in vl_logic;
comp_smaller_in : in vl_logic;
comp_equal_in : in vl_logic;
soda_Dispense : out vl_logic_vector(1 downto 0);
value_Coin : out vl_logic_vector(7 downto 0);
price : out vl_logic_vector(7 downto 0);
enable_change_reg: out vl_logic;
enable_total_reg: out vl_logic;
register_rst : out vl_logic;
reset_system : out vl_logic
);
end controller;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1326.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p02n01i01326ent IS
END c08s04b01x00p02n01i01326ent;
ARCHITECTURE c08s04b01x00p02n01i01326arch OF c08s04b01x00p02n01i01326ent IS
signal k : BIT ;
BEGIN
TESTING: PROCESS
BEGIN
k <= '1' 10 ns;
wait for 1 ns;
assert FALSE
report "***FAILED TEST: c08s04b01x00p02n01i01326 - The reserved word 'after' is missing in the after clause"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p02n01i01326arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1326.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p02n01i01326ent IS
END c08s04b01x00p02n01i01326ent;
ARCHITECTURE c08s04b01x00p02n01i01326arch OF c08s04b01x00p02n01i01326ent IS
signal k : BIT ;
BEGIN
TESTING: PROCESS
BEGIN
k <= '1' 10 ns;
wait for 1 ns;
assert FALSE
report "***FAILED TEST: c08s04b01x00p02n01i01326 - The reserved word 'after' is missing in the after clause"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p02n01i01326arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1326.vhd,v 1.2 2001-10-26 16:30:09 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s04b01x00p02n01i01326ent IS
END c08s04b01x00p02n01i01326ent;
ARCHITECTURE c08s04b01x00p02n01i01326arch OF c08s04b01x00p02n01i01326ent IS
signal k : BIT ;
BEGIN
TESTING: PROCESS
BEGIN
k <= '1' 10 ns;
wait for 1 ns;
assert FALSE
report "***FAILED TEST: c08s04b01x00p02n01i01326 - The reserved word 'after' is missing in the after clause"
severity ERROR;
wait;
END PROCESS TESTING;
END c08s04b01x00p02n01i01326arch;
|
--**********************************************************************************************
-- JTAG "Flash" programmer for AVR Core(cp2 Clock Domain)
-- Version 0.5
-- Modified 20.06.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use WORK.JTAGPack.all;
use WORK.AVRuCPackage.all;
entity OCDProgcp2 is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
-- From/To TCK clock domain("Flash" programmer)
FlEEPrgAdr : in std_logic_vector(15 downto 0);
FlPrgRdData : out std_logic_vector(15 downto 0);
EEPrgRdData : out std_logic_vector(7 downto 0);
FlEEPrgWrData : in std_logic_vector(15 downto 0);
ChipEraseStart : in std_logic;
ChipEraseDone : out std_logic;
ProgEnable : in std_logic;
FlWrMStart : in std_logic; -- Multiple
FlWrSStart : in std_logic; -- Single
FlRdMStart : in std_logic; -- Multiple
FlRdSStart : in std_logic; -- Single
EEWrStart : in std_logic;
EERdStart : in std_logic;
TAPCtrlTLR : in std_logic; -- TAP Controller is in the Test-Logic/Reset state
-- From the core
PC : in std_logic_vector(15 downto 0);
-- To the PM("Flash")
pm_adr : out std_logic_vector(15 downto 0);
pm_h_we : out std_logic;
pm_l_we : out std_logic;
pm_dout : in std_logic_vector(15 downto 0);
pm_din : out std_logic_vector(15 downto 0);
-- To the "EEPROM"
EEPrgSel : out std_logic;
EEAdr : out std_logic_vector(11 downto 0);
EEWrData : out std_logic_vector(7 downto 0);
EERdData : in std_logic_vector(7 downto 0);
EEWr : out std_logic
);
end OCDProgcp2;
architecture RTL of OCDProgcp2 is
-- **********************************************************************************
-- *************************** Programmer part *********************************************
-- **********************************************************************************
-- Edge detectors
signal TAPCtrlTLRDel : std_logic; -- TAP Run-Test/Idle
-- Chip Erase Start edge detector
signal ChipEraseStartDel : std_logic;
-- Flash Write Start(using Virtual Flash Page Load Register) edge detector
signal FlWrMStartDel : std_logic;
-- Flash Write Start(using Load Data Low(2d)/Load Data High(2e)) edge detector
signal FlWrSStartDel : std_logic;
-- Flash Read Start(using Virtual Flash Page Read Register) edge detector
signal FlRdMStartDel : std_logic;
-- Flash Read Start(using Load Data Low and High Byte(3d)) edge detector
signal FlRdSStartDel : std_logic;
-- "Flash" programmer state machines
signal FlWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal FlRdCnt : std_logic_vector(1 downto 0) ; -- Read (Low andHigh bytes)
signal FlRd_St : std_logic; -- "Flash" read(Latch data)
-- "Flash" address and data registers
signal FlashPrgAdrRg : std_logic_vector(15 downto 0); -- Address(Write/Read)
signal FlashPrgDataRg : std_logic_vector(15 downto 0); -- Data(for Write)
-- Output copies
signal pm_h_we_Int : std_logic;
signal pm_l_we_Int : std_logic;
-- Chip erase
signal ChipErase_St : std_logic;
-- "EEPROM" support
-- Edge detectors
signal EEWrStartDel : std_logic;
signal EERdStartDel : std_logic;
-- EEPROM address and data registers
signal EEPrgAdrRg : std_logic_vector(EEAdr'range); -- Address(Write/Read)
signal EEPrgDataRg : std_logic_vector(EEWrData'range); -- Data(for Write)
signal EEWr_Int : std_logic;
-- EEPROM programmer state machines
signal EEWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal EERdCnt : std_logic_vector(1 downto 0) ; -- Read
signal EERd_St : std_logic;
begin
-- ***************************** Programmer part ********************************
FlashWriteCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
TAPCtrlTLRDel <= TAPCtrlTLR;
FlWrMStartDel <= FlWrMStart;
FlWrSStartDel <= FlWrSStart;
-- Delay counter
if(TAPCtrlTLR='1') then -- Reset counter
FlWrCnt <= (others => '0');
elsif((FlWrMStart='0' and FlWrMStartDel='1')or
(FlWrSStart='0' and FlWrSStartDel='1')) then
FlWrCnt <= "01";
elsif(FlWrCnt/="00") then
FlWrCnt <= FlWrCnt + 1;
end if;
-- Control
if(TAPCtrlTLR='1') then -- Reset control signals
pm_h_we_Int <= '0';
pm_l_we_Int <= '0';
else
case pm_h_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_h_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_h_we_Int <= '0';
end if;
when others => null;
end case;
case pm_l_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_l_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_l_we_Int <= '0';
end if;
when others => null;
end case;
end if;
-- Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
FlashPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
FlashPrgAdrRg <= FlashPrgAdrRg + 1;
elsif(FlWrCnt="11" or FlRdCnt="11") then -- Normal mode
FlashPrgAdrRg <= FlEEPrgAdr;
end if;
-- Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
FlashPrgDataRg <= (others => '1');
elsif(FlWrCnt="11") then -- Write to flash
FlashPrgDataRg <= FlEEPrgWrData;
end if;
-- EEPROM Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
EEPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
EEPrgAdrRg <= EEPrgAdrRg + 1;
elsif(EEWrCnt="11" or EERdCnt="11") then -- Normal mode
EEPrgAdrRg <= FlEEPrgAdr(EEPrgAdrRg'range);
end if;
-- EEPROM Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
EEPrgDataRg <= (others => '1');
elsif(EEWrCnt="11") then -- Write to EEPROM
EEPrgDataRg <= FlEEPrgWrData(EEPrgDataRg'range);
end if;
-- EEPROM Write
case EEWr_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or EEWrCnt="11") then
EEWr_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
EEWr_Int <= '0';
end if;
when others => EEWr_Int <= '0';
end case;
-- EEPROM Read state
if(EERdCnt="11") then
EERd_St <= '1';
else
EERd_St <= '0';
end if;
end if;
end process;
-- "Flash" write enables
pm_l_we <= pm_l_we_Int;
pm_h_we <= pm_h_we_Int;
-- "Flash" data inputs
pm_din <= FlashPrgDataRg;
-- EEPROM
EEAdr <= EEPrgAdrRg;
EEWrData <= EEPrgDataRg;
EEWr <= EEWr_Int;
EEPrgSel <= ProgEnable; -- !!!TBD!!! (Add EESAVE)
-- Flash read
FlashReadCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
FlRdMStartDel <= FlRdMStart;
FlRdSStartDel <= FlRdSStart;
-- EEPROM edge detectors
EEWrStartDel <= EEWrStart;
EERdStartDel <= EERdStart;
-- Delay counter (for read)
if(TAPCtrlTLR='1') then -- Reset counter
FlRdCnt <= (others => '0');
elsif((FlRdMStart='0' and FlRdMStartDel='1')or
(FlRdSStart='0' and FlRdSStartDel='1')) then
FlRdCnt <= "01";
elsif(FlRdCnt/="00") then
FlRdCnt <= FlRdCnt + 1;
end if;
if(FlRdCnt="11") then
FlRd_St <= '1';
else
FlRd_St <= '0';
end if;
if(FlRd_St='1') then -- Latch read data
FlPrgRdData <= pm_dout;
end if;
-- EEPROM Read delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EERdCnt <= (others => '0');
elsif(EERdStart='0' and EERdStartDel='1') then -- Falling edge
EERdCnt <= "01";
elsif(EERdCnt/="00") then
EERdCnt <= EERdCnt + 1;
end if;
-- EEPROM Write delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EEWrCnt <= (others => '0');
elsif(EEWrStart='0' and EEWrStartDel='1') then -- Falling edge
EEWrCnt <= "01";
elsif(EEWrCnt/="00") then
EEWrCnt <= EEWrCnt + 1;
end if;
-- EEPROM Read latch
if(EERd_St='1') then
EEPrgRdData <= EERdData;
end if;
end if;
end process;
-- Chip Erase
ChipEraseState:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
ChipEraseStartDel <= ChipEraseStart; -- Edge detector
if (TAPCtrlTLR='1') then -- Reset
ChipErase_St <= '0';
else
case ChipErase_St is
when '0' =>
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
ChipErase_St <= '1';
end if;
when '1' =>
if (FlashPrgAdrRg=C_MaxEraseAdr) then
ChipErase_St <= '0';
end if;
when others => null;
end case;
end if;
end if;
end process;
-- !!!TBD!!!
ChipEraseDone <= not ChipErase_St;
-- *************************** End of programmer part *******************************
pm_adr <= FlashPrgAdrRg when (ProgEnable='1') else -- Programming Mode
PC; -- Normal Operations
end RTL;
|
--**********************************************************************************************
-- JTAG "Flash" programmer for AVR Core(cp2 Clock Domain)
-- Version 0.5
-- Modified 20.06.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use WORK.JTAGPack.all;
use WORK.AVRuCPackage.all;
entity OCDProgcp2 is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
-- From/To TCK clock domain("Flash" programmer)
FlEEPrgAdr : in std_logic_vector(15 downto 0);
FlPrgRdData : out std_logic_vector(15 downto 0);
EEPrgRdData : out std_logic_vector(7 downto 0);
FlEEPrgWrData : in std_logic_vector(15 downto 0);
ChipEraseStart : in std_logic;
ChipEraseDone : out std_logic;
ProgEnable : in std_logic;
FlWrMStart : in std_logic; -- Multiple
FlWrSStart : in std_logic; -- Single
FlRdMStart : in std_logic; -- Multiple
FlRdSStart : in std_logic; -- Single
EEWrStart : in std_logic;
EERdStart : in std_logic;
TAPCtrlTLR : in std_logic; -- TAP Controller is in the Test-Logic/Reset state
-- From the core
PC : in std_logic_vector(15 downto 0);
-- To the PM("Flash")
pm_adr : out std_logic_vector(15 downto 0);
pm_h_we : out std_logic;
pm_l_we : out std_logic;
pm_dout : in std_logic_vector(15 downto 0);
pm_din : out std_logic_vector(15 downto 0);
-- To the "EEPROM"
EEPrgSel : out std_logic;
EEAdr : out std_logic_vector(11 downto 0);
EEWrData : out std_logic_vector(7 downto 0);
EERdData : in std_logic_vector(7 downto 0);
EEWr : out std_logic
);
end OCDProgcp2;
architecture RTL of OCDProgcp2 is
-- **********************************************************************************
-- *************************** Programmer part *********************************************
-- **********************************************************************************
-- Edge detectors
signal TAPCtrlTLRDel : std_logic; -- TAP Run-Test/Idle
-- Chip Erase Start edge detector
signal ChipEraseStartDel : std_logic;
-- Flash Write Start(using Virtual Flash Page Load Register) edge detector
signal FlWrMStartDel : std_logic;
-- Flash Write Start(using Load Data Low(2d)/Load Data High(2e)) edge detector
signal FlWrSStartDel : std_logic;
-- Flash Read Start(using Virtual Flash Page Read Register) edge detector
signal FlRdMStartDel : std_logic;
-- Flash Read Start(using Load Data Low and High Byte(3d)) edge detector
signal FlRdSStartDel : std_logic;
-- "Flash" programmer state machines
signal FlWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal FlRdCnt : std_logic_vector(1 downto 0) ; -- Read (Low andHigh bytes)
signal FlRd_St : std_logic; -- "Flash" read(Latch data)
-- "Flash" address and data registers
signal FlashPrgAdrRg : std_logic_vector(15 downto 0); -- Address(Write/Read)
signal FlashPrgDataRg : std_logic_vector(15 downto 0); -- Data(for Write)
-- Output copies
signal pm_h_we_Int : std_logic;
signal pm_l_we_Int : std_logic;
-- Chip erase
signal ChipErase_St : std_logic;
-- "EEPROM" support
-- Edge detectors
signal EEWrStartDel : std_logic;
signal EERdStartDel : std_logic;
-- EEPROM address and data registers
signal EEPrgAdrRg : std_logic_vector(EEAdr'range); -- Address(Write/Read)
signal EEPrgDataRg : std_logic_vector(EEWrData'range); -- Data(for Write)
signal EEWr_Int : std_logic;
-- EEPROM programmer state machines
signal EEWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal EERdCnt : std_logic_vector(1 downto 0) ; -- Read
signal EERd_St : std_logic;
begin
-- ***************************** Programmer part ********************************
FlashWriteCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
TAPCtrlTLRDel <= TAPCtrlTLR;
FlWrMStartDel <= FlWrMStart;
FlWrSStartDel <= FlWrSStart;
-- Delay counter
if(TAPCtrlTLR='1') then -- Reset counter
FlWrCnt <= (others => '0');
elsif((FlWrMStart='0' and FlWrMStartDel='1')or
(FlWrSStart='0' and FlWrSStartDel='1')) then
FlWrCnt <= "01";
elsif(FlWrCnt/="00") then
FlWrCnt <= FlWrCnt + 1;
end if;
-- Control
if(TAPCtrlTLR='1') then -- Reset control signals
pm_h_we_Int <= '0';
pm_l_we_Int <= '0';
else
case pm_h_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_h_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_h_we_Int <= '0';
end if;
when others => null;
end case;
case pm_l_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_l_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_l_we_Int <= '0';
end if;
when others => null;
end case;
end if;
-- Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
FlashPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
FlashPrgAdrRg <= FlashPrgAdrRg + 1;
elsif(FlWrCnt="11" or FlRdCnt="11") then -- Normal mode
FlashPrgAdrRg <= FlEEPrgAdr;
end if;
-- Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
FlashPrgDataRg <= (others => '1');
elsif(FlWrCnt="11") then -- Write to flash
FlashPrgDataRg <= FlEEPrgWrData;
end if;
-- EEPROM Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
EEPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
EEPrgAdrRg <= EEPrgAdrRg + 1;
elsif(EEWrCnt="11" or EERdCnt="11") then -- Normal mode
EEPrgAdrRg <= FlEEPrgAdr(EEPrgAdrRg'range);
end if;
-- EEPROM Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
EEPrgDataRg <= (others => '1');
elsif(EEWrCnt="11") then -- Write to EEPROM
EEPrgDataRg <= FlEEPrgWrData(EEPrgDataRg'range);
end if;
-- EEPROM Write
case EEWr_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or EEWrCnt="11") then
EEWr_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
EEWr_Int <= '0';
end if;
when others => EEWr_Int <= '0';
end case;
-- EEPROM Read state
if(EERdCnt="11") then
EERd_St <= '1';
else
EERd_St <= '0';
end if;
end if;
end process;
-- "Flash" write enables
pm_l_we <= pm_l_we_Int;
pm_h_we <= pm_h_we_Int;
-- "Flash" data inputs
pm_din <= FlashPrgDataRg;
-- EEPROM
EEAdr <= EEPrgAdrRg;
EEWrData <= EEPrgDataRg;
EEWr <= EEWr_Int;
EEPrgSel <= ProgEnable; -- !!!TBD!!! (Add EESAVE)
-- Flash read
FlashReadCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
FlRdMStartDel <= FlRdMStart;
FlRdSStartDel <= FlRdSStart;
-- EEPROM edge detectors
EEWrStartDel <= EEWrStart;
EERdStartDel <= EERdStart;
-- Delay counter (for read)
if(TAPCtrlTLR='1') then -- Reset counter
FlRdCnt <= (others => '0');
elsif((FlRdMStart='0' and FlRdMStartDel='1')or
(FlRdSStart='0' and FlRdSStartDel='1')) then
FlRdCnt <= "01";
elsif(FlRdCnt/="00") then
FlRdCnt <= FlRdCnt + 1;
end if;
if(FlRdCnt="11") then
FlRd_St <= '1';
else
FlRd_St <= '0';
end if;
if(FlRd_St='1') then -- Latch read data
FlPrgRdData <= pm_dout;
end if;
-- EEPROM Read delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EERdCnt <= (others => '0');
elsif(EERdStart='0' and EERdStartDel='1') then -- Falling edge
EERdCnt <= "01";
elsif(EERdCnt/="00") then
EERdCnt <= EERdCnt + 1;
end if;
-- EEPROM Write delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EEWrCnt <= (others => '0');
elsif(EEWrStart='0' and EEWrStartDel='1') then -- Falling edge
EEWrCnt <= "01";
elsif(EEWrCnt/="00") then
EEWrCnt <= EEWrCnt + 1;
end if;
-- EEPROM Read latch
if(EERd_St='1') then
EEPrgRdData <= EERdData;
end if;
end if;
end process;
-- Chip Erase
ChipEraseState:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
ChipEraseStartDel <= ChipEraseStart; -- Edge detector
if (TAPCtrlTLR='1') then -- Reset
ChipErase_St <= '0';
else
case ChipErase_St is
when '0' =>
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
ChipErase_St <= '1';
end if;
when '1' =>
if (FlashPrgAdrRg=C_MaxEraseAdr) then
ChipErase_St <= '0';
end if;
when others => null;
end case;
end if;
end if;
end process;
-- !!!TBD!!!
ChipEraseDone <= not ChipErase_St;
-- *************************** End of programmer part *******************************
pm_adr <= FlashPrgAdrRg when (ProgEnable='1') else -- Programming Mode
PC; -- Normal Operations
end RTL;
|
--**********************************************************************************************
-- JTAG "Flash" programmer for AVR Core(cp2 Clock Domain)
-- Version 0.5
-- Modified 20.06.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use WORK.JTAGPack.all;
use WORK.AVRuCPackage.all;
entity OCDProgcp2 is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
-- From/To TCK clock domain("Flash" programmer)
FlEEPrgAdr : in std_logic_vector(15 downto 0);
FlPrgRdData : out std_logic_vector(15 downto 0);
EEPrgRdData : out std_logic_vector(7 downto 0);
FlEEPrgWrData : in std_logic_vector(15 downto 0);
ChipEraseStart : in std_logic;
ChipEraseDone : out std_logic;
ProgEnable : in std_logic;
FlWrMStart : in std_logic; -- Multiple
FlWrSStart : in std_logic; -- Single
FlRdMStart : in std_logic; -- Multiple
FlRdSStart : in std_logic; -- Single
EEWrStart : in std_logic;
EERdStart : in std_logic;
TAPCtrlTLR : in std_logic; -- TAP Controller is in the Test-Logic/Reset state
-- From the core
PC : in std_logic_vector(15 downto 0);
-- To the PM("Flash")
pm_adr : out std_logic_vector(15 downto 0);
pm_h_we : out std_logic;
pm_l_we : out std_logic;
pm_dout : in std_logic_vector(15 downto 0);
pm_din : out std_logic_vector(15 downto 0);
-- To the "EEPROM"
EEPrgSel : out std_logic;
EEAdr : out std_logic_vector(11 downto 0);
EEWrData : out std_logic_vector(7 downto 0);
EERdData : in std_logic_vector(7 downto 0);
EEWr : out std_logic
);
end OCDProgcp2;
architecture RTL of OCDProgcp2 is
-- **********************************************************************************
-- *************************** Programmer part *********************************************
-- **********************************************************************************
-- Edge detectors
signal TAPCtrlTLRDel : std_logic; -- TAP Run-Test/Idle
-- Chip Erase Start edge detector
signal ChipEraseStartDel : std_logic;
-- Flash Write Start(using Virtual Flash Page Load Register) edge detector
signal FlWrMStartDel : std_logic;
-- Flash Write Start(using Load Data Low(2d)/Load Data High(2e)) edge detector
signal FlWrSStartDel : std_logic;
-- Flash Read Start(using Virtual Flash Page Read Register) edge detector
signal FlRdMStartDel : std_logic;
-- Flash Read Start(using Load Data Low and High Byte(3d)) edge detector
signal FlRdSStartDel : std_logic;
-- "Flash" programmer state machines
signal FlWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal FlRdCnt : std_logic_vector(1 downto 0) ; -- Read (Low andHigh bytes)
signal FlRd_St : std_logic; -- "Flash" read(Latch data)
-- "Flash" address and data registers
signal FlashPrgAdrRg : std_logic_vector(15 downto 0); -- Address(Write/Read)
signal FlashPrgDataRg : std_logic_vector(15 downto 0); -- Data(for Write)
-- Output copies
signal pm_h_we_Int : std_logic;
signal pm_l_we_Int : std_logic;
-- Chip erase
signal ChipErase_St : std_logic;
-- "EEPROM" support
-- Edge detectors
signal EEWrStartDel : std_logic;
signal EERdStartDel : std_logic;
-- EEPROM address and data registers
signal EEPrgAdrRg : std_logic_vector(EEAdr'range); -- Address(Write/Read)
signal EEPrgDataRg : std_logic_vector(EEWrData'range); -- Data(for Write)
signal EEWr_Int : std_logic;
-- EEPROM programmer state machines
signal EEWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal EERdCnt : std_logic_vector(1 downto 0) ; -- Read
signal EERd_St : std_logic;
begin
-- ***************************** Programmer part ********************************
FlashWriteCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
TAPCtrlTLRDel <= TAPCtrlTLR;
FlWrMStartDel <= FlWrMStart;
FlWrSStartDel <= FlWrSStart;
-- Delay counter
if(TAPCtrlTLR='1') then -- Reset counter
FlWrCnt <= (others => '0');
elsif((FlWrMStart='0' and FlWrMStartDel='1')or
(FlWrSStart='0' and FlWrSStartDel='1')) then
FlWrCnt <= "01";
elsif(FlWrCnt/="00") then
FlWrCnt <= FlWrCnt + 1;
end if;
-- Control
if(TAPCtrlTLR='1') then -- Reset control signals
pm_h_we_Int <= '0';
pm_l_we_Int <= '0';
else
case pm_h_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_h_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_h_we_Int <= '0';
end if;
when others => null;
end case;
case pm_l_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_l_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_l_we_Int <= '0';
end if;
when others => null;
end case;
end if;
-- Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
FlashPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
FlashPrgAdrRg <= FlashPrgAdrRg + 1;
elsif(FlWrCnt="11" or FlRdCnt="11") then -- Normal mode
FlashPrgAdrRg <= FlEEPrgAdr;
end if;
-- Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
FlashPrgDataRg <= (others => '1');
elsif(FlWrCnt="11") then -- Write to flash
FlashPrgDataRg <= FlEEPrgWrData;
end if;
-- EEPROM Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
EEPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
EEPrgAdrRg <= EEPrgAdrRg + 1;
elsif(EEWrCnt="11" or EERdCnt="11") then -- Normal mode
EEPrgAdrRg <= FlEEPrgAdr(EEPrgAdrRg'range);
end if;
-- EEPROM Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
EEPrgDataRg <= (others => '1');
elsif(EEWrCnt="11") then -- Write to EEPROM
EEPrgDataRg <= FlEEPrgWrData(EEPrgDataRg'range);
end if;
-- EEPROM Write
case EEWr_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or EEWrCnt="11") then
EEWr_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
EEWr_Int <= '0';
end if;
when others => EEWr_Int <= '0';
end case;
-- EEPROM Read state
if(EERdCnt="11") then
EERd_St <= '1';
else
EERd_St <= '0';
end if;
end if;
end process;
-- "Flash" write enables
pm_l_we <= pm_l_we_Int;
pm_h_we <= pm_h_we_Int;
-- "Flash" data inputs
pm_din <= FlashPrgDataRg;
-- EEPROM
EEAdr <= EEPrgAdrRg;
EEWrData <= EEPrgDataRg;
EEWr <= EEWr_Int;
EEPrgSel <= ProgEnable; -- !!!TBD!!! (Add EESAVE)
-- Flash read
FlashReadCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
FlRdMStartDel <= FlRdMStart;
FlRdSStartDel <= FlRdSStart;
-- EEPROM edge detectors
EEWrStartDel <= EEWrStart;
EERdStartDel <= EERdStart;
-- Delay counter (for read)
if(TAPCtrlTLR='1') then -- Reset counter
FlRdCnt <= (others => '0');
elsif((FlRdMStart='0' and FlRdMStartDel='1')or
(FlRdSStart='0' and FlRdSStartDel='1')) then
FlRdCnt <= "01";
elsif(FlRdCnt/="00") then
FlRdCnt <= FlRdCnt + 1;
end if;
if(FlRdCnt="11") then
FlRd_St <= '1';
else
FlRd_St <= '0';
end if;
if(FlRd_St='1') then -- Latch read data
FlPrgRdData <= pm_dout;
end if;
-- EEPROM Read delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EERdCnt <= (others => '0');
elsif(EERdStart='0' and EERdStartDel='1') then -- Falling edge
EERdCnt <= "01";
elsif(EERdCnt/="00") then
EERdCnt <= EERdCnt + 1;
end if;
-- EEPROM Write delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EEWrCnt <= (others => '0');
elsif(EEWrStart='0' and EEWrStartDel='1') then -- Falling edge
EEWrCnt <= "01";
elsif(EEWrCnt/="00") then
EEWrCnt <= EEWrCnt + 1;
end if;
-- EEPROM Read latch
if(EERd_St='1') then
EEPrgRdData <= EERdData;
end if;
end if;
end process;
-- Chip Erase
ChipEraseState:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
ChipEraseStartDel <= ChipEraseStart; -- Edge detector
if (TAPCtrlTLR='1') then -- Reset
ChipErase_St <= '0';
else
case ChipErase_St is
when '0' =>
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
ChipErase_St <= '1';
end if;
when '1' =>
if (FlashPrgAdrRg=C_MaxEraseAdr) then
ChipErase_St <= '0';
end if;
when others => null;
end case;
end if;
end if;
end process;
-- !!!TBD!!!
ChipEraseDone <= not ChipErase_St;
-- *************************** End of programmer part *******************************
pm_adr <= FlashPrgAdrRg when (ProgEnable='1') else -- Programming Mode
PC; -- Normal Operations
end RTL;
|
--**********************************************************************************************
-- JTAG "Flash" programmer for AVR Core(cp2 Clock Domain)
-- Version 0.5
-- Modified 20.06.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use WORK.JTAGPack.all;
use WORK.AVRuCPackage.all;
entity OCDProgcp2 is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
-- From/To TCK clock domain("Flash" programmer)
FlEEPrgAdr : in std_logic_vector(15 downto 0);
FlPrgRdData : out std_logic_vector(15 downto 0);
EEPrgRdData : out std_logic_vector(7 downto 0);
FlEEPrgWrData : in std_logic_vector(15 downto 0);
ChipEraseStart : in std_logic;
ChipEraseDone : out std_logic;
ProgEnable : in std_logic;
FlWrMStart : in std_logic; -- Multiple
FlWrSStart : in std_logic; -- Single
FlRdMStart : in std_logic; -- Multiple
FlRdSStart : in std_logic; -- Single
EEWrStart : in std_logic;
EERdStart : in std_logic;
TAPCtrlTLR : in std_logic; -- TAP Controller is in the Test-Logic/Reset state
-- From the core
PC : in std_logic_vector(15 downto 0);
-- To the PM("Flash")
pm_adr : out std_logic_vector(15 downto 0);
pm_h_we : out std_logic;
pm_l_we : out std_logic;
pm_dout : in std_logic_vector(15 downto 0);
pm_din : out std_logic_vector(15 downto 0);
-- To the "EEPROM"
EEPrgSel : out std_logic;
EEAdr : out std_logic_vector(11 downto 0);
EEWrData : out std_logic_vector(7 downto 0);
EERdData : in std_logic_vector(7 downto 0);
EEWr : out std_logic
);
end OCDProgcp2;
architecture RTL of OCDProgcp2 is
-- **********************************************************************************
-- *************************** Programmer part *********************************************
-- **********************************************************************************
-- Edge detectors
signal TAPCtrlTLRDel : std_logic; -- TAP Run-Test/Idle
-- Chip Erase Start edge detector
signal ChipEraseStartDel : std_logic;
-- Flash Write Start(using Virtual Flash Page Load Register) edge detector
signal FlWrMStartDel : std_logic;
-- Flash Write Start(using Load Data Low(2d)/Load Data High(2e)) edge detector
signal FlWrSStartDel : std_logic;
-- Flash Read Start(using Virtual Flash Page Read Register) edge detector
signal FlRdMStartDel : std_logic;
-- Flash Read Start(using Load Data Low and High Byte(3d)) edge detector
signal FlRdSStartDel : std_logic;
-- "Flash" programmer state machines
signal FlWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal FlRdCnt : std_logic_vector(1 downto 0) ; -- Read (Low andHigh bytes)
signal FlRd_St : std_logic; -- "Flash" read(Latch data)
-- "Flash" address and data registers
signal FlashPrgAdrRg : std_logic_vector(15 downto 0); -- Address(Write/Read)
signal FlashPrgDataRg : std_logic_vector(15 downto 0); -- Data(for Write)
-- Output copies
signal pm_h_we_Int : std_logic;
signal pm_l_we_Int : std_logic;
-- Chip erase
signal ChipErase_St : std_logic;
-- "EEPROM" support
-- Edge detectors
signal EEWrStartDel : std_logic;
signal EERdStartDel : std_logic;
-- EEPROM address and data registers
signal EEPrgAdrRg : std_logic_vector(EEAdr'range); -- Address(Write/Read)
signal EEPrgDataRg : std_logic_vector(EEWrData'range); -- Data(for Write)
signal EEWr_Int : std_logic;
-- EEPROM programmer state machines
signal EEWrCnt : std_logic_vector(1 downto 0) ; -- Write
signal EERdCnt : std_logic_vector(1 downto 0) ; -- Read
signal EERd_St : std_logic;
begin
-- ***************************** Programmer part ********************************
FlashWriteCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
TAPCtrlTLRDel <= TAPCtrlTLR;
FlWrMStartDel <= FlWrMStart;
FlWrSStartDel <= FlWrSStart;
-- Delay counter
if(TAPCtrlTLR='1') then -- Reset counter
FlWrCnt <= (others => '0');
elsif((FlWrMStart='0' and FlWrMStartDel='1')or
(FlWrSStart='0' and FlWrSStartDel='1')) then
FlWrCnt <= "01";
elsif(FlWrCnt/="00") then
FlWrCnt <= FlWrCnt + 1;
end if;
-- Control
if(TAPCtrlTLR='1') then -- Reset control signals
pm_h_we_Int <= '0';
pm_l_we_Int <= '0';
else
case pm_h_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_h_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_h_we_Int <= '0';
end if;
when others => null;
end case;
case pm_l_we_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or FlWrCnt="11") then
pm_l_we_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
pm_l_we_Int <= '0';
end if;
when others => null;
end case;
end if;
-- Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
FlashPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
FlashPrgAdrRg <= FlashPrgAdrRg + 1;
elsif(FlWrCnt="11" or FlRdCnt="11") then -- Normal mode
FlashPrgAdrRg <= FlEEPrgAdr;
end if;
-- Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
FlashPrgDataRg <= (others => '1');
elsif(FlWrCnt="11") then -- Write to flash
FlashPrgDataRg <= FlEEPrgWrData;
end if;
-- EEPROM Address (for Erase,Write and Read!!!)
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase -> Clear address counter
EEPrgAdrRg <= (others => '0');
elsif(ChipErase_St='1') then -- Chip erase -> increment aaddress
EEPrgAdrRg <= EEPrgAdrRg + 1;
elsif(EEWrCnt="11" or EERdCnt="11") then -- Normal mode
EEPrgAdrRg <= FlEEPrgAdr(EEPrgAdrRg'range);
end if;
-- EEPROM Data
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
EEPrgDataRg <= (others => '1');
elsif(EEWrCnt="11") then -- Write to EEPROM
EEPrgDataRg <= FlEEPrgWrData(EEPrgDataRg'range);
end if;
-- EEPROM Write
case EEWr_Int is
when '0' =>
if((ChipEraseStart='1' and ChipEraseStartDel='0') or EEWrCnt="11") then
EEWr_Int <= '1';
end if;
when '1' =>
if(ChipErase_St='0' or (ChipErase_St='1' and FlashPrgAdrRg=C_MaxEraseAdr)) then
EEWr_Int <= '0';
end if;
when others => EEWr_Int <= '0';
end case;
-- EEPROM Read state
if(EERdCnt="11") then
EERd_St <= '1';
else
EERd_St <= '0';
end if;
end if;
end process;
-- "Flash" write enables
pm_l_we <= pm_l_we_Int;
pm_h_we <= pm_h_we_Int;
-- "Flash" data inputs
pm_din <= FlashPrgDataRg;
-- EEPROM
EEAdr <= EEPrgAdrRg;
EEWrData <= EEPrgDataRg;
EEWr <= EEWr_Int;
EEPrgSel <= ProgEnable; -- !!!TBD!!! (Add EESAVE)
-- Flash read
FlashReadCntAndCtrl:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
-- Edge detectors
FlRdMStartDel <= FlRdMStart;
FlRdSStartDel <= FlRdSStart;
-- EEPROM edge detectors
EEWrStartDel <= EEWrStart;
EERdStartDel <= EERdStart;
-- Delay counter (for read)
if(TAPCtrlTLR='1') then -- Reset counter
FlRdCnt <= (others => '0');
elsif((FlRdMStart='0' and FlRdMStartDel='1')or
(FlRdSStart='0' and FlRdSStartDel='1')) then
FlRdCnt <= "01";
elsif(FlRdCnt/="00") then
FlRdCnt <= FlRdCnt + 1;
end if;
if(FlRdCnt="11") then
FlRd_St <= '1';
else
FlRd_St <= '0';
end if;
if(FlRd_St='1') then -- Latch read data
FlPrgRdData <= pm_dout;
end if;
-- EEPROM Read delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EERdCnt <= (others => '0');
elsif(EERdStart='0' and EERdStartDel='1') then -- Falling edge
EERdCnt <= "01";
elsif(EERdCnt/="00") then
EERdCnt <= EERdCnt + 1;
end if;
-- EEPROM Write delay counter
if(TAPCtrlTLR='1') then -- Reset counter
EEWrCnt <= (others => '0');
elsif(EEWrStart='0' and EEWrStartDel='1') then -- Falling edge
EEWrCnt <= "01";
elsif(EEWrCnt/="00") then
EEWrCnt <= EEWrCnt + 1;
end if;
-- EEPROM Read latch
if(EERd_St='1') then
EEPrgRdData <= EERdData;
end if;
end if;
end process;
-- Chip Erase
ChipEraseState:process(cp2)
begin
if(cp2='1' and cp2'event) then -- Clock cp2(Rising edge)
ChipEraseStartDel <= ChipEraseStart; -- Edge detector
if (TAPCtrlTLR='1') then -- Reset
ChipErase_St <= '0';
else
case ChipErase_St is
when '0' =>
if(ChipEraseStart='1' and ChipEraseStartDel='0') then -- Start of chip erase
ChipErase_St <= '1';
end if;
when '1' =>
if (FlashPrgAdrRg=C_MaxEraseAdr) then
ChipErase_St <= '0';
end if;
when others => null;
end case;
end if;
end if;
end process;
-- !!!TBD!!!
ChipEraseDone <= not ChipErase_St;
-- *************************** End of programmer part *******************************
pm_adr <= FlashPrgAdrRg when (ProgEnable='1') else -- Programming Mode
PC; -- Normal Operations
end RTL;
|
BuzzerRe_inst : BuzzerRe PORT MAP (
clock => clock_sig,
cout => cout_sig,
q => q_sig
);
|
---------------------------------------------------------------------------
-- Copyright © 2010 Lawrence Wilkinson [email protected]
--
-- This file is part of LJW2030, a VHDL implementation of the IBM
-- System/360 Model 30.
--
-- LJW2030 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- LJW2030 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with LJW2030 . If not, see <http://www.gnu.org/licenses/>.
--
---------------------------------------------------------------------------
--
-- File: FMD2030_5-07A2.vhd
-- Creation Date: 22:26:31 18/04/05
-- Description:
-- Check Register Indicators
-- Page references like "5-01A" refer to the IBM Maintenance Diagram Manual (MDM)
-- for the 360/30 R25-5103-1
-- References like "02AE6" refer to coordinate "E6" on page "5-02A"
-- Logic references like "AB3D5" refer to card "D5" in board "B3" in gate "A"
-- Gate A is the main logic gate, B is the second (optional) logic gate,
-- C is the core storage and X is the CCROS unit
--
-- Revision History:
-- Revision 1.0 2010-07-13
-- Initial Release
--
--
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
library work;
use work.Gates_package.all;
use work.Buses_package.all;
ENTITY ChkRegInd IS
port
(
-- Inputs
LAMP_TEST : IN STD_LOGIC; -- 04A
GT_CA_TO_W_REG : IN STD_LOGIC; -- 02B
USE_ALT_CA_DECODER : IN STD_LOGIC; -- 02B
USE_BASIC_CA_DECO : IN STD_LOGIC; -- 02B
CA_SALS : IN STD_LOGIC_VECTOR(0 to 3); -- 01C
ROS_SCAN : IN STD_LOGIC; -- 03C
MACH_CHK_PULSE : IN STD_LOGIC; -- 03A
GT_D_REG_TO_A_BUS : IN STD_LOGIC; -- 05C
MACH_RST_SW : IN STD_LOGIC; -- 03D
ANY_PRIORITY_LCH : IN STD_LOGIC; -- 03A
SET_IND_ROSAR : IN STD_LOGIC; -- 01A
MACH_RST_6 : IN STD_LOGIC; -- 03D
WX_CHK : IN STD_LOGIC; -- 01A
A_REG_PC,B_REG_PC : IN STD_LOGIC; -- 06A
N2ND_ERROR_STOP : IN STD_LOGIC; -- 03C
ALLOW_WRITE : IN STD_LOGIC; -- 03D
CTRL_REG_CHK : IN STD_LOGIC; -- 01A
SALS_PC : IN STD_LOGIC; -- 01A
R_REG_PC : IN STD_LOGIC; -- 05A
ALU_CHK : IN STD_LOGIC; -- 06A
CHK_SW_PROC_SW : IN STD_LOGIC; -- 04A
SUPPR_MACH_CHK_TRAP : IN STD_LOGIC; -- 03A
CPU_WR_IN_R_REG : IN STD_LOGIC; -- 03D
GT_Q_REG_TO_A_BUS : IN STD_LOGIC; -- 07C
STACK_PC : IN STD_LOGIC; -- 08B
MEM_PROT_REQUEST : IN STD_LOGIC; -- 03A
SEL_CHNL_CHK : IN STD_LOGIC; -- 11A
MACH_CHK_RST : IN STD_LOGIC; -- 04A
AK_SAL_BIT : IN STD_LOGIC; -- 01C
CK_SALS : IN STD_LOGIC_VECTOR(0 to 3); -- 01C
MN_PC : IN STD_LOGIC;
N1401_MODE : IN STD_LOGIC;
-- Outputs
SUPPR_A_REG_CHK : OUT STD_LOGIC; -- 03A
ALLOW_PC_SALS : OUT STD_LOGIC; -- 01B
MN_REG_CHK_SMPLD : OUT STD_LOGIC; -- 06C
FIRST_MACH_CHK,FIRST_MACH_CHK_REQ : OUT STD_LOGIC; -- 03A,03C
ANY_MACH_CHK : OUT STD_LOGIC; -- 01A,03C,04A
IND_MC_A_REG,IND_MC_B_REG,IND_MC_STOR_ADDR,IND_MC_CTRL_REG,IND_MC_ROS_SALS,IND_MC_ROS_ADDR,IND_MC_STOR_DATA,IND_MC_ALU : OUT STD_LOGIC;
MC : OUT STD_LOGIC_VECTOR(0 to 7);
-- Clocks
T1,T2,T3,T4 : IN STD_LOGIC;
P1 : IN STD_LOGIC;
clk : IN STD_LOGIC
);
END ChkRegInd;
ARCHITECTURE FMD OF ChkRegInd IS
signal W_REG_CHK : STD_LOGIC;
signal RST_MACH_CHK : STD_LOGIC;
signal MC_REG : STD_LOGIC_VECTOR(0 to 8);
signal SETMC : STD_LOGIC_VECTOR(0 to 7);
signal SET1ST : STD_LOGIC;
signal CAX1X1,CA0X11,CAX11X,CA1XXX : STD_LOGIC;
signal N_ALLOW_PC_SALS : STD_LOGIC;
signal ALLOW_A_REG_CHK : STD_LOGIC;
signal sSUPPR_A_REG_CHK : STD_LOGIC;
signal sALLOW_PC_SALS : STD_LOGIC;
signal sMN_REG_CHK_SMPLD : STD_LOGIC;
signal sANY_MACH_CHK : STD_LOGIC;
signal sMC : STD_LOGIC_VECTOR(0 to 7);
signal SUPR_A_REG_CHK_Set,SUPR_A_REG_CHK_Reset,ALLW_A_REG_CHK_Set,ALLW_A_REG_CHK_Reset,NOT_ALLOW_PC_SALS_Set : STD_LOGIC;
signal REG_MC_Set,REG_MC_Reset : STD_LOGIC_VECTOR(0 to 8);
BEGIN
-- Fig 5-07A
SUPR_A_REG_CHK_Set <= MACH_CHK_PULSE and T2;
SUPR_A_REG_CHK_Reset <= (GT_D_REG_TO_A_BUS and T1) or MACH_RST_SW;
SUPR_A_REG_CHK: FLE port map(SUPR_A_REG_CHK_Set,SUPR_A_REG_CHK_Reset,clk,sSUPPR_A_REG_CHK); -- AB3H3,AB3J4,AB3H4
SUPPR_A_REG_CHK <= sSUPPR_A_REG_CHK;
CAX1X1 <= CA_SALS(1) and CA_SALS(3); -- AB3G3
CA0X11 <= not CA_SALS(0) and CA_SALS(2) and CA_SALS(3); -- AB3J5
CAX11X <= CA_SALS(1) and CA_SALS(2); -- AB3F3
CA1XXX <= CA_SALS(0); -- AB3K5
-- MDM has USE_ALT with CAX1X1 which would check the Q reg which has no valid parity. Using USE_BASIC checks H reg instead
ALLW_A_REG_CHK_Set <= (P1 and USE_BASIC_CA_DECO and not GT_CA_TO_W_REG and CAX1X1) or -- AB3G3 ?? MDM has USE_ALT here ??
(USE_ALT_CA_DECODER and not GT_CA_TO_W_REG and CA0X11 and P1) or -- AB3J5
(CAX11X and not GT_CA_TO_W_REG and USE_BASIC_CA_DECO and P1) or -- AB3F3
(USE_BASIC_CA_DECO and CA1XXX and P1); -- AB3K5
ALLW_A_REG_CHK_Reset <= T1 or ROS_SCAN or sSUPPR_A_REG_CHK or ANY_PRIORITY_LCH;
ALLW_A_REG_CHK: entity work.FLL port map(ALLW_A_REG_CHK_Set,ALLW_A_REG_CHK_Reset,ALLOW_A_REG_CHK); -- AB3K5,AB3B6,AB3J4
NOT_ALLOW_PC_SALS_Set <= (SET_IND_ROSAR and T4) or MACH_RST_6;
NOT_ALLOW_PC_SALS: entity work.FLL port map(NOT_ALLOW_PC_SALS_Set,not T3,N_ALLOW_PC_SALS); -- AB3F6,AB3D7,AB3E5
sALLOW_PC_SALS <= not N_ALLOW_PC_SALS;
ALLOW_PC_SALS <= sALLOW_PC_SALS;
W_REG_CHK <= WX_CHK and not MACH_CHK_PULSE; -- AB3F6,AB3B6
RST_MACH_CHK <= T1 and AK_SAL_BIT when CK_SALS="1011" else '0'; -- AB3E7,AB3H5
SETMC(0) <= ALLOW_A_REG_CHK and A_REG_PC and T3; -- AB3G4
SETMC(1) <= B_REG_PC and not N2ND_ERROR_STOP and T3; -- AB3G4
sMN_REG_CHK_SMPLD <= MN_PC and ALLOW_WRITE and T3; -- AB3G4
MN_REG_CHK_SMPLD <= sMN_REG_CHK_SMPLD;
SETMC(2) <= sMN_REG_CHK_SMPLD; -- AB3G4
SETMC(3) <= sALLOW_PC_SALS and T2 and CTRL_REG_CHK; -- AB3G5
SETMC(4) <= SALS_PC and sALLOW_PC_SALS and T2; -- AB3G5
SETMC(5) <= T2 and W_REG_CHK; -- AB3G5
SETMC(6) <= (T2 and R_REG_PC and (CPU_WR_IN_R_REG or N1401_MODE)) or
((not N2ND_ERROR_STOP or (GT_Q_REG_TO_A_BUS and not GT_CA_TO_W_REG)) and T2 and STACK_PC and MEM_PROT_REQUEST); -- AB3G6
SETMC(7) <= ALU_CHK and T4; -- AB3G6
sANY_MACH_CHK <= SETMC(0) or SETMC(1) or SETMC(2) or SETMC(3) or SETMC(4) or SETMC(5) or SETMC(6) or SETMC(7) or SEL_CHNL_CHK; -- AB3G4,AB3G5,AB3J4,AB3D7,AB3F4
ANY_MACH_CHK <= sANY_MACH_CHK;
SET1ST <= CHK_SW_PROC_SW and not SUPPR_MACH_CHK_TRAP and sANY_MACH_CHK; -- AB3G6
REG_MC_Set <= SETMC & SET1ST;
REG_MC_Reset <= (0 to 7 => MACH_CHK_RST or RST_MACH_CHK,8 => (T1 and MACH_CHK_PULSE) or MACH_CHK_RST or RST_MACH_CHK); -- AB3G7,AB3H6-removed??
REG_MC: FLVL port map(REG_MC_Set,REG_MC_Reset,MC_REG); -- AB3G4,AB3G5,AB3G6
sMC <= MC_REG(0 to 7);
MC <= sMC;
FIRST_MACH_CHK <= MC_REG(8);
FIRST_MACH_CHK_REQ <= MC_REG(8); -- AB3F6
IND_MC_A_REG <= sMC(0) or LAMP_TEST;
IND_MC_B_REG <= sMC(1) or LAMP_TEST;
IND_MC_STOR_ADDR <= sMC(2) or LAMP_TEST;
IND_MC_CTRL_REG <= sMC(3) or LAMP_TEST;
IND_MC_ROS_SALS <= sMC(4) or LAMP_TEST;
IND_MC_ROS_ADDR <= sMC(5) or LAMP_TEST;
IND_MC_STOR_DATA <= sMC(6) or LAMP_TEST;
IND_MC_ALU <= sMC(7) or LAMP_TEST;
end FMD;
|
entity comp6_bot is
generic (num : integer := 2 );
port (
x : in bit_vector(7 downto 0);
y : out bit_vector(7 downto 0) );
end entity;
architecture rtl of comp6_bot is
function cfunc (constant val : integer) return integer is
variable tmp : integer;
begin tmp := 0;
for i in 0 to 3 loop
tmp := tmp + val;
end loop;
return tmp;
end function cfunc;
function cfunc2 (constant k : integer) return integer is
variable tmp : integer;
begin
tmp := 1;
for i in 0 to k loop
if tmp > k then
return i;
end if;
tmp := tmp + tmp;
end loop;
end cfunc2;
function my_cfunc2 (constant k: integer) return integer is
begin
if k > 1 then
return cfunc(k);
end if;
return 1;
end my_cfunc2;
constant cnum : integer := cfunc(num);
type m_a_t is array (cnum-1 downto 0) of bit_vector(num-1 downto 0);
signal ma : m_a_t;
signal tmp : integer := cnum;
constant cnum2 : integer := cfunc2(num);
type m_a_t2 is array (cnum2-1 downto 0) of bit_vector(num-1 downto 0);
signal ma2 : m_a_t2;
signal tmp2 : integer := cnum2;
constant cnum3 : integer := my_cfunc2(num);
type m_a_t3 is array (cnum3-1 downto 0) of bit_vector(num-1 downto 0);
signal ma3 : m_a_t3;
signal tmp3 : integer := cnum3;
begin
y <= x;
end architecture;
-------------------------------------------------------------------------------
entity comp6 is
end entity;
architecture rtl of comp6 is
signal b: bit_vector(7 downto 0);
component comp6_bot is
generic (num : integer := 2 );
port (
y : out bit_vector(7 downto 0);
x : in bit_vector(7 downto 0) );
end component;
begin
c1: component comp6_bot
generic map (num => 8)
port map ( x=>x"aa", y=>b );
end architecture;
|
entity comp6_bot is
generic (num : integer := 2 );
port (
x : in bit_vector(7 downto 0);
y : out bit_vector(7 downto 0) );
end entity;
architecture rtl of comp6_bot is
function cfunc (constant val : integer) return integer is
variable tmp : integer;
begin tmp := 0;
for i in 0 to 3 loop
tmp := tmp + val;
end loop;
return tmp;
end function cfunc;
function cfunc2 (constant k : integer) return integer is
variable tmp : integer;
begin
tmp := 1;
for i in 0 to k loop
if tmp > k then
return i;
end if;
tmp := tmp + tmp;
end loop;
end cfunc2;
function my_cfunc2 (constant k: integer) return integer is
begin
if k > 1 then
return cfunc(k);
end if;
return 1;
end my_cfunc2;
constant cnum : integer := cfunc(num);
type m_a_t is array (cnum-1 downto 0) of bit_vector(num-1 downto 0);
signal ma : m_a_t;
signal tmp : integer := cnum;
constant cnum2 : integer := cfunc2(num);
type m_a_t2 is array (cnum2-1 downto 0) of bit_vector(num-1 downto 0);
signal ma2 : m_a_t2;
signal tmp2 : integer := cnum2;
constant cnum3 : integer := my_cfunc2(num);
type m_a_t3 is array (cnum3-1 downto 0) of bit_vector(num-1 downto 0);
signal ma3 : m_a_t3;
signal tmp3 : integer := cnum3;
begin
y <= x;
end architecture;
-------------------------------------------------------------------------------
entity comp6 is
end entity;
architecture rtl of comp6 is
signal b: bit_vector(7 downto 0);
component comp6_bot is
generic (num : integer := 2 );
port (
y : out bit_vector(7 downto 0);
x : in bit_vector(7 downto 0) );
end component;
begin
c1: component comp6_bot
generic map (num => 8)
port map ( x=>x"aa", y=>b );
end architecture;
|
entity comp6_bot is
generic (num : integer := 2 );
port (
x : in bit_vector(7 downto 0);
y : out bit_vector(7 downto 0) );
end entity;
architecture rtl of comp6_bot is
function cfunc (constant val : integer) return integer is
variable tmp : integer;
begin tmp := 0;
for i in 0 to 3 loop
tmp := tmp + val;
end loop;
return tmp;
end function cfunc;
function cfunc2 (constant k : integer) return integer is
variable tmp : integer;
begin
tmp := 1;
for i in 0 to k loop
if tmp > k then
return i;
end if;
tmp := tmp + tmp;
end loop;
end cfunc2;
function my_cfunc2 (constant k: integer) return integer is
begin
if k > 1 then
return cfunc(k);
end if;
return 1;
end my_cfunc2;
constant cnum : integer := cfunc(num);
type m_a_t is array (cnum-1 downto 0) of bit_vector(num-1 downto 0);
signal ma : m_a_t;
signal tmp : integer := cnum;
constant cnum2 : integer := cfunc2(num);
type m_a_t2 is array (cnum2-1 downto 0) of bit_vector(num-1 downto 0);
signal ma2 : m_a_t2;
signal tmp2 : integer := cnum2;
constant cnum3 : integer := my_cfunc2(num);
type m_a_t3 is array (cnum3-1 downto 0) of bit_vector(num-1 downto 0);
signal ma3 : m_a_t3;
signal tmp3 : integer := cnum3;
begin
y <= x;
end architecture;
-------------------------------------------------------------------------------
entity comp6 is
end entity;
architecture rtl of comp6 is
signal b: bit_vector(7 downto 0);
component comp6_bot is
generic (num : integer := 2 );
port (
y : out bit_vector(7 downto 0);
x : in bit_vector(7 downto 0) );
end component;
begin
c1: component comp6_bot
generic map (num => 8)
port map ( x=>x"aa", y=>b );
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19.08.2016 14:48:09
-- Design Name:
-- Module Name: Switches_LEDS - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Switches_LEDS is
Port ( Switch : in STD_LOGIC_VECTOR(7 downto 0);
LED : out STD_LOGIC_VECTOR(7 downto 0)
);
end Switches_LEDS;
architecture Behavioral of Switches_LEDS is
signal number_1 : STD_LOGIC_VECTOR(3 downto 0);
signal number_2 : STD_LOGIC_VECTOR(3 downto 0);
signal carry : STD_LOGIC_VECTOR(3 downto 0);
signal result : STD_LOGIC_VECTOR(7 downto 0);
begin
-- Define addition operation
result(0) <= number_1(0) XOR number_2(0);
carry(0) <= number_1(0) AND number_2(0);
result(1) <= number_1(1) XOR number_2(1) XOR carry(0);
carry(1) <= (number_1(1) AND number_2(1)) OR (number_1(1) AND carry(0)) OR (number_2(1) AND carry(0));
result(2) <= number_1(2) XOR number_2(2) XOR carry(1);
carry(2) <= (number_1(2) AND number_2(2)) OR (number_1(2) AND carry(1)) OR (number_2(2) AND carry(1));
result(3) <= number_1(3) XOR number_2(3) XOR carry(2);
carry(3) <= (number_1(3) AND number_2(3)) OR (number_1(3) AND carry(2)) OR (number_2(3) AND carry(2));
result(4) <= carry(3);
-- Assign the two 4-bit numbers
number_1 <= Switch(3 downto 0);
number_2 <= Switch(7 downto 4);
-- Fill in empty part of the result vector
result(7 downto 5) <= "000";
-- Assign the result to the LEDs
LED <= result(7 downto 0);
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:20:53 12/04/2017
-- Design Name:
-- Module Name: Barra4 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Barra4 is
Port ( Clk : in STD_LOGIC;
Reset : in STD_LOGIC;
datatomenout : out STD_LOGIC_VECTOR (31 downto 0);
aluresultout : out STD_LOGIC_VECTOR (31 downto 0);
datatomenin : in STD_LOGIC_VECTOR (31 downto 0);
aluresultin : in STD_LOGIC_VECTOR (31 downto 0);
pcin : in STD_LOGIC_VECTOR (31 downto 0);
RD : in STD_LOGIC_VECTOR (5 downto 0);
RDout : out STD_LOGIC_VECTOR (5 downto 0);
rfsourcein : in STD_LOGIC_VECTOR (1 downto 0);
rfsource : out STD_LOGIC_VECTOR (1 downto 0);
pcout : out STD_LOGIC_VECTOR (31 downto 0));
end Barra4;
architecture Behavioral of Barra4 is
begin
process(Clk,Reset,datatomenin,aluresultin,pcin,rfsourcein,RD)
begin
if reset='1' then
datatomenout <= "00000000000000000000000000000000";
aluresultout <= "00000000000000000000000000000000";
rfsource <= "00";
pcout <= "00000000000000000000000000000000";
RDout <= "000000";
elsif(rising_edge(Clk)) then
RDout <= RD;
datatomenout <= datatomenin;
aluresultout <= aluresultin;
rfsource <= rfsourcein;
pcout <= pcin;
end if;
end process;
end Behavioral;
|
architecture test of test2 is
constant foo : bar := "hel""lo";
begin end;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--**********************************************************************************************
--
-- Version 0.1
-- Modified 31.12.2006
-- Designed by Ruslan Lepetenok
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
package spi_mod_comp_pack is
component spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end component;
end spi_mod_comp_pack;
|
--
-- Input filter
--
-- Author: Sebastian Witt
-- Data: 06.03.2008
-- Version: 1.0
--
-- This code is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2.1 of the License, or (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public
-- License along with this library; if not, write to the
-- Free Software Foundation, Inc., 59 Temple Place, Suite 330,
-- Boston, MA 02111-1307 USA
--
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.numeric_std.all;
entity slib_input_filter is
generic (
SIZE : natural := 4 -- Filter counter size
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CE : in std_logic; -- Clock enable
D : in std_logic; -- Signal input
Q : out std_logic -- Signal output
);
end slib_input_filter;
architecture rtl of slib_input_filter is
signal iCount : integer range 0 to SIZE;
begin
IF_D: process (RST, CLK)
begin
if (RST = '1') then
iCount <= 0;
Q <= '0';
elsif (CLK'event and CLK='1') then
-- Input counter
if (CE = '1' ) then
if (D = '1' and iCount /= SIZE) then
iCount <= iCount + 1;
elsif (D = '0' and iCount /= 0) then
iCount <= iCount - 1;
end if;
end if;
-- Output
if (iCount = SIZE) then
Q <= '1';
elsif (iCount = 0) then
Q <= '0';
end if;
end if;
end process;
end rtl;
|
--
-- Input filter
--
-- Author: Sebastian Witt
-- Data: 06.03.2008
-- Version: 1.0
--
-- This code is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2.1 of the License, or (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public
-- License along with this library; if not, write to the
-- Free Software Foundation, Inc., 59 Temple Place, Suite 330,
-- Boston, MA 02111-1307 USA
--
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.numeric_std.all;
entity slib_input_filter is
generic (
SIZE : natural := 4 -- Filter counter size
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CE : in std_logic; -- Clock enable
D : in std_logic; -- Signal input
Q : out std_logic -- Signal output
);
end slib_input_filter;
architecture rtl of slib_input_filter is
signal iCount : integer range 0 to SIZE;
begin
IF_D: process (RST, CLK)
begin
if (RST = '1') then
iCount <= 0;
Q <= '0';
elsif (CLK'event and CLK='1') then
-- Input counter
if (CE = '1' ) then
if (D = '1' and iCount /= SIZE) then
iCount <= iCount + 1;
elsif (D = '0' and iCount /= 0) then
iCount <= iCount - 1;
end if;
end if;
-- Output
if (iCount = SIZE) then
Q <= '1';
elsif (iCount = 0) then
Q <= '0';
end if;
end if;
end process;
end rtl;
|
--
-- Input filter
--
-- Author: Sebastian Witt
-- Data: 06.03.2008
-- Version: 1.0
--
-- This code is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2.1 of the License, or (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public
-- License along with this library; if not, write to the
-- Free Software Foundation, Inc., 59 Temple Place, Suite 330,
-- Boston, MA 02111-1307 USA
--
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.numeric_std.all;
entity slib_input_filter is
generic (
SIZE : natural := 4 -- Filter counter size
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CE : in std_logic; -- Clock enable
D : in std_logic; -- Signal input
Q : out std_logic -- Signal output
);
end slib_input_filter;
architecture rtl of slib_input_filter is
signal iCount : integer range 0 to SIZE;
begin
IF_D: process (RST, CLK)
begin
if (RST = '1') then
iCount <= 0;
Q <= '0';
elsif (CLK'event and CLK='1') then
-- Input counter
if (CE = '1' ) then
if (D = '1' and iCount /= SIZE) then
iCount <= iCount + 1;
elsif (D = '0' and iCount /= 0) then
iCount <= iCount - 1;
end if;
end if;
-- Output
if (iCount = SIZE) then
Q <= '1';
elsif (iCount = 0) then
Q <= '0';
end if;
end if;
end process;
end rtl;
|
--
-- Input filter
--
-- Author: Sebastian Witt
-- Data: 06.03.2008
-- Version: 1.0
--
-- This code is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2.1 of the License, or (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public
-- License along with this library; if not, write to the
-- Free Software Foundation, Inc., 59 Temple Place, Suite 330,
-- Boston, MA 02111-1307 USA
--
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.numeric_std.all;
entity slib_input_filter is
generic (
SIZE : natural := 4 -- Filter counter size
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CE : in std_logic; -- Clock enable
D : in std_logic; -- Signal input
Q : out std_logic -- Signal output
);
end slib_input_filter;
architecture rtl of slib_input_filter is
signal iCount : integer range 0 to SIZE;
begin
IF_D: process (RST, CLK)
begin
if (RST = '1') then
iCount <= 0;
Q <= '0';
elsif (CLK'event and CLK='1') then
-- Input counter
if (CE = '1' ) then
if (D = '1' and iCount /= SIZE) then
iCount <= iCount + 1;
elsif (D = '0' and iCount /= 0) then
iCount <= iCount - 1;
end if;
end if;
-- Output
if (iCount = SIZE) then
Q <= '1';
elsif (iCount = 0) then
Q <= '0';
end if;
end if;
end process;
end rtl;
|
--
-- Input filter
--
-- Author: Sebastian Witt
-- Data: 06.03.2008
-- Version: 1.0
--
-- This code is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 2.1 of the License, or (at your option) any later version.
--
-- This code is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public
-- License along with this library; if not, write to the
-- Free Software Foundation, Inc., 59 Temple Place, Suite 330,
-- Boston, MA 02111-1307 USA
--
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.numeric_std.all;
entity slib_input_filter is
generic (
SIZE : natural := 4 -- Filter counter size
);
port (
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset
CE : in std_logic; -- Clock enable
D : in std_logic; -- Signal input
Q : out std_logic -- Signal output
);
end slib_input_filter;
architecture rtl of slib_input_filter is
signal iCount : integer range 0 to SIZE;
begin
IF_D: process (RST, CLK)
begin
if (RST = '1') then
iCount <= 0;
Q <= '0';
elsif (CLK'event and CLK='1') then
-- Input counter
if (CE = '1' ) then
if (D = '1' and iCount /= SIZE) then
iCount <= iCount + 1;
elsif (D = '0' and iCount /= 0) then
iCount <= iCount - 1;
end if;
end if;
-- Output
if (iCount = SIZE) then
Q <= '1';
elsif (iCount = 0) then
Q <= '0';
end if;
end if;
end process;
end rtl;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:dist_mem_gen:8.0
-- IP Revision: 10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY dist_mem_gen_v8_0_10;
USE dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10;
ENTITY dist_mem_gen_1 IS
PORT (
a : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
d : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
dpra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
clk : IN STD_LOGIC;
we : IN STD_LOGIC;
spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)
);
END dist_mem_gen_1;
ARCHITECTURE dist_mem_gen_1_arch OF dist_mem_gen_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF dist_mem_gen_1_arch: ARCHITECTURE IS "yes";
COMPONENT dist_mem_gen_v8_0_10 IS
GENERIC (
C_FAMILY : STRING;
C_ADDR_WIDTH : INTEGER;
C_DEFAULT_DATA : STRING;
C_DEPTH : INTEGER;
C_HAS_CLK : INTEGER;
C_HAS_D : INTEGER;
C_HAS_DPO : INTEGER;
C_HAS_DPRA : INTEGER;
C_HAS_I_CE : INTEGER;
C_HAS_QDPO : INTEGER;
C_HAS_QDPO_CE : INTEGER;
C_HAS_QDPO_CLK : INTEGER;
C_HAS_QDPO_RST : INTEGER;
C_HAS_QDPO_SRST : INTEGER;
C_HAS_QSPO : INTEGER;
C_HAS_QSPO_CE : INTEGER;
C_HAS_QSPO_RST : INTEGER;
C_HAS_QSPO_SRST : INTEGER;
C_HAS_SPO : INTEGER;
C_HAS_WE : INTEGER;
C_MEM_INIT_FILE : STRING;
C_ELABORATION_DIR : STRING;
C_MEM_TYPE : INTEGER;
C_PIPELINE_STAGES : INTEGER;
C_QCE_JOINED : INTEGER;
C_QUALIFY_WE : INTEGER;
C_READ_MIF : INTEGER;
C_REG_A_D_INPUTS : INTEGER;
C_REG_DPRA_INPUT : INTEGER;
C_SYNC_ENABLE : INTEGER;
C_WIDTH : INTEGER;
C_PARSER_TYPE : INTEGER
);
PORT (
a : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
d : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
dpra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
clk : IN STD_LOGIC;
we : IN STD_LOGIC;
i_ce : IN STD_LOGIC;
qspo_ce : IN STD_LOGIC;
qdpo_ce : IN STD_LOGIC;
qdpo_clk : IN STD_LOGIC;
qspo_rst : IN STD_LOGIC;
qdpo_rst : IN STD_LOGIC;
qspo_srst : IN STD_LOGIC;
qdpo_srst : IN STD_LOGIC;
spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
qspo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
qdpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)
);
END COMPONENT dist_mem_gen_v8_0_10;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF dist_mem_gen_1_arch: ARCHITECTURE IS "dist_mem_gen_v8_0_10,Vivado 2016.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF dist_mem_gen_1_arch : ARCHITECTURE IS "dist_mem_gen_1,dist_mem_gen_v8_0_10,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF dist_mem_gen_1_arch: ARCHITECTURE IS "dist_mem_gen_1,dist_mem_gen_v8_0_10,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dist_mem_gen,x_ipVersion=8.0,x_ipCoreRevision=10,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_ADDR_WIDTH=12,C_DEFAULT_DATA=0,C_DEPTH=2160,C_HAS_CLK=1,C_HAS_D=1,C_HAS_DPO=1,C_HAS_DPRA=1,C_HAS_I_CE=0,C_HAS_QDPO=0,C_HAS_QDPO_CE=0,C_HAS_QDPO_CLK=0,C_HAS_QDPO_RST=0,C_HAS_QDPO_SRST=0,C_HAS_QSPO=0,C_HAS_QSPO_CE=0,C_HAS_QSPO_RST=0,C_HAS_QSPO_SRST=0,C_HAS_SPO=1,C_HAS_WE=1,C_MEM_INI" &
"T_FILE=dist_mem_gen_1.mif,C_ELABORATION_DIR=./,C_MEM_TYPE=2,C_PIPELINE_STAGES=0,C_QCE_JOINED=0,C_QUALIFY_WE=0,C_READ_MIF=1,C_REG_A_D_INPUTS=0,C_REG_DPRA_INPUT=0,C_SYNC_ENABLE=1,C_WIDTH=6,C_PARSER_TYPE=1}";
BEGIN
U0 : dist_mem_gen_v8_0_10
GENERIC MAP (
C_FAMILY => "artix7",
C_ADDR_WIDTH => 12,
C_DEFAULT_DATA => "0",
C_DEPTH => 2160,
C_HAS_CLK => 1,
C_HAS_D => 1,
C_HAS_DPO => 1,
C_HAS_DPRA => 1,
C_HAS_I_CE => 0,
C_HAS_QDPO => 0,
C_HAS_QDPO_CE => 0,
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_RST => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_QSPO => 0,
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QSPO_SRST => 0,
C_HAS_SPO => 1,
C_HAS_WE => 1,
C_MEM_INIT_FILE => "dist_mem_gen_1.mif",
C_ELABORATION_DIR => "./",
C_MEM_TYPE => 2,
C_PIPELINE_STAGES => 0,
C_QCE_JOINED => 0,
C_QUALIFY_WE => 0,
C_READ_MIF => 1,
C_REG_A_D_INPUTS => 0,
C_REG_DPRA_INPUT => 0,
C_SYNC_ENABLE => 1,
C_WIDTH => 6,
C_PARSER_TYPE => 1
)
PORT MAP (
a => a,
d => d,
dpra => dpra,
clk => clk,
we => we,
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qspo_srst => '0',
qdpo_srst => '0',
spo => spo,
dpo => dpo
);
END dist_mem_gen_1_arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2841.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity TRANSPORT is
end TRANSPORT;
ENTITY c13s09b00x00p99n01i02841ent IS
END c13s09b00x00p99n01i02841ent;
ARCHITECTURE c13s09b00x00p99n01i02841arch OF c13s09b00x00p99n01i02841ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02841 - Reserved word TRANSPORT can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02841arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2841.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity TRANSPORT is
end TRANSPORT;
ENTITY c13s09b00x00p99n01i02841ent IS
END c13s09b00x00p99n01i02841ent;
ARCHITECTURE c13s09b00x00p99n01i02841arch OF c13s09b00x00p99n01i02841ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02841 - Reserved word TRANSPORT can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02841arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2841.vhd,v 1.2 2001-10-26 16:30:23 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity TRANSPORT is
end TRANSPORT;
ENTITY c13s09b00x00p99n01i02841ent IS
END c13s09b00x00p99n01i02841ent;
ARCHITECTURE c13s09b00x00p99n01i02841arch OF c13s09b00x00p99n01i02841ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c13s09b00x00p99n01i02841 - Reserved word TRANSPORT can not be used as an entity name."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s09b00x00p99n01i02841arch;
|
architecture rtl of fifo is
begin
process begin
loop end loop;
LOOP end LOOP;
end process;
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: odpad
-- File: odpad.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: tri-state output pad with technology wrapper
------------------------------------------------------------------------------
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use techmap.allpads.all;
entity odpad is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0);
port (pad : out std_ulogic; i : in std_ulogic;
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end;
architecture rtl of odpad is
signal gnd, oen, padx : std_ulogic;
begin
oen <= not i when oepol /= padoen_polarity(tech) else i;
gnd <= '0';
gen0 : if has_pads(tech) = 0 generate
pad <= gnd
-- pragma translate_off
after 2 ns
-- pragma translate_on
when oen = '0'
-- pragma translate_off
else 'X' after 2 ns when is_x(i)
-- pragma translate_on
else 'Z'
-- pragma translate_off
after 2 ns
-- pragma translate_on
;
end generate;
xcv : if (is_unisim(tech) = 1) generate
x0 : unisim_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
axc : if (tech = axcel) or (tech = axdsp) generate
x0 : axcel_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
pa3 : if (tech = proasic) or (tech = apa3) generate
x0 : apa3_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
pa3e : if (tech = apa3e) generate
x0 : apa3e_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
igl2 : if (tech = igloo2) or (tech = rtg4) generate
x0 : igloo2_toutpad port map (pad, gnd, oen);
end generate;
pa3l : if (tech = apa3l) generate
x0 : apa3l_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
fus : if (tech = actfus) generate
x0 : fusion_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
atc : if (tech = atc18s) generate
x0 : atc18_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
atcrh : if (tech = atc18rha) generate
x0 : atc18rha_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
um : if (tech = umc) generate
x0 : umc_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
rhu : if (tech = rhumc) generate
x0 : rhumc_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
ihp : if (tech = ihp25) generate
x0 : ihp25_toutpad generic map(level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
rh18t : if (tech = rhlib18t) generate
x0 : rh_lib18t_iopad generic map (strength)
port map (padx, gnd, oen, open);
pad <= padx;
end generate;
ut025 : if (tech = ut25) generate
x0 : ut025crh_iopad generic map (level, slew, voltage, strength)
port map (padx, gnd, oen, open);
pad <= padx;
end generate;
ut13 : if (tech = ut130) generate
x0 : ut130hbd_iopad generic map (level, slew, voltage, strength)
port map (padx, gnd, oen, open);
pad <= padx;
end generate;
pere : if (tech = peregrine) generate
x0 : peregrine_iopad generic map (strength)
port map (padx, gnd, oen, open);
pad <= padx;
end generate;
nex : if (tech = easic90) generate
x0 : nextreme_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen);
end generate;
n2x : if (tech = easic45) generate
x0 : n2x_toutpad generic map (level, slew, voltage, strength)
port map (pad, gnd, oen,cfgi(0), cfgi(1),
cfgi(19 downto 15), cfgi(14 downto 10),
cfgi(9 downto 6), cfgi(5 downto 2));
end generate;
end;
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
entity odpadv is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 0; width : integer := 1;
oepol : integer := 0);
port (
pad : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end;
architecture rtl of odpadv is
begin
v : for j in width-1 downto 0 generate
x0 : odpad generic map (tech, level, slew, voltage, strength, oepol)
port map (pad(j), i(j), cfgi);
end generate;
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.io_bus_pkg.all;
entity uart_peripheral_fast is
generic (
g_divisor : natural := 35 );
port (
clock : in std_logic;
reset : in std_logic;
io_req : in t_io_req;
io_resp : out t_io_resp;
irq : out std_logic;
txd : out std_logic;
rxd : in std_logic := '1';
rts : out std_logic;
cts : in std_logic := '1' );
end uart_peripheral_fast;
architecture gideon of uart_peripheral_fast is
signal dotx : std_logic;
signal done : std_logic;
signal rxchar : std_logic_vector(7 downto 0);
signal rx_ack : std_logic := '0';
signal rxfifo_get : std_logic := '0';
signal rxfifo_dout : std_logic_vector(7 downto 0) := X"00";
signal rxfifo_full : std_logic := '0';
signal rxfifo_dav : std_logic := '0';
signal overflow : std_logic := '0';
signal flags : std_logic_vector(7 downto 0);
signal imask : std_logic_vector(1 downto 0);
signal rdata_mux : std_logic_vector(7 downto 0);
signal txfifo_get : std_logic;
signal txfifo_put : std_logic;
signal txfifo_dout : std_logic_vector(7 downto 0);
signal txfifo_full : std_logic := '1';
signal txfifo_afull : std_logic := '1';
signal txfifo_empty : std_logic := '0';
signal txfifo_dav : std_logic;
signal dotx_d : std_logic;
signal txchar : std_logic_vector(7 downto 0);
constant c_uart_data : unsigned(1 downto 0) := "00";
constant c_uart_get : unsigned(1 downto 0) := "01";
constant c_uart_flags : unsigned(1 downto 0) := "10";
constant c_uart_imask : unsigned(1 downto 0) := "11";
begin
i_tx_fifo: entity work.sync_fifo
generic map (
g_depth => 1024,
g_data_width => 8,
g_threshold => 512,
g_fall_through => true
)
port map(
clock => clock,
reset => reset,
rd_en => txfifo_get,
wr_en => txfifo_put,
din => io_req.data,
dout => txfifo_dout,
flush => '0',
empty => txfifo_empty,
almost_full => txfifo_afull,
full => txfifo_full,
valid => txfifo_dav
);
my_tx: entity work.tx
generic map (g_divisor)
port map (
clk => clock,
reset => reset,
tick => '1',
dotx => dotx,
txchar => txchar,
cts => cts,
txd => txd,
done => done );
my_rx: entity work.rx
generic map (g_divisor)
port map (
clk => clock,
reset => reset,
tick => '1',
rxd => rxd,
rxchar => rxchar,
rx_ack => rx_ack );
i_rxfifo: entity work.sync_fifo
generic map(
g_depth => 1024,
g_data_width => 8,
g_threshold => 1000,
g_fall_through => true
)
port map(
clock => clock,
reset => reset,
wr_en => rx_ack,
din => rxchar,
rd_en => rxfifo_get,
dout => rxfifo_dout,
flush => '0',
almost_full => rxfifo_full,
valid => rxfifo_dav
);
txfifo_put <= '1' when io_req.write = '1' and io_req.address(1 downto 0) = c_uart_data else '0';
process(clock)
begin
if rising_edge(clock) then
rxfifo_get <= '0';
dotx_d <= dotx;
txfifo_get <= dotx_d;
io_resp <= c_io_resp_init;
if rxfifo_full='1' and rx_ack='1' then
overflow <= '1';
end if;
dotx <= txfifo_dav and done and not dotx;
txchar <= txfifo_dout;
if io_req.write='1' then
io_resp.ack <= '1';
case io_req.address(1 downto 0) is
when c_uart_data => -- dout
-- outside of process
when c_uart_get => -- din
rxfifo_get <= '1';
when c_uart_flags => -- clear flags
overflow <= overflow and not io_req.data(0);
when c_uart_imask => -- interrupt control
imask <= io_req.data(1 downto 0);
when others =>
null;
end case;
elsif io_req.read='1' then
io_resp.ack <= '1';
io_resp.data <= rdata_mux;
end if;
if reset='1' then
overflow <= '0';
imask <= (others => '0');
end if;
end if;
end process;
irq <= (flags(3) and imask(1)) or (flags(7) and imask(0));
flags(0) <= overflow;
flags(1) <= '0';
flags(2) <= txfifo_empty;
flags(3) <= not txfifo_afull;
flags(4) <= txfifo_full;
flags(5) <= rxfifo_full;
flags(6) <= done;
flags(7) <= rxfifo_dav;
rts <= not rxfifo_full;
with io_req.address(1 downto 0) select rdata_mux <=
rxfifo_dout when c_uart_data,
flags when c_uart_flags,
"000000" & imask when c_uart_imask,
X"00" when others;
end gideon;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.dma_bus_pkg.all;
entity dma_bus_arbiter_pri is
generic (
g_ports : positive := 3 );
port (
clock : in std_logic;
reset : in std_logic;
reqs : in t_dma_req_array(0 to g_ports-1);
resps : out t_dma_resp_array(0 to g_ports-1);
req : out t_dma_req;
resp : in t_dma_resp );
end entity;
architecture rtl of dma_bus_arbiter_pri is
signal req_i : t_dma_req;
signal select_i : integer range 0 to g_ports-1;
signal select_c : integer range 0 to g_ports-1;
type t_state is (idle, busy_read, busy_write);
signal state : t_state;
begin
-- prioritize the first request found onto output
process(reqs)
begin
req_i <= c_dma_req_init;
select_i <= 0;
for i in reqs'range loop
if reqs(i).request='1' then
req_i <= reqs(i);
select_i <= i;
exit;
end if;
end loop;
end process;
p_access: process(clock)
begin
if rising_edge(clock) then
case state is
when idle =>
req <= req_i;
if req_i.request='1' then
select_c <= select_i;
if req_i.read_writen='0' then
state <= busy_write;
else
state <= busy_read;
end if;
end if;
when busy_read =>
if resp.rack='1' then
req.request <= '0';
end if;
if resp.dack='1' then
state <= idle;
end if;
when busy_write =>
if resp.rack='1' then
req.request <= '0';
state <= idle;
end if;
when others =>
null;
end case;
end if;
end process;
-- send the reply to everyone, but mask the acks to non-active clients
process(resp, select_c)
begin
for i in resps'range loop
resps(i) <= resp;
if i /= select_c then
resps(i).rack <= '0';
resps(i).dack <= '0';
end if;
end loop;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.dma_bus_pkg.all;
entity dma_bus_arbiter_pri is
generic (
g_ports : positive := 3 );
port (
clock : in std_logic;
reset : in std_logic;
reqs : in t_dma_req_array(0 to g_ports-1);
resps : out t_dma_resp_array(0 to g_ports-1);
req : out t_dma_req;
resp : in t_dma_resp );
end entity;
architecture rtl of dma_bus_arbiter_pri is
signal req_i : t_dma_req;
signal select_i : integer range 0 to g_ports-1;
signal select_c : integer range 0 to g_ports-1;
type t_state is (idle, busy_read, busy_write);
signal state : t_state;
begin
-- prioritize the first request found onto output
process(reqs)
begin
req_i <= c_dma_req_init;
select_i <= 0;
for i in reqs'range loop
if reqs(i).request='1' then
req_i <= reqs(i);
select_i <= i;
exit;
end if;
end loop;
end process;
p_access: process(clock)
begin
if rising_edge(clock) then
case state is
when idle =>
req <= req_i;
if req_i.request='1' then
select_c <= select_i;
if req_i.read_writen='0' then
state <= busy_write;
else
state <= busy_read;
end if;
end if;
when busy_read =>
if resp.rack='1' then
req.request <= '0';
end if;
if resp.dack='1' then
state <= idle;
end if;
when busy_write =>
if resp.rack='1' then
req.request <= '0';
state <= idle;
end if;
when others =>
null;
end case;
end if;
end process;
-- send the reply to everyone, but mask the acks to non-active clients
process(resp, select_c)
begin
for i in resps'range loop
resps(i) <= resp;
if i /= select_c then
resps(i).rack <= '0';
resps(i).dack <= '0';
end if;
end loop;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.dma_bus_pkg.all;
entity dma_bus_arbiter_pri is
generic (
g_ports : positive := 3 );
port (
clock : in std_logic;
reset : in std_logic;
reqs : in t_dma_req_array(0 to g_ports-1);
resps : out t_dma_resp_array(0 to g_ports-1);
req : out t_dma_req;
resp : in t_dma_resp );
end entity;
architecture rtl of dma_bus_arbiter_pri is
signal req_i : t_dma_req;
signal select_i : integer range 0 to g_ports-1;
signal select_c : integer range 0 to g_ports-1;
type t_state is (idle, busy_read, busy_write);
signal state : t_state;
begin
-- prioritize the first request found onto output
process(reqs)
begin
req_i <= c_dma_req_init;
select_i <= 0;
for i in reqs'range loop
if reqs(i).request='1' then
req_i <= reqs(i);
select_i <= i;
exit;
end if;
end loop;
end process;
p_access: process(clock)
begin
if rising_edge(clock) then
case state is
when idle =>
req <= req_i;
if req_i.request='1' then
select_c <= select_i;
if req_i.read_writen='0' then
state <= busy_write;
else
state <= busy_read;
end if;
end if;
when busy_read =>
if resp.rack='1' then
req.request <= '0';
end if;
if resp.dack='1' then
state <= idle;
end if;
when busy_write =>
if resp.rack='1' then
req.request <= '0';
state <= idle;
end if;
when others =>
null;
end case;
end if;
end process;
-- send the reply to everyone, but mask the acks to non-active clients
process(resp, select_c)
begin
for i in resps'range loop
resps(i) <= resp;
if i /= select_c then
resps(i).rack <= '0';
resps(i).dack <= '0';
end if;
end loop;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.dma_bus_pkg.all;
entity dma_bus_arbiter_pri is
generic (
g_ports : positive := 3 );
port (
clock : in std_logic;
reset : in std_logic;
reqs : in t_dma_req_array(0 to g_ports-1);
resps : out t_dma_resp_array(0 to g_ports-1);
req : out t_dma_req;
resp : in t_dma_resp );
end entity;
architecture rtl of dma_bus_arbiter_pri is
signal req_i : t_dma_req;
signal select_i : integer range 0 to g_ports-1;
signal select_c : integer range 0 to g_ports-1;
type t_state is (idle, busy_read, busy_write);
signal state : t_state;
begin
-- prioritize the first request found onto output
process(reqs)
begin
req_i <= c_dma_req_init;
select_i <= 0;
for i in reqs'range loop
if reqs(i).request='1' then
req_i <= reqs(i);
select_i <= i;
exit;
end if;
end loop;
end process;
p_access: process(clock)
begin
if rising_edge(clock) then
case state is
when idle =>
req <= req_i;
if req_i.request='1' then
select_c <= select_i;
if req_i.read_writen='0' then
state <= busy_write;
else
state <= busy_read;
end if;
end if;
when busy_read =>
if resp.rack='1' then
req.request <= '0';
end if;
if resp.dack='1' then
state <= idle;
end if;
when busy_write =>
if resp.rack='1' then
req.request <= '0';
state <= idle;
end if;
when others =>
null;
end case;
end if;
end process;
-- send the reply to everyone, but mask the acks to non-active clients
process(resp, select_c)
begin
for i in resps'range loop
resps(i) <= resp;
if i /= select_c then
resps(i).rack <= '0';
resps(i).dack <= '0';
end if;
end loop;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.dma_bus_pkg.all;
entity dma_bus_arbiter_pri is
generic (
g_ports : positive := 3 );
port (
clock : in std_logic;
reset : in std_logic;
reqs : in t_dma_req_array(0 to g_ports-1);
resps : out t_dma_resp_array(0 to g_ports-1);
req : out t_dma_req;
resp : in t_dma_resp );
end entity;
architecture rtl of dma_bus_arbiter_pri is
signal req_i : t_dma_req;
signal select_i : integer range 0 to g_ports-1;
signal select_c : integer range 0 to g_ports-1;
type t_state is (idle, busy_read, busy_write);
signal state : t_state;
begin
-- prioritize the first request found onto output
process(reqs)
begin
req_i <= c_dma_req_init;
select_i <= 0;
for i in reqs'range loop
if reqs(i).request='1' then
req_i <= reqs(i);
select_i <= i;
exit;
end if;
end loop;
end process;
p_access: process(clock)
begin
if rising_edge(clock) then
case state is
when idle =>
req <= req_i;
if req_i.request='1' then
select_c <= select_i;
if req_i.read_writen='0' then
state <= busy_write;
else
state <= busy_read;
end if;
end if;
when busy_read =>
if resp.rack='1' then
req.request <= '0';
end if;
if resp.dack='1' then
state <= idle;
end if;
when busy_write =>
if resp.rack='1' then
req.request <= '0';
state <= idle;
end if;
when others =>
null;
end case;
end if;
end process;
-- send the reply to everyone, but mask the acks to non-active clients
process(resp, select_c)
begin
for i in resps'range loop
resps(i) <= resp;
if i /= select_c then
resps(i).rack <= '0';
resps(i).dack <= '0';
end if;
end loop;
end process;
end architecture;
|
-- sync_fifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: sync_fifo_fg.vhd
--
-- Description:
-- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new
-- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on
-- the fly" call of FIFO Generator during design implementation.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- sync_fifo_fg.vhd
-- |
-- |-- fifo_generator_v4_3
-- |
-- |-- fifo_generator_v9_3
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.5.2.68 $
-- Date: $1/16/2008$
--
-- History:
-- DET 1/16/2008 Initial Version
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3
-- ^^^^^^
--
-- MSH and DET 3/2/2009 For Lava SP2
-- ~~~~~~
-- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6
-- devices.
-- - IfGen used so that legacy FPGA families still use Fifo Generator
-- version 4.3.
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Replaced FIFO Generator version 5.1 with 5.2.
-- ^^^^^^
--
--
-- DET 2/9/2010 for EDK 12.1
-- ~~~~~~
-- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3.
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1.
-- ^^^^^^
--
-- DET 6/18/2010 EDK_MS2
-- ~~~~~~
-- -- Per IR565916
-- - Added derivative part type checks for S6 or V6.
-- ^^^^^^
--
-- DET 8/30/2010 EDK_MS4
-- ~~~~~~
-- -- Per CR573867
-- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2.
-- - Added all of the AXI parameters and ports. They are not used
-- in this application.
-- - Updated method for derivative part support using new family
-- aliasing function in family_support.vhd.
-- - Incorporated an implementation to deal with unsupported FPGA
-- parts passed in on the C_FAMILY parameter.
-- ^^^^^^
--
-- DET 10/4/2010 EDK 13.1
-- ~~~~~~
-- - Updated the FIFO Generator version from V7.2 to 7.3.
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Updated the FIFO Generator version from V7.3 to 8.1.
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- ^^^^^^
--
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-- RBODDU 06/07/2012 EDK 14.2
-- ~~~~~~
-- - Update to use fifo_generator_v9_1
-- ^^^^^^
-- RBODDU 06/11/2012 EDK 14.4
-- ~~~~~~
-- - Update to use fifo_generator_v9_2
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v9_3
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v12_0_5
-- - Added sleep, wr_rst_busy, and rd_rst_busy signals
-- - Changed FULL_FLAGS_RST_VAL to '1'
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v13_0_1;
use fifo_generator_v13_0_1.all;
-------------------------------------------------------------------------------
entity sync_fifo_fg is
generic (
C_FAMILY : String := "virtex5"; -- new for FIFO Gen
C_DCOUNT_WIDTH : integer := 4 ;
C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo
C_HAS_DCOUNT : integer := 1 ;
C_HAS_RD_ACK : integer := 0 ;
C_HAS_RD_ERR : integer := 0 ;
C_HAS_WR_ACK : integer := 0 ;
C_HAS_WR_ERR : integer := 0 ;
C_HAS_ALMOST_FULL : integer := 0 ;
C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM
C_PORTS_DIFFER : integer := 0 ;
C_RD_ACK_LOW : integer := 0 ;
C_USE_EMBEDDED_REG : integer := 0 ;
C_READ_DATA_WIDTH : integer := 16;
C_READ_DEPTH : integer := 16;
C_RD_ERR_LOW : integer := 0 ;
C_WR_ACK_LOW : integer := 0 ;
C_WR_ERR_LOW : integer := 0 ;
C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through
C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through
C_WRITE_DATA_WIDTH : integer := 16;
C_WRITE_DEPTH : integer := 16;
C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8
);
port (
Clk : in std_logic;
Sinit : in std_logic;
Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0);
Wr_en : in std_logic;
Rd_en : in std_logic;
Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0);
Almost_full : out std_logic;
Full : out std_logic;
Empty : out std_logic;
Rd_ack : out std_logic;
Wr_ack : out std_logic;
Rd_err : out std_logic;
Wr_err : out std_logic;
Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0)
);
end entity sync_fifo_fg;
architecture implementation of sync_fifo_fg is
-- Function delarations
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
end function log2;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMaxDepth
--
-- Function Description:
-- Returns the largest value of either Write depth or Read depth
-- requested by input parameters.
--
-------------------------------------------------------------------
function GetMaxDepth (rd_depth : integer;
wr_depth : integer)
return integer is
Variable max_value : integer := 0;
begin
If (rd_depth < wr_depth) Then
max_value := wr_depth;
else
max_value := rd_depth;
End if;
return(max_value);
end function GetMaxDepth;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMemType
--
-- Function Description:
-- Generates the required integer value for the FG instance assignment
-- of the C_MEMORY_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- FIFO Generator values
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
--
-------------------------------------------------------------------
function GetMemType (inputmemtype : integer) return integer is
Variable memtype : Integer := 0;
begin
If (inputmemtype = 0) Then -- distributed Memory
memtype := 2;
else
memtype := 1; -- BRAM
End if;
return(memtype);
end function GetMemType;
-- Constant Declarations ----------------------------------------------
-- changing this to C_FAMILY
Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd
-- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily"));
-- lib_fifo supports all families
Constant FAMILY_IS_SUPPORTED : boolean := true;
--Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and
-- FAMILY_IS_SUPPORTED;
--Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and
-- FAMILY_IS_SUPPORTED;
-- Calculate associated FIFO characteristics
Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH);
Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1;
Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1;
-- Get the integer value for a Block memory type fifo generator call
Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE);
-- Set the required integer value for the FG instance assignment
-- of the C_IMPLEMENTATION_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
-- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO)
-- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls
-- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls
--
Constant FG_IMP_TYPE : integer := 0;
-- The programable thresholds are not used so this is housekeeping.
Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3;
Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4;
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
signal sig_full : std_logic;
signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0);
signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal WR_RST_BUSY : std_logic;
signal RD_RST_BUSY : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_FAMILY
--
-- If Generate Description:
-- This IfGen is implemented if an unsupported FPGA family
-- is passed in on the C_FAMILY parameter,
--
------------------------------------------------------------
-- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate
-- begin
-- synthesis translate_off
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_ASSERTION
--
-- Process Description:
-- Generate a simulation error assertion for an unsupported
-- FPGA family string passed in on the C_FAMILY parameter.
--
-------------------------------------------------------------
-- DO_ASSERTION : process
-- begin
-- Wait until second rising clock edge to issue assertion
-- Wait until Clk = '1';
-- wait until Clk = '0';
-- Wait until Clk = '1';
-- Report an error in simulation environment
-- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!"
-- severity ERROR;
-- Wait;-- halt this process
-- end process DO_ASSERTION;
-- synthesis translate_on
-- Tie outputs to logic low or logic high as required
-- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0);
-- Almost_full <= '0' ; -- : out std_logic;
-- Full <= '0' ; -- : out std_logic;
-- Empty <= '1' ; -- : out std_logic;
-- Rd_ack <= '0' ; -- : out std_logic;
-- Wr_ack <= '0' ; -- : out std_logic;
-- Rd_err <= '1' ; -- : out std_logic;
-- Wr_err <= '1' ; -- : out std_logic
-- Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0);
-- end generate GEN_NO_FAMILY;
------------------------------------------------------------
-- If Generate
--
-- Label: V6_S6_AND_LATER
--
-- If Generate Description:
-- This IfGen implements the fifo using fifo_generator_v9_3
-- when the designated FPGA Family is Spartan-6, Virtex-6 or
-- later.
--
------------------------------------------------------------
FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate
begin
--UltraScale_device: if (FAMILY_TO_USE = "virtexu" or FAMILY_TO_USE = "kintexu" or FAMILY_TO_USE = "virtexuplus" or FAMILY_TO_USE = "kintexuplus" or FAMILY_TO_USE = "zynquplus") generate
UltraScale_device: if (FAMILY_TO_USE /= "virtex7" and FAMILY_TO_USE /= "kintex7" and FAMILY_TO_USE /= "artix7" and FAMILY_TO_USE /= "zynq") generate
begin
Full <= sig_full or WR_RST_BUSY;
end generate UltraScale_device;
--Series7_device: if (FAMILY_TO_USE /= "virtexu" and FAMILY_TO_USE /= "kintexu" and FAMILY_TO_USE /= "virtexuplus" and FAMILY_TO_USE /= "kintexuplus" and FAMILY_TO_USE/= "zynquplus") generate
Series7_device: if (FAMILY_TO_USE = "virtex7" or FAMILY_TO_USE = "kintex7" or FAMILY_TO_USE = "artix7" or FAMILY_TO_USE = "zynq") generate
begin
Full <= sig_full;
end generate Series7_device;
-- Create legacy data count by concatonating the Full flag to the
-- MS Bit position of the FIFO data count
-- This is per the Fifo Generator Migration Guide
sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt;
Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto
FGEN_CNT_WIDTH-C_DCOUNT_WIDTH);
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a legacy Sync FIFO
--
-------------------------------------------------------------------------------
I_SYNC_FIFO_BRAM : entity fifo_generator_v13_0_1.fifo_generator_v13_0_1
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ???
C_DEFAULT_VALUE => "BlankString", -- what to do here ???
C_DIN_WIDTH => C_WRITE_DATA_WIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_READ_DATA_WIDTH,
C_ENABLE_RLOCS => 0, -- not supported
C_FAMILY => FAMILY_TO_USE,
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 1,
C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => C_HAS_DCOUNT,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => C_HAS_WR_ERR,
C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_RD_RST => 0, -- not used for sync FIFO
C_HAS_RST => 0, -- not used for sync FIFO
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => C_HAS_RD_ERR,
C_HAS_VALID => C_HAS_RD_ACK,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_WR_RST => 0, -- not used for sync FIFO
C_IMPLEMENTATION_TYPE => FG_IMP_TYPE,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => FG_MEM_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => C_WR_ERR_LOW,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through
C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL,
C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_RD_DEPTH => MAX_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_UNDERFLOW_LOW => C_RD_ERR_LOW,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => C_RD_ACK_LOW,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_DEPTH => MAX_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => Clk,
rst => '0',
srst => Sinit,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => Din,
wr_en => Wr_en,
rd_en => Rd_en,
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0', -- new FG 5.1/5.2
injectsbiterr => '0', -- new FG 5.1/5.2
sleep => '0',
dout => Dout,
full => sig_full,
almost_full => Almost_full,
wr_ack => Wr_ack,
overflow => Wr_err,
empty => Empty,
almost_empty => ALMOST_EMPTY,
valid => Rd_ack,
underflow => Rd_err,
data_count => sig_prim_fg_datacnt,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
wr_rst_busy => WR_RST_BUSY,
rd_rst_busy => RD_RST_BUSY,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end generate FAMILY_SUPPORTED;
end implementation;
|
-- sync_fifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: sync_fifo_fg.vhd
--
-- Description:
-- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new
-- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on
-- the fly" call of FIFO Generator during design implementation.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- sync_fifo_fg.vhd
-- |
-- |-- fifo_generator_v4_3
-- |
-- |-- fifo_generator_v9_3
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.5.2.68 $
-- Date: $1/16/2008$
--
-- History:
-- DET 1/16/2008 Initial Version
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3
-- ^^^^^^
--
-- MSH and DET 3/2/2009 For Lava SP2
-- ~~~~~~
-- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6
-- devices.
-- - IfGen used so that legacy FPGA families still use Fifo Generator
-- version 4.3.
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Replaced FIFO Generator version 5.1 with 5.2.
-- ^^^^^^
--
--
-- DET 2/9/2010 for EDK 12.1
-- ~~~~~~
-- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3.
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1.
-- ^^^^^^
--
-- DET 6/18/2010 EDK_MS2
-- ~~~~~~
-- -- Per IR565916
-- - Added derivative part type checks for S6 or V6.
-- ^^^^^^
--
-- DET 8/30/2010 EDK_MS4
-- ~~~~~~
-- -- Per CR573867
-- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2.
-- - Added all of the AXI parameters and ports. They are not used
-- in this application.
-- - Updated method for derivative part support using new family
-- aliasing function in family_support.vhd.
-- - Incorporated an implementation to deal with unsupported FPGA
-- parts passed in on the C_FAMILY parameter.
-- ^^^^^^
--
-- DET 10/4/2010 EDK 13.1
-- ~~~~~~
-- - Updated the FIFO Generator version from V7.2 to 7.3.
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Updated the FIFO Generator version from V7.3 to 8.1.
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- ^^^^^^
--
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-- RBODDU 06/07/2012 EDK 14.2
-- ~~~~~~
-- - Update to use fifo_generator_v9_1
-- ^^^^^^
-- RBODDU 06/11/2012 EDK 14.4
-- ~~~~~~
-- - Update to use fifo_generator_v9_2
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v9_3
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v12_0_5
-- - Added sleep, wr_rst_busy, and rd_rst_busy signals
-- - Changed FULL_FLAGS_RST_VAL to '1'
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v13_0_1;
use fifo_generator_v13_0_1.all;
-------------------------------------------------------------------------------
entity sync_fifo_fg is
generic (
C_FAMILY : String := "virtex5"; -- new for FIFO Gen
C_DCOUNT_WIDTH : integer := 4 ;
C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo
C_HAS_DCOUNT : integer := 1 ;
C_HAS_RD_ACK : integer := 0 ;
C_HAS_RD_ERR : integer := 0 ;
C_HAS_WR_ACK : integer := 0 ;
C_HAS_WR_ERR : integer := 0 ;
C_HAS_ALMOST_FULL : integer := 0 ;
C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM
C_PORTS_DIFFER : integer := 0 ;
C_RD_ACK_LOW : integer := 0 ;
C_USE_EMBEDDED_REG : integer := 0 ;
C_READ_DATA_WIDTH : integer := 16;
C_READ_DEPTH : integer := 16;
C_RD_ERR_LOW : integer := 0 ;
C_WR_ACK_LOW : integer := 0 ;
C_WR_ERR_LOW : integer := 0 ;
C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through
C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through
C_WRITE_DATA_WIDTH : integer := 16;
C_WRITE_DEPTH : integer := 16;
C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8
);
port (
Clk : in std_logic;
Sinit : in std_logic;
Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0);
Wr_en : in std_logic;
Rd_en : in std_logic;
Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0);
Almost_full : out std_logic;
Full : out std_logic;
Empty : out std_logic;
Rd_ack : out std_logic;
Wr_ack : out std_logic;
Rd_err : out std_logic;
Wr_err : out std_logic;
Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0)
);
end entity sync_fifo_fg;
architecture implementation of sync_fifo_fg is
-- Function delarations
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
end function log2;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMaxDepth
--
-- Function Description:
-- Returns the largest value of either Write depth or Read depth
-- requested by input parameters.
--
-------------------------------------------------------------------
function GetMaxDepth (rd_depth : integer;
wr_depth : integer)
return integer is
Variable max_value : integer := 0;
begin
If (rd_depth < wr_depth) Then
max_value := wr_depth;
else
max_value := rd_depth;
End if;
return(max_value);
end function GetMaxDepth;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMemType
--
-- Function Description:
-- Generates the required integer value for the FG instance assignment
-- of the C_MEMORY_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- FIFO Generator values
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
--
-------------------------------------------------------------------
function GetMemType (inputmemtype : integer) return integer is
Variable memtype : Integer := 0;
begin
If (inputmemtype = 0) Then -- distributed Memory
memtype := 2;
else
memtype := 1; -- BRAM
End if;
return(memtype);
end function GetMemType;
-- Constant Declarations ----------------------------------------------
-- changing this to C_FAMILY
Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd
-- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily"));
-- lib_fifo supports all families
Constant FAMILY_IS_SUPPORTED : boolean := true;
--Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and
-- FAMILY_IS_SUPPORTED;
--Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and
-- FAMILY_IS_SUPPORTED;
-- Calculate associated FIFO characteristics
Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH);
Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1;
Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1;
-- Get the integer value for a Block memory type fifo generator call
Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE);
-- Set the required integer value for the FG instance assignment
-- of the C_IMPLEMENTATION_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
-- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO)
-- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls
-- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls
--
Constant FG_IMP_TYPE : integer := 0;
-- The programable thresholds are not used so this is housekeeping.
Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3;
Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4;
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
signal sig_full : std_logic;
signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0);
signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal WR_RST_BUSY : std_logic;
signal RD_RST_BUSY : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_FAMILY
--
-- If Generate Description:
-- This IfGen is implemented if an unsupported FPGA family
-- is passed in on the C_FAMILY parameter,
--
------------------------------------------------------------
-- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate
-- begin
-- synthesis translate_off
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_ASSERTION
--
-- Process Description:
-- Generate a simulation error assertion for an unsupported
-- FPGA family string passed in on the C_FAMILY parameter.
--
-------------------------------------------------------------
-- DO_ASSERTION : process
-- begin
-- Wait until second rising clock edge to issue assertion
-- Wait until Clk = '1';
-- wait until Clk = '0';
-- Wait until Clk = '1';
-- Report an error in simulation environment
-- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!"
-- severity ERROR;
-- Wait;-- halt this process
-- end process DO_ASSERTION;
-- synthesis translate_on
-- Tie outputs to logic low or logic high as required
-- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0);
-- Almost_full <= '0' ; -- : out std_logic;
-- Full <= '0' ; -- : out std_logic;
-- Empty <= '1' ; -- : out std_logic;
-- Rd_ack <= '0' ; -- : out std_logic;
-- Wr_ack <= '0' ; -- : out std_logic;
-- Rd_err <= '1' ; -- : out std_logic;
-- Wr_err <= '1' ; -- : out std_logic
-- Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0);
-- end generate GEN_NO_FAMILY;
------------------------------------------------------------
-- If Generate
--
-- Label: V6_S6_AND_LATER
--
-- If Generate Description:
-- This IfGen implements the fifo using fifo_generator_v9_3
-- when the designated FPGA Family is Spartan-6, Virtex-6 or
-- later.
--
------------------------------------------------------------
FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate
begin
--UltraScale_device: if (FAMILY_TO_USE = "virtexu" or FAMILY_TO_USE = "kintexu" or FAMILY_TO_USE = "virtexuplus" or FAMILY_TO_USE = "kintexuplus" or FAMILY_TO_USE = "zynquplus") generate
UltraScale_device: if (FAMILY_TO_USE /= "virtex7" and FAMILY_TO_USE /= "kintex7" and FAMILY_TO_USE /= "artix7" and FAMILY_TO_USE /= "zynq") generate
begin
Full <= sig_full or WR_RST_BUSY;
end generate UltraScale_device;
--Series7_device: if (FAMILY_TO_USE /= "virtexu" and FAMILY_TO_USE /= "kintexu" and FAMILY_TO_USE /= "virtexuplus" and FAMILY_TO_USE /= "kintexuplus" and FAMILY_TO_USE/= "zynquplus") generate
Series7_device: if (FAMILY_TO_USE = "virtex7" or FAMILY_TO_USE = "kintex7" or FAMILY_TO_USE = "artix7" or FAMILY_TO_USE = "zynq") generate
begin
Full <= sig_full;
end generate Series7_device;
-- Create legacy data count by concatonating the Full flag to the
-- MS Bit position of the FIFO data count
-- This is per the Fifo Generator Migration Guide
sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt;
Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto
FGEN_CNT_WIDTH-C_DCOUNT_WIDTH);
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a legacy Sync FIFO
--
-------------------------------------------------------------------------------
I_SYNC_FIFO_BRAM : entity fifo_generator_v13_0_1.fifo_generator_v13_0_1
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ???
C_DEFAULT_VALUE => "BlankString", -- what to do here ???
C_DIN_WIDTH => C_WRITE_DATA_WIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_READ_DATA_WIDTH,
C_ENABLE_RLOCS => 0, -- not supported
C_FAMILY => FAMILY_TO_USE,
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 1,
C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => C_HAS_DCOUNT,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => C_HAS_WR_ERR,
C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_RD_RST => 0, -- not used for sync FIFO
C_HAS_RST => 0, -- not used for sync FIFO
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => C_HAS_RD_ERR,
C_HAS_VALID => C_HAS_RD_ACK,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_WR_RST => 0, -- not used for sync FIFO
C_IMPLEMENTATION_TYPE => FG_IMP_TYPE,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => FG_MEM_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => C_WR_ERR_LOW,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through
C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL,
C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_RD_DEPTH => MAX_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_UNDERFLOW_LOW => C_RD_ERR_LOW,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => C_RD_ACK_LOW,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_DEPTH => MAX_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => Clk,
rst => '0',
srst => Sinit,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => Din,
wr_en => Wr_en,
rd_en => Rd_en,
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0', -- new FG 5.1/5.2
injectsbiterr => '0', -- new FG 5.1/5.2
sleep => '0',
dout => Dout,
full => sig_full,
almost_full => Almost_full,
wr_ack => Wr_ack,
overflow => Wr_err,
empty => Empty,
almost_empty => ALMOST_EMPTY,
valid => Rd_ack,
underflow => Rd_err,
data_count => sig_prim_fg_datacnt,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
wr_rst_busy => WR_RST_BUSY,
rd_rst_busy => RD_RST_BUSY,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end generate FAMILY_SUPPORTED;
end implementation;
|
-- sync_fifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: sync_fifo_fg.vhd
--
-- Description:
-- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new
-- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on
-- the fly" call of FIFO Generator during design implementation.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- sync_fifo_fg.vhd
-- |
-- |-- fifo_generator_v4_3
-- |
-- |-- fifo_generator_v9_3
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.5.2.68 $
-- Date: $1/16/2008$
--
-- History:
-- DET 1/16/2008 Initial Version
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3
-- ^^^^^^
--
-- MSH and DET 3/2/2009 For Lava SP2
-- ~~~~~~
-- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6
-- devices.
-- - IfGen used so that legacy FPGA families still use Fifo Generator
-- version 4.3.
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Replaced FIFO Generator version 5.1 with 5.2.
-- ^^^^^^
--
--
-- DET 2/9/2010 for EDK 12.1
-- ~~~~~~
-- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3.
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1.
-- ^^^^^^
--
-- DET 6/18/2010 EDK_MS2
-- ~~~~~~
-- -- Per IR565916
-- - Added derivative part type checks for S6 or V6.
-- ^^^^^^
--
-- DET 8/30/2010 EDK_MS4
-- ~~~~~~
-- -- Per CR573867
-- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2.
-- - Added all of the AXI parameters and ports. They are not used
-- in this application.
-- - Updated method for derivative part support using new family
-- aliasing function in family_support.vhd.
-- - Incorporated an implementation to deal with unsupported FPGA
-- parts passed in on the C_FAMILY parameter.
-- ^^^^^^
--
-- DET 10/4/2010 EDK 13.1
-- ~~~~~~
-- - Updated the FIFO Generator version from V7.2 to 7.3.
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Updated the FIFO Generator version from V7.3 to 8.1.
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- ^^^^^^
--
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-- RBODDU 06/07/2012 EDK 14.2
-- ~~~~~~
-- - Update to use fifo_generator_v9_1
-- ^^^^^^
-- RBODDU 06/11/2012 EDK 14.4
-- ~~~~~~
-- - Update to use fifo_generator_v9_2
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v9_3
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v12_0_5
-- - Added sleep, wr_rst_busy, and rd_rst_busy signals
-- - Changed FULL_FLAGS_RST_VAL to '1'
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v13_0_1;
use fifo_generator_v13_0_1.all;
-------------------------------------------------------------------------------
entity sync_fifo_fg is
generic (
C_FAMILY : String := "virtex5"; -- new for FIFO Gen
C_DCOUNT_WIDTH : integer := 4 ;
C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo
C_HAS_DCOUNT : integer := 1 ;
C_HAS_RD_ACK : integer := 0 ;
C_HAS_RD_ERR : integer := 0 ;
C_HAS_WR_ACK : integer := 0 ;
C_HAS_WR_ERR : integer := 0 ;
C_HAS_ALMOST_FULL : integer := 0 ;
C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM
C_PORTS_DIFFER : integer := 0 ;
C_RD_ACK_LOW : integer := 0 ;
C_USE_EMBEDDED_REG : integer := 0 ;
C_READ_DATA_WIDTH : integer := 16;
C_READ_DEPTH : integer := 16;
C_RD_ERR_LOW : integer := 0 ;
C_WR_ACK_LOW : integer := 0 ;
C_WR_ERR_LOW : integer := 0 ;
C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through
C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through
C_WRITE_DATA_WIDTH : integer := 16;
C_WRITE_DEPTH : integer := 16;
C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8
);
port (
Clk : in std_logic;
Sinit : in std_logic;
Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0);
Wr_en : in std_logic;
Rd_en : in std_logic;
Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0);
Almost_full : out std_logic;
Full : out std_logic;
Empty : out std_logic;
Rd_ack : out std_logic;
Wr_ack : out std_logic;
Rd_err : out std_logic;
Wr_err : out std_logic;
Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0)
);
end entity sync_fifo_fg;
architecture implementation of sync_fifo_fg is
-- Function delarations
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
end function log2;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMaxDepth
--
-- Function Description:
-- Returns the largest value of either Write depth or Read depth
-- requested by input parameters.
--
-------------------------------------------------------------------
function GetMaxDepth (rd_depth : integer;
wr_depth : integer)
return integer is
Variable max_value : integer := 0;
begin
If (rd_depth < wr_depth) Then
max_value := wr_depth;
else
max_value := rd_depth;
End if;
return(max_value);
end function GetMaxDepth;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMemType
--
-- Function Description:
-- Generates the required integer value for the FG instance assignment
-- of the C_MEMORY_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- FIFO Generator values
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
--
-------------------------------------------------------------------
function GetMemType (inputmemtype : integer) return integer is
Variable memtype : Integer := 0;
begin
If (inputmemtype = 0) Then -- distributed Memory
memtype := 2;
else
memtype := 1; -- BRAM
End if;
return(memtype);
end function GetMemType;
-- Constant Declarations ----------------------------------------------
-- changing this to C_FAMILY
Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd
-- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily"));
-- lib_fifo supports all families
Constant FAMILY_IS_SUPPORTED : boolean := true;
--Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and
-- FAMILY_IS_SUPPORTED;
--Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and
-- FAMILY_IS_SUPPORTED;
-- Calculate associated FIFO characteristics
Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH);
Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1;
Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1;
-- Get the integer value for a Block memory type fifo generator call
Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE);
-- Set the required integer value for the FG instance assignment
-- of the C_IMPLEMENTATION_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
-- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO)
-- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls
-- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls
--
Constant FG_IMP_TYPE : integer := 0;
-- The programable thresholds are not used so this is housekeeping.
Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3;
Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4;
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
signal sig_full : std_logic;
signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0);
signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal WR_RST_BUSY : std_logic;
signal RD_RST_BUSY : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_FAMILY
--
-- If Generate Description:
-- This IfGen is implemented if an unsupported FPGA family
-- is passed in on the C_FAMILY parameter,
--
------------------------------------------------------------
-- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate
-- begin
-- synthesis translate_off
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_ASSERTION
--
-- Process Description:
-- Generate a simulation error assertion for an unsupported
-- FPGA family string passed in on the C_FAMILY parameter.
--
-------------------------------------------------------------
-- DO_ASSERTION : process
-- begin
-- Wait until second rising clock edge to issue assertion
-- Wait until Clk = '1';
-- wait until Clk = '0';
-- Wait until Clk = '1';
-- Report an error in simulation environment
-- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!"
-- severity ERROR;
-- Wait;-- halt this process
-- end process DO_ASSERTION;
-- synthesis translate_on
-- Tie outputs to logic low or logic high as required
-- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0);
-- Almost_full <= '0' ; -- : out std_logic;
-- Full <= '0' ; -- : out std_logic;
-- Empty <= '1' ; -- : out std_logic;
-- Rd_ack <= '0' ; -- : out std_logic;
-- Wr_ack <= '0' ; -- : out std_logic;
-- Rd_err <= '1' ; -- : out std_logic;
-- Wr_err <= '1' ; -- : out std_logic
-- Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0);
-- end generate GEN_NO_FAMILY;
------------------------------------------------------------
-- If Generate
--
-- Label: V6_S6_AND_LATER
--
-- If Generate Description:
-- This IfGen implements the fifo using fifo_generator_v9_3
-- when the designated FPGA Family is Spartan-6, Virtex-6 or
-- later.
--
------------------------------------------------------------
FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate
begin
--UltraScale_device: if (FAMILY_TO_USE = "virtexu" or FAMILY_TO_USE = "kintexu" or FAMILY_TO_USE = "virtexuplus" or FAMILY_TO_USE = "kintexuplus" or FAMILY_TO_USE = "zynquplus") generate
UltraScale_device: if (FAMILY_TO_USE /= "virtex7" and FAMILY_TO_USE /= "kintex7" and FAMILY_TO_USE /= "artix7" and FAMILY_TO_USE /= "zynq") generate
begin
Full <= sig_full or WR_RST_BUSY;
end generate UltraScale_device;
--Series7_device: if (FAMILY_TO_USE /= "virtexu" and FAMILY_TO_USE /= "kintexu" and FAMILY_TO_USE /= "virtexuplus" and FAMILY_TO_USE /= "kintexuplus" and FAMILY_TO_USE/= "zynquplus") generate
Series7_device: if (FAMILY_TO_USE = "virtex7" or FAMILY_TO_USE = "kintex7" or FAMILY_TO_USE = "artix7" or FAMILY_TO_USE = "zynq") generate
begin
Full <= sig_full;
end generate Series7_device;
-- Create legacy data count by concatonating the Full flag to the
-- MS Bit position of the FIFO data count
-- This is per the Fifo Generator Migration Guide
sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt;
Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto
FGEN_CNT_WIDTH-C_DCOUNT_WIDTH);
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a legacy Sync FIFO
--
-------------------------------------------------------------------------------
I_SYNC_FIFO_BRAM : entity fifo_generator_v13_0_1.fifo_generator_v13_0_1
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ???
C_DEFAULT_VALUE => "BlankString", -- what to do here ???
C_DIN_WIDTH => C_WRITE_DATA_WIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_READ_DATA_WIDTH,
C_ENABLE_RLOCS => 0, -- not supported
C_FAMILY => FAMILY_TO_USE,
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 1,
C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => C_HAS_DCOUNT,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => C_HAS_WR_ERR,
C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_RD_RST => 0, -- not used for sync FIFO
C_HAS_RST => 0, -- not used for sync FIFO
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => C_HAS_RD_ERR,
C_HAS_VALID => C_HAS_RD_ACK,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_WR_RST => 0, -- not used for sync FIFO
C_IMPLEMENTATION_TYPE => FG_IMP_TYPE,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => FG_MEM_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => C_WR_ERR_LOW,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through
C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL,
C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_RD_DEPTH => MAX_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_UNDERFLOW_LOW => C_RD_ERR_LOW,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => C_RD_ACK_LOW,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_DEPTH => MAX_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => Clk,
rst => '0',
srst => Sinit,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => Din,
wr_en => Wr_en,
rd_en => Rd_en,
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0', -- new FG 5.1/5.2
injectsbiterr => '0', -- new FG 5.1/5.2
sleep => '0',
dout => Dout,
full => sig_full,
almost_full => Almost_full,
wr_ack => Wr_ack,
overflow => Wr_err,
empty => Empty,
almost_empty => ALMOST_EMPTY,
valid => Rd_ack,
underflow => Rd_err,
data_count => sig_prim_fg_datacnt,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
wr_rst_busy => WR_RST_BUSY,
rd_rst_busy => RD_RST_BUSY,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end generate FAMILY_SUPPORTED;
end implementation;
|
-- sync_fifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: sync_fifo_fg.vhd
--
-- Description:
-- This HDL file adapts the legacy CoreGen Sync FIFO interface to the new
-- FIFO Generator Sync FIFO interface. This wrapper facilitates the "on
-- the fly" call of FIFO Generator during design implementation.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- sync_fifo_fg.vhd
-- |
-- |-- fifo_generator_v4_3
-- |
-- |-- fifo_generator_v9_3
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.5.2.68 $
-- Date: $1/16/2008$
--
-- History:
-- DET 1/16/2008 Initial Version
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Replaced fifo_generator_v4_2 component with fifo_generator_v4_3
-- ^^^^^^
--
-- MSH and DET 3/2/2009 For Lava SP2
-- ~~~~~~
-- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6
-- devices.
-- - IfGen used so that legacy FPGA families still use Fifo Generator
-- version 4.3.
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Replaced FIFO Generator version 5.1 with 5.2.
-- ^^^^^^
--
--
-- DET 2/9/2010 for EDK 12.1
-- ~~~~~~
-- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3.
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Updated the S6/V6 FIFO Generator version from V5.3 to V6.1.
-- ^^^^^^
--
-- DET 6/18/2010 EDK_MS2
-- ~~~~~~
-- -- Per IR565916
-- - Added derivative part type checks for S6 or V6.
-- ^^^^^^
--
-- DET 8/30/2010 EDK_MS4
-- ~~~~~~
-- -- Per CR573867
-- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2.
-- - Added all of the AXI parameters and ports. They are not used
-- in this application.
-- - Updated method for derivative part support using new family
-- aliasing function in family_support.vhd.
-- - Incorporated an implementation to deal with unsupported FPGA
-- parts passed in on the C_FAMILY parameter.
-- ^^^^^^
--
-- DET 10/4/2010 EDK 13.1
-- ~~~~~~
-- - Updated the FIFO Generator version from V7.2 to 7.3.
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Updated the FIFO Generator version from V7.3 to 8.1.
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- ^^^^^^
--
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-- RBODDU 06/07/2012 EDK 14.2
-- ~~~~~~
-- - Update to use fifo_generator_v9_1
-- ^^^^^^
-- RBODDU 06/11/2012 EDK 14.4
-- ~~~~~~
-- - Update to use fifo_generator_v9_2
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v9_3
-- ^^^^^^
-- RBODDU 07/12/2012 EDK 14.5
-- ~~~~~~
-- - Update to use fifo_generator_v12_0_5
-- - Added sleep, wr_rst_busy, and rd_rst_busy signals
-- - Changed FULL_FLAGS_RST_VAL to '1'
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v13_0_1;
use fifo_generator_v13_0_1.all;
-------------------------------------------------------------------------------
entity sync_fifo_fg is
generic (
C_FAMILY : String := "virtex5"; -- new for FIFO Gen
C_DCOUNT_WIDTH : integer := 4 ;
C_ENABLE_RLOCS : integer := 0 ; -- not supported in sync fifo
C_HAS_DCOUNT : integer := 1 ;
C_HAS_RD_ACK : integer := 0 ;
C_HAS_RD_ERR : integer := 0 ;
C_HAS_WR_ACK : integer := 0 ;
C_HAS_WR_ERR : integer := 0 ;
C_HAS_ALMOST_FULL : integer := 0 ;
C_MEMORY_TYPE : integer := 0 ; -- 0 = distributed RAM, 1 = BRAM
C_PORTS_DIFFER : integer := 0 ;
C_RD_ACK_LOW : integer := 0 ;
C_USE_EMBEDDED_REG : integer := 0 ;
C_READ_DATA_WIDTH : integer := 16;
C_READ_DEPTH : integer := 16;
C_RD_ERR_LOW : integer := 0 ;
C_WR_ACK_LOW : integer := 0 ;
C_WR_ERR_LOW : integer := 0 ;
C_PRELOAD_REGS : integer := 0 ; -- 1 = first word fall through
C_PRELOAD_LATENCY : integer := 1 ; -- 0 = first word fall through
C_WRITE_DATA_WIDTH : integer := 16;
C_WRITE_DEPTH : integer := 16;
C_SYNCHRONIZER_STAGE : integer := 2 -- Valid values are 0 to 8
);
port (
Clk : in std_logic;
Sinit : in std_logic;
Din : in std_logic_vector(C_WRITE_DATA_WIDTH-1 downto 0);
Wr_en : in std_logic;
Rd_en : in std_logic;
Dout : out std_logic_vector(C_READ_DATA_WIDTH-1 downto 0);
Almost_full : out std_logic;
Full : out std_logic;
Empty : out std_logic;
Rd_ack : out std_logic;
Wr_ack : out std_logic;
Rd_err : out std_logic;
Wr_err : out std_logic;
Data_count : out std_logic_vector(C_DCOUNT_WIDTH-1 downto 0)
);
end entity sync_fifo_fg;
architecture implementation of sync_fifo_fg is
-- Function delarations
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
end function log2;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMaxDepth
--
-- Function Description:
-- Returns the largest value of either Write depth or Read depth
-- requested by input parameters.
--
-------------------------------------------------------------------
function GetMaxDepth (rd_depth : integer;
wr_depth : integer)
return integer is
Variable max_value : integer := 0;
begin
If (rd_depth < wr_depth) Then
max_value := wr_depth;
else
max_value := rd_depth;
End if;
return(max_value);
end function GetMaxDepth;
-------------------------------------------------------------------
-- Function
--
-- Function Name: GetMemType
--
-- Function Description:
-- Generates the required integer value for the FG instance assignment
-- of the C_MEMORY_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- FIFO Generator values
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
--
-------------------------------------------------------------------
function GetMemType (inputmemtype : integer) return integer is
Variable memtype : Integer := 0;
begin
If (inputmemtype = 0) Then -- distributed Memory
memtype := 2;
else
memtype := 1; -- BRAM
End if;
return(memtype);
end function GetMemType;
-- Constant Declarations ----------------------------------------------
-- changing this to C_FAMILY
Constant FAMILY_TO_USE : string := C_FAMILY; -- function from family_support.vhd
-- Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily"));
-- lib_fifo supports all families
Constant FAMILY_IS_SUPPORTED : boolean := true;
--Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and
-- FAMILY_IS_SUPPORTED;
--Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and
-- FAMILY_IS_SUPPORTED;
-- Calculate associated FIFO characteristics
Constant MAX_DEPTH : integer := GetMaxDepth(C_READ_DEPTH,C_WRITE_DEPTH);
Constant FGEN_CNT_WIDTH : integer := log2(MAX_DEPTH)+1;
Constant ADJ_FGEN_CNT_WIDTH : integer := FGEN_CNT_WIDTH-1;
-- Get the integer value for a Block memory type fifo generator call
Constant FG_MEM_TYPE : integer := GetMemType(C_MEMORY_TYPE);
-- Set the required integer value for the FG instance assignment
-- of the C_IMPLEMENTATION_TYPE parameter. Derived from
-- the input memory type parameter C_MEMORY_TYPE.
--
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
-- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO)
-- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls
-- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls
--
Constant FG_IMP_TYPE : integer := 0;
-- The programable thresholds are not used so this is housekeeping.
Constant PROG_FULL_THRESH_ASSERT_VAL : integer := MAX_DEPTH-3;
Constant PROG_FULL_THRESH_NEGATE_VAL : integer := MAX_DEPTH-4;
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
signal sig_full : std_logic;
signal sig_full_fg_datacnt : std_logic_vector(FGEN_CNT_WIDTH-1 downto 0);
signal sig_prim_fg_datacnt : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(ADJ_FGEN_CNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal WR_RST_BUSY : std_logic;
signal RD_RST_BUSY : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_FAMILY
--
-- If Generate Description:
-- This IfGen is implemented if an unsupported FPGA family
-- is passed in on the C_FAMILY parameter,
--
------------------------------------------------------------
-- GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate
-- begin
-- synthesis translate_off
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_ASSERTION
--
-- Process Description:
-- Generate a simulation error assertion for an unsupported
-- FPGA family string passed in on the C_FAMILY parameter.
--
-------------------------------------------------------------
-- DO_ASSERTION : process
-- begin
-- Wait until second rising clock edge to issue assertion
-- Wait until Clk = '1';
-- wait until Clk = '0';
-- Wait until Clk = '1';
-- Report an error in simulation environment
-- assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!"
-- severity ERROR;
-- Wait;-- halt this process
-- end process DO_ASSERTION;
-- synthesis translate_on
-- Tie outputs to logic low or logic high as required
-- Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0);
-- Almost_full <= '0' ; -- : out std_logic;
-- Full <= '0' ; -- : out std_logic;
-- Empty <= '1' ; -- : out std_logic;
-- Rd_ack <= '0' ; -- : out std_logic;
-- Wr_ack <= '0' ; -- : out std_logic;
-- Rd_err <= '1' ; -- : out std_logic;
-- Wr_err <= '1' ; -- : out std_logic
-- Data_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0);
-- end generate GEN_NO_FAMILY;
------------------------------------------------------------
-- If Generate
--
-- Label: V6_S6_AND_LATER
--
-- If Generate Description:
-- This IfGen implements the fifo using fifo_generator_v9_3
-- when the designated FPGA Family is Spartan-6, Virtex-6 or
-- later.
--
------------------------------------------------------------
FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate
begin
--UltraScale_device: if (FAMILY_TO_USE = "virtexu" or FAMILY_TO_USE = "kintexu" or FAMILY_TO_USE = "virtexuplus" or FAMILY_TO_USE = "kintexuplus" or FAMILY_TO_USE = "zynquplus") generate
UltraScale_device: if (FAMILY_TO_USE /= "virtex7" and FAMILY_TO_USE /= "kintex7" and FAMILY_TO_USE /= "artix7" and FAMILY_TO_USE /= "zynq") generate
begin
Full <= sig_full or WR_RST_BUSY;
end generate UltraScale_device;
--Series7_device: if (FAMILY_TO_USE /= "virtexu" and FAMILY_TO_USE /= "kintexu" and FAMILY_TO_USE /= "virtexuplus" and FAMILY_TO_USE /= "kintexuplus" and FAMILY_TO_USE/= "zynquplus") generate
Series7_device: if (FAMILY_TO_USE = "virtex7" or FAMILY_TO_USE = "kintex7" or FAMILY_TO_USE = "artix7" or FAMILY_TO_USE = "zynq") generate
begin
Full <= sig_full;
end generate Series7_device;
-- Create legacy data count by concatonating the Full flag to the
-- MS Bit position of the FIFO data count
-- This is per the Fifo Generator Migration Guide
sig_full_fg_datacnt <= sig_full & sig_prim_fg_datacnt;
Data_count <= sig_full_fg_datacnt(FGEN_CNT_WIDTH-1 downto
FGEN_CNT_WIDTH-C_DCOUNT_WIDTH);
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a legacy Sync FIFO
--
-------------------------------------------------------------------------------
I_SYNC_FIFO_BRAM : entity fifo_generator_v13_0_1.fifo_generator_v13_0_1
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH, -- what to do here ???
C_DEFAULT_VALUE => "BlankString", -- what to do here ???
C_DIN_WIDTH => C_WRITE_DATA_WIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_READ_DATA_WIDTH,
C_ENABLE_RLOCS => 0, -- not supported
C_FAMILY => FAMILY_TO_USE,
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 1,
C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => C_HAS_DCOUNT,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => C_HAS_WR_ERR,
C_HAS_RD_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_RD_RST => 0, -- not used for sync FIFO
C_HAS_RST => 0, -- not used for sync FIFO
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => C_HAS_RD_ERR,
C_HAS_VALID => C_HAS_RD_ACK,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, -- not used for sync FIFO
C_HAS_WR_RST => 0, -- not used for sync FIFO
C_IMPLEMENTATION_TYPE => FG_IMP_TYPE,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => FG_MEM_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => C_WR_ERR_LOW,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, -- 0 = first word fall through
C_PRELOAD_REGS => C_PRELOAD_REGS, -- 1 = first word fall through
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL,
C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_RD_DEPTH => MAX_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_UNDERFLOW_LOW => C_RD_ERR_LOW,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => C_RD_ACK_LOW,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_DEPTH => MAX_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => ADJ_FGEN_CNT_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => Clk,
rst => '0',
srst => Sinit,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => Din,
wr_en => Wr_en,
rd_en => Rd_en,
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0', -- new FG 5.1/5.2
injectsbiterr => '0', -- new FG 5.1/5.2
sleep => '0',
dout => Dout,
full => sig_full,
almost_full => Almost_full,
wr_ack => Wr_ack,
overflow => Wr_err,
empty => Empty,
almost_empty => ALMOST_EMPTY,
valid => Rd_ack,
underflow => Rd_err,
data_count => sig_prim_fg_datacnt,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
wr_rst_busy => WR_RST_BUSY,
rd_rst_busy => RD_RST_BUSY,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end generate FAMILY_SUPPORTED;
end implementation;
|
-- -------------------------------------------------------------
--
-- Generated Configuration for inst_t_e
--
-- Generated
-- by: wig
-- on: Fri Jul 15 10:12:12 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../autoopen.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_t_e-rtl-conf-c.vhd,v 1.2 2005/07/15 16:20:06 wig Exp $
-- $Date: 2005/07/15 16:20:06 $
-- $Log: inst_t_e-rtl-conf-c.vhd,v $
-- Revision 1.2 2005/07/15 16:20:06 wig
-- Update all testcases; still problems though
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/conf
--
-- Start of Generated Configuration inst_t_e_rtl_conf / inst_t_e
--
configuration inst_t_e_rtl_conf of inst_t_e is
for rtl
-- Generated Configuration
for inst_a : inst_a_e
use configuration work.inst_a_e_rtl_conf;
end for;
end for;
end inst_t_e_rtl_conf;
--
-- End of Generated Configuration inst_t_e_rtl_conf
--
--
--!End of Configuration/ies
-- --------------------------------------------------------------
|
-------------------------------------------------------------------------------
--
-- RapidIO IP Library Core
--
-- This file is part of the RapidIO IP library project
-- http://www.opencores.org/cores/rio/
--
-- Description
-- Containing RapidIO packet switching functionality contained in the top
-- entity RioSwitch.
--
-- To Do:
-- -
--
-- Author(s):
-- - Magnus Rosenius, [email protected]
--
-------------------------------------------------------------------------------
--
-- Copyright (C) 2013 Authors and OPENCORES.ORG
--
-- This source file may be used and distributed without
-- restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains
-- the original copyright notice and the associated disclaimer.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the GNU Lesser General
-- Public License as published by the Free Software Foundation;
-- either version 2.1 of the License, or (at your option) any
-- later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General
-- Public License along with this source; if not, download it
-- from http://www.opencores.org/lgpl.shtml
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- RioSwitch
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rio_common.all;
-------------------------------------------------------------------------------
-- Entity for RioSwitch.
-------------------------------------------------------------------------------
entity RioSwitch is
generic(
SWITCH_PORTS : natural range 3 to 255 := 4;
DEVICE_IDENTITY : std_logic_vector(15 downto 0);
DEVICE_VENDOR_IDENTITY : std_logic_vector(15 downto 0);
DEVICE_REV : std_logic_vector(31 downto 0);
ASSY_IDENTITY : std_logic_vector(15 downto 0);
ASSY_VENDOR_IDENTITY : std_logic_vector(15 downto 0);
ASSY_REV : std_logic_vector(15 downto 0));
port(
clk : in std_logic;
areset_n : in std_logic;
writeFrameFull_i : in Array1(SWITCH_PORTS-1 downto 0);
writeFrame_o : out Array1(SWITCH_PORTS-1 downto 0);
writeFrameAbort_o : out Array1(SWITCH_PORTS-1 downto 0);
writeContent_o : out Array1(SWITCH_PORTS-1 downto 0);
writeContentData_o : out Array32(SWITCH_PORTS-1 downto 0);
readFrameEmpty_i : in Array1(SWITCH_PORTS-1 downto 0);
readFrame_o : out Array1(SWITCH_PORTS-1 downto 0);
readContent_o : out Array1(SWITCH_PORTS-1 downto 0);
readContentEnd_i : in Array1(SWITCH_PORTS-1 downto 0);
readContentData_i : in Array32(SWITCH_PORTS-1 downto 0);
portLinkTimeout_o : out std_logic_vector(23 downto 0);
linkInitialized_i : in Array1(SWITCH_PORTS-1 downto 0);
outputPortEnable_o : out Array1(SWITCH_PORTS-1 downto 0);
inputPortEnable_o : out Array1(SWITCH_PORTS-1 downto 0);
localAckIdWrite_o : out Array1(SWITCH_PORTS-1 downto 0);
clrOutstandingAckId_o : out Array1(SWITCH_PORTS-1 downto 0);
inboundAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
outstandingAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
outboundAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
inboundAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
outstandingAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
outboundAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
configStb_o : out std_logic;
configWe_o : out std_logic;
configAddr_o : out std_logic_vector(23 downto 0);
configData_o : out std_logic_vector(31 downto 0);
configData_i : in std_logic_vector(31 downto 0));
end entity;
-------------------------------------------------------------------------------
-- Architecture for RioSwitch.
-------------------------------------------------------------------------------
architecture RioSwitchImpl of RioSwitch is
component RouteTableInterconnect is
generic(
WIDTH : natural range 1 to 256 := 8);
port(
clk : in std_logic;
areset_n : in std_logic;
stb_i : in Array1(WIDTH-1 downto 0);
addr_i : in Array16(WIDTH-1 downto 0);
dataM_o : out Array8(WIDTH-1 downto 0);
ack_o : out Array1(WIDTH-1 downto 0);
stb_o : out std_logic;
addr_o : out std_logic_vector(15 downto 0);
dataS_i : in std_logic_vector(7 downto 0);
ack_i : in std_logic);
end component;
component SwitchPortInterconnect is
generic(
WIDTH : natural range 1 to 256 := 8);
port(
clk : in std_logic;
areset_n : in std_logic;
masterCyc_i : in Array1(WIDTH-1 downto 0);
masterStb_i : in Array1(WIDTH-1 downto 0);
masterWe_i : in Array1(WIDTH-1 downto 0);
masterAddr_i : in Array10(WIDTH-1 downto 0);
masterData_i : in Array32(WIDTH-1 downto 0);
masterData_o : out Array1(WIDTH-1 downto 0);
masterAck_o : out Array1(WIDTH-1 downto 0);
slaveCyc_o : out Array1(WIDTH-1 downto 0);
slaveStb_o : out Array1(WIDTH-1 downto 0);
slaveWe_o : out Array1(WIDTH-1 downto 0);
slaveAddr_o : out Array10(WIDTH-1 downto 0);
slaveData_o : out Array32(WIDTH-1 downto 0);
slaveData_i : in Array1(WIDTH-1 downto 0);
slaveAck_i : in Array1(WIDTH-1 downto 0));
end component;
component SwitchPortMaintenance is
generic(
SWITCH_PORTS : natural range 0 to 255;
DEVICE_IDENTITY : std_logic_vector(15 downto 0);
DEVICE_VENDOR_IDENTITY : std_logic_vector(15 downto 0);
DEVICE_REV : std_logic_vector(31 downto 0);
ASSY_IDENTITY : std_logic_vector(15 downto 0);
ASSY_VENDOR_IDENTITY : std_logic_vector(15 downto 0);
ASSY_REV : std_logic_vector(15 downto 0));
port(
clk : in std_logic;
areset_n : in std_logic;
lookupStb_i : in std_logic;
lookupAddr_i : in std_logic_vector(15 downto 0);
lookupData_o : out std_logic_vector(7 downto 0);
lookupAck_o : out std_logic;
masterCyc_o : out std_logic;
masterStb_o : out std_logic;
masterWe_o : out std_logic;
masterAddr_o : out std_logic_vector(9 downto 0);
masterData_o : out std_logic_vector(31 downto 0);
masterData_i : in std_logic;
masterAck_i : in std_logic;
slaveCyc_i : in std_logic;
slaveStb_i : in std_logic;
slaveWe_i : in std_logic;
slaveAddr_i : in std_logic_vector(9 downto 0);
slaveData_i : in std_logic_vector(31 downto 0);
slaveData_o : out std_logic;
slaveAck_o : out std_logic;
lookupStb_o : out std_logic;
lookupAddr_o : out std_logic_vector(15 downto 0);
lookupData_i : in std_logic_vector(7 downto 0);
lookupAck_i : in std_logic;
portLinkTimeout_o : out std_logic_vector(23 downto 0);
linkInitialized_i : in Array1(SWITCH_PORTS-1 downto 0);
outputPortEnable_o : out Array1(SWITCH_PORTS-1 downto 0);
inputPortEnable_o : out Array1(SWITCH_PORTS-1 downto 0);
localAckIdWrite_o : out Array1(SWITCH_PORTS-1 downto 0);
clrOutstandingAckId_o : out Array1(SWITCH_PORTS-1 downto 0);
inboundAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
outstandingAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
outboundAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
inboundAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
outstandingAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
outboundAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
configStb_o : out std_logic;
configWe_o : out std_logic;
configAddr_o : out std_logic_vector(23 downto 0);
configData_o : out std_logic_vector(31 downto 0);
configData_i : in std_logic_vector(31 downto 0));
end component;
component SwitchPort is
generic(
PORT_INDEX : natural);
port(
clk : in std_logic;
areset_n : in std_logic;
masterCyc_o : out std_logic;
masterStb_o : out std_logic;
masterWe_o : out std_logic;
masterAddr_o : out std_logic_vector(9 downto 0);
masterData_o : out std_logic_vector(31 downto 0);
masterData_i : in std_logic;
masterAck_i : in std_logic;
slaveCyc_i : in std_logic;
slaveStb_i : in std_logic;
slaveWe_i : in std_logic;
slaveAddr_i : in std_logic_vector(9 downto 0);
slaveData_i : in std_logic_vector(31 downto 0);
slaveData_o : out std_logic;
slaveAck_o : out std_logic;
lookupStb_o : out std_logic;
lookupAddr_o : out std_logic_vector(15 downto 0);
lookupData_i : in std_logic_vector(7 downto 0);
lookupAck_i : in std_logic;
readFrameEmpty_i : in std_logic;
readFrame_o : out std_logic;
readContent_o : out std_logic;
readContentEnd_i : in std_logic;
readContentData_i : in std_logic_vector(31 downto 0);
writeFrameFull_i : in std_logic;
writeFrame_o : out std_logic;
writeFrameAbort_o : out std_logic;
writeContent_o : out std_logic;
writeContentData_o : out std_logic_vector(31 downto 0));
end component;
signal masterLookupStb : Array1(SWITCH_PORTS downto 0);
signal masterLookupAddr : Array16(SWITCH_PORTS downto 0);
signal masterLookupData : Array8(SWITCH_PORTS downto 0);
signal masterLookupAck : Array1(SWITCH_PORTS downto 0);
signal slaveLookupStb : std_logic;
signal slaveLookupAddr : std_logic_vector(15 downto 0);
signal slaveLookupData : std_logic_vector(7 downto 0);
signal slaveLookupAck : std_logic;
signal masterCyc : Array1(SWITCH_PORTS downto 0);
signal masterStb : Array1(SWITCH_PORTS downto 0);
signal masterWe : Array1(SWITCH_PORTS downto 0);
signal masterAddr : Array10(SWITCH_PORTS downto 0);
signal masterDataWrite : Array32(SWITCH_PORTS downto 0);
signal masterDataRead : Array1(SWITCH_PORTS downto 0);
signal masterAck : Array1(SWITCH_PORTS downto 0);
signal slaveCyc : Array1(SWITCH_PORTS downto 0);
signal slaveStb : Array1(SWITCH_PORTS downto 0);
signal slaveWe : Array1(SWITCH_PORTS downto 0);
signal slaveAddr : Array10(SWITCH_PORTS downto 0);
signal slaveDataWrite : Array32(SWITCH_PORTS downto 0);
signal slaveDataRead : Array1(SWITCH_PORTS downto 0);
signal slaveAck : Array1(SWITCH_PORTS downto 0);
begin
-----------------------------------------------------------------------------
-- The routing table interconnect.
-----------------------------------------------------------------------------
RouteInterconnect: RouteTableInterconnect
generic map(
WIDTH=>SWITCH_PORTS+1)
port map(
clk=>clk, areset_n=>areset_n,
stb_i=>masterLookupStb, addr_i=>masterLookupAddr,
dataM_o=>masterLookupData, ack_o=>masterLookupAck,
stb_o=>slaveLookupStb, addr_o=>slaveLookupAddr,
dataS_i=>slaveLookupData, ack_i=>slaveLookupAck);
-----------------------------------------------------------------------------
-- The port interconnect.
-----------------------------------------------------------------------------
PortInterconnect: SwitchPortInterconnect
generic map(
WIDTH=>SWITCH_PORTS+1)
port map(
clk=>clk, areset_n=>areset_n,
masterCyc_i=>masterCyc, masterStb_i=>masterStb, masterWe_i=>masterWe, masterAddr_i=>masterAddr,
masterData_i=>masterDataWrite, masterData_o=>masterDataRead, masterAck_o=>masterAck,
slaveCyc_o=>slaveCyc, slaveStb_o=>slaveStb, slaveWe_o=>slaveWe, slaveAddr_o=>slaveAddr,
slaveData_o=>slaveDataWrite, slaveData_i=>slaveDataRead, slaveAck_i=>slaveAck);
-----------------------------------------------------------------------------
-- Data relaying port instantiation.
-----------------------------------------------------------------------------
PortGeneration: for portIndex in 0 to SWITCH_PORTS-1 generate
PortInst: SwitchPort
generic map(
PORT_INDEX=>portIndex)
port map(
clk=>clk, areset_n=>areset_n,
masterCyc_o=>masterCyc(portIndex), masterStb_o=>masterStb(portIndex),
masterWe_o=>masterWe(portIndex), masterAddr_o=>masterAddr(portIndex),
masterData_o=>masterDataWrite(portIndex),
masterData_i=>masterDataRead(portIndex), masterAck_i=>masterAck(portIndex),
slaveCyc_i=>slaveCyc(portIndex), slaveStb_i=>slaveStb(portIndex),
slaveWe_i=>slaveWe(portIndex), slaveAddr_i=>slaveAddr(portIndex),
slaveData_i=>slaveDataWrite(portIndex),
slaveData_o=>slaveDataRead(portIndex), slaveAck_o=>slaveAck(portIndex),
lookupStb_o=>masterLookupStb(portIndex),
lookupAddr_o=>masterLookupAddr(portIndex),
lookupData_i=>masterLookupData(portIndex), lookupAck_i=>masterLookupAck(portIndex),
readFrameEmpty_i=>readFrameEmpty_i(portIndex), readFrame_o=>readFrame_o(portIndex),
readContent_o=>readContent_o(portIndex),
readContentEnd_i=>readContentEnd_i(portIndex), readContentData_i=>readContentData_i(portIndex),
writeFrameFull_i=>writeFrameFull_i(portIndex), writeFrame_o=>writeFrame_o(portIndex),
writeFrameAbort_o=>writeFrameAbort_o(portIndex), writeContent_o=>writeContent_o(portIndex),
writeContentData_o=>writeContentData_o(portIndex));
end generate;
-----------------------------------------------------------------------------
-- Maintenance port instantiation.
-----------------------------------------------------------------------------
MaintenancePort: SwitchPortMaintenance
generic map(
SWITCH_PORTS=>SWITCH_PORTS,
DEVICE_IDENTITY=>DEVICE_IDENTITY,
DEVICE_VENDOR_IDENTITY=>DEVICE_VENDOR_IDENTITY,
DEVICE_REV=>DEVICE_REV,
ASSY_IDENTITY=>ASSY_IDENTITY,
ASSY_VENDOR_IDENTITY=>ASSY_VENDOR_IDENTITY,
ASSY_REV=>ASSY_REV)
port map(
clk=>clk, areset_n=>areset_n,
lookupStb_i=>slaveLookupStb, lookupAddr_i=>slaveLookupAddr,
lookupData_o=>slaveLookupData, lookupAck_o=>slaveLookupAck,
masterCyc_o=>masterCyc(SWITCH_PORTS), masterStb_o=>masterStb(SWITCH_PORTS),
masterWe_o=>masterWe(SWITCH_PORTS), masterAddr_o=>masterAddr(SWITCH_PORTS),
masterData_o=>masterDataWrite(SWITCH_PORTS),
masterData_i=>masterDataRead(SWITCH_PORTS), masterAck_i=>masterAck(SWITCH_PORTS),
slaveCyc_i=>slaveCyc(SWITCH_PORTS), slaveStb_i=>slaveStb(SWITCH_PORTS),
slaveWe_i=>slaveWe(SWITCH_PORTS), slaveAddr_i=>slaveAddr(SWITCH_PORTS),
slaveData_i=>slaveDataWrite(SWITCH_PORTS),
slaveData_o=>slaveDataRead(SWITCH_PORTS), slaveAck_o=>slaveAck(SWITCH_PORTS),
lookupStb_o=>masterLookupStb(SWITCH_PORTS),
lookupAddr_o=>masterLookupAddr(SWITCH_PORTS),
lookupData_i=>masterLookupData(SWITCH_PORTS), lookupAck_i=>masterLookupAck(SWITCH_PORTS),
portLinkTimeout_o=>portLinkTimeout_o,
linkInitialized_i=>linkInitialized_i,
outputPortEnable_o=>outputPortEnable_o, inputPortEnable_o=>inputPortEnable_o,
localAckIdWrite_o=>localAckIdWrite_o, clrOutstandingAckId_o=>clrOutstandingAckId_o,
inboundAckId_o=>inboundAckId_o, outstandingAckId_o=>outstandingAckId_o,
outboundAckId_o=>outboundAckId_o, inboundAckId_i=>inboundAckId_i,
outstandingAckId_i=>outstandingAckId_i, outboundAckId_i=>outboundAckId_i,
configStb_o=>configStb_o, configWe_o=>configWe_o, configAddr_o=>configAddr_o,
configData_o=>configData_o, configData_i=>configData_i);
end architecture;
-------------------------------------------------------------------------------
-- SwitchPort
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rio_common.all;
-------------------------------------------------------------------------------
-- Entity for SwitchPort.
-------------------------------------------------------------------------------
entity SwitchPort is
generic(
PORT_INDEX : natural);
port(
clk : in std_logic;
areset_n : in std_logic;
-- Master port signals.
-- Write frames to other ports.
masterCyc_o : out std_logic;
masterStb_o : out std_logic;
masterWe_o : out std_logic;
masterAddr_o : out std_logic_vector(9 downto 0);
masterData_o : out std_logic_vector(31 downto 0);
masterData_i : in std_logic;
masterAck_i : in std_logic;
-- Slave port signals.
-- Receives frames from other ports.
slaveCyc_i : in std_logic;
slaveStb_i : in std_logic;
slaveWe_i : in std_logic;
slaveAddr_i : in std_logic_vector(9 downto 0);
slaveData_i : in std_logic_vector(31 downto 0);
slaveData_o : out std_logic;
slaveAck_o : out std_logic;
-- Address-lookup interface.
lookupStb_o : out std_logic;
lookupAddr_o : out std_logic_vector(15 downto 0);
lookupData_i : in std_logic_vector(7 downto 0);
lookupAck_i : in std_logic;
-- Physical port frame buffer interface.
readFrameEmpty_i : in std_logic;
readFrame_o : out std_logic;
readContent_o : out std_logic;
readContentEnd_i : in std_logic;
readContentData_i : in std_logic_vector(31 downto 0);
writeFrameFull_i : in std_logic;
writeFrame_o : out std_logic;
writeFrameAbort_o : out std_logic;
writeContent_o : out std_logic;
writeContentData_o : out std_logic_vector(31 downto 0));
end entity;
-------------------------------------------------------------------------------
-- Architecture for SwitchPort.
-------------------------------------------------------------------------------
architecture SwitchPortImpl of SwitchPort is
type MasterStateType is (STATE_IDLE,
STATE_ERROR,
STATE_WAIT_HEADER_0,
STATE_READ_HEADER_0,
STATE_READ_PORT_LOOKUP,
STATE_READ_TARGET_PORT,
STATE_WAIT_TARGET_PORT,
STATE_WAIT_TARGET_WRITE,
STATE_WAIT_COMPLETE);
signal masterState : MasterStateType;
type SlaveStateType is (STATE_IDLE, STATE_SEND_ACK);
signal slaveState : SlaveStateType;
alias ftype : std_logic_vector(3 downto 0) is readContentData_i(19 downto 16);
alias tt : std_logic_vector(1 downto 0) is readContentData_i(21 downto 20);
begin
-----------------------------------------------------------------------------
-- Master interface process.
-----------------------------------------------------------------------------
Master: process(clk, areset_n)
begin
if (areset_n = '0') then
masterState <= STATE_IDLE;
lookupStb_o <= '0';
lookupAddr_o <= (others => '0');
masterCyc_o <= '0';
masterStb_o <= '0';
masterWe_o <= '0';
masterAddr_o <= (others => '0');
masterData_o <= (others => '0');
readContent_o <= '0';
readFrame_o <= '0';
elsif (clk'event and clk = '1') then
readContent_o <= '0';
readFrame_o <= '0';
case masterState is
when STATE_IDLE =>
---------------------------------------------------------------------
-- Wait for a new packet or content of a new packet.
---------------------------------------------------------------------
-- Reset bus signals.
masterCyc_o <= '0';
masterStb_o <= '0';
-- Wait for frame content to be available.
-- Use different signals to trigger the forwarding of packets depending
-- on the switch philosofy.
if (readFrameEmpty_i = '0') then
readContent_o <= '1';
masterState <= STATE_WAIT_HEADER_0;
end if;
when STATE_WAIT_HEADER_0 =>
---------------------------------------------------------------------
-- Wait for the frame buffer output to be updated.
---------------------------------------------------------------------
-- Wait for frame buffer output to be updated.
masterState <= STATE_READ_HEADER_0;
when STATE_READ_HEADER_0 =>
---------------------------------------------------------------------
-- Check the FTYPE and forward it to the maintenance port if it is a
-- maintenance packet. Otherwise, initiate an address lookup and wait
-- for the result.
---------------------------------------------------------------------
-- Check if the frame has ended.
if (readContentEnd_i = '0') then
-- The frame has not ended.
-- This word contains the header and the source id.
-- Read the tt-field to check the source and destination id size.
if (tt = "01") then
-- This frame contains 16-bit addresses.
-- Read the new content.
readContent_o <= '1';
-- Save the content of the header and destination.
masterData_o <= readContentData_i;
-- Check if this is a maintenance frame.
if (ftype = FTYPE_MAINTENANCE_CLASS) then
-- This is a maintenance frame.
-- Always route these frames to the maintenance module in the
-- switch by setting the MSB bit of the port address.
masterAddr_o <= '1' & std_logic_vector(to_unsigned(PORT_INDEX, 8)) & '0';
-- Start an access to the maintenance port.
masterState <= STATE_READ_TARGET_PORT;
else
-- This is not a maintenance frame.
-- Lookup the destination address and proceed to wait for the
-- result.
lookupStb_o <= '1';
lookupAddr_o <= readContentData_i(15 downto 0);
-- Wait for the port lookup to return a result.
masterState <= STATE_READ_PORT_LOOKUP;
end if;
else
-- Unsupported tt-value, discard the frame.
readFrame_o <= '1';
masterState <= STATE_ERROR;
end if;
else
-- End of frame.
-- The frame is too short to contain a valid frame. Discard it.
readFrame_o <= '1';
masterState <= STATE_ERROR;
end if;
when STATE_ERROR =>
---------------------------------------------------------------------
-- Wait one tick for the packet buffer to update its outputs. Then
-- start waiting for a new packet.
---------------------------------------------------------------------
masterState <= STATE_IDLE;
when STATE_READ_PORT_LOOKUP =>
---------------------------------------------------------------------
-- Wait for the address lookup to be complete.
---------------------------------------------------------------------
-- Wait for the routing table to complete the request.
if (lookupAck_i = '1') then
-- The address lookup is complete.
-- Terminate the lookup cycle.
lookupStb_o <= '0';
-- Proceed to read the target port.
masterAddr_o <= '0' & lookupData_i & '0';
masterState <= STATE_READ_TARGET_PORT;
else
-- Wait until the address lookup is complete.
-- REMARK: Timeout here???
end if;
when STATE_READ_TARGET_PORT =>
---------------------------------------------------------------------
-- Initiate an access to the target port.
---------------------------------------------------------------------
-- Read the status of the target port using the result from the
-- lookup in the routing table.
masterCyc_o <= '1';
masterStb_o <= '1';
masterWe_o <= '0';
masterState <= STATE_WAIT_TARGET_PORT;
when STATE_WAIT_TARGET_PORT =>
---------------------------------------------------------------------
-- Wait to get access to the target port. When the port is ready
-- check if it is ready to accept a new frame. If it cannot accept a
-- new frame, terminate the access and go back and start a new one.
-- This is to free the interconnect to let other ports access it if
-- it is a shared bus. If the port is ready, initiate a write access
-- to the selected port.
---------------------------------------------------------------------
-- Wait for the target port to complete the request.
if (masterAck_i = '1') then
-- Target port has completed the request.
-- Check the status of the target port.
if (masterData_i = '0') then
-- The target port has empty buffers to receive the frame.
-- Hold the bus with cyc until the cycle is complete.
-- Write the first word of the frame to the target port.
-- The masterData_o has already been assigned.
masterCyc_o <= '1';
masterStb_o <= '1';
masterWe_o <= '1';
masterAddr_o(0) <= '1';
-- Change state to transfer the frame.
masterState <= STATE_WAIT_TARGET_WRITE;
else
-- The target port has no empty buffer to receive the frame.
-- Terminate the cycle and retry later.
masterCyc_o <= '0';
masterStb_o <= '0';
masterState <= STATE_READ_TARGET_PORT;
end if;
else
-- Target port has not completed the request.
-- Dont to anything.
end if;
when STATE_WAIT_TARGET_WRITE =>
---------------------------------------------------------------------
-- Wait for the write access to complete. When complete, write the
-- next content and update the content to the next. If the frame does
-- not have any more data ready, terminate the access but keep the
-- cycle active and proceed to wait for new data.
---------------------------------------------------------------------
-- Wait for the target port to complete the request.
-- REMARK: Remove the ack-condition, we know that the write takes one
-- cycle...
if (masterAck_i = '1') then
-- The target port is ready.
-- Check if the frame has ended.
if (readContentEnd_i = '0') then
-- The frame has not ended.
-- There are more data to transfer.
masterData_o <= readContentData_i;
readContent_o <= '1';
else
-- There are no more data to transfer.
-- Update to the next frame.
readFrame_o <= '1';
-- Tell the target port that the frame is complete.
masterWe_o <= '1';
masterAddr_o(0) <= '0';
masterData_o <= x"00000001";
-- Change state to wait for the target port to finalize the write
-- of the full frame.
masterState <= STATE_WAIT_COMPLETE;
end if;
else
-- Wait for the target port to reply.
-- Dont do anything.
end if;
when STATE_WAIT_COMPLETE =>
---------------------------------------------------------------------
-- Wait for the target port to signal that the frame has been
-- completed.
---------------------------------------------------------------------
-- Wait for the target port to complete the final request.
if (masterAck_i = '1') then
-- The target port has finalized the write of the frame.
-- Reset master bus signals.
masterCyc_o <= '0';
masterStb_o <= '0';
masterState <= STATE_IDLE;
else
-- Wait for the target port to reply.
-- REMARK: Timeout here???
end if;
when others =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
end case;
end if;
end process;
-----------------------------------------------------------------------------
-- Slave interface process.
-----------------------------------------------------------------------------
-- Addr | Read | Write
-- 0 | full | abort & complete
-- 1 | full | frameData
writeContentData_o <= slaveData_i;
Slave: process(clk, areset_n)
begin
if (areset_n = '0') then
slaveState <= STATE_IDLE;
slaveData_o <= '0';
writeFrame_o <= '0';
writeFrameAbort_o <= '0';
writeContent_o <= '0';
elsif (clk'event and clk = '1') then
writeFrame_o <= '0';
writeFrameAbort_o <= '0';
writeContent_o <= '0';
case slaveState is
when STATE_IDLE =>
---------------------------------------------------------------------
-- Wait for an access from a master.
---------------------------------------------------------------------
-- Check if any cycle is active.
if ((slaveCyc_i = '1') and (slaveStb_i = '1')) then
-- Cycle is active.
-- Check if the cycle is accessing the status- or data address.
if (slaveAddr_i(0) = '0') then
-- Accessing port status address.
-- Check if writing.
if (slaveWe_i = '1') then
-- Writing the status address.
-- Update the buffering output signals according to the input
-- data.
writeFrame_o <= slaveData_i(0);
writeFrameAbort_o <= slaveData_i(1);
else
-- Reading the status address.
slaveData_o <= writeFrameFull_i;
end if;
else
-- Accessing port data address.
-- Check if writing.
if (slaveWe_i = '1') then
-- Write frame content into the frame buffer.
writeContent_o <= '1';
else
slaveData_o <= writeFrameFull_i;
end if;
end if;
-- Change state to send an ack to the master.
slaveState <= STATE_SEND_ACK;
end if;
when STATE_SEND_ACK =>
---------------------------------------------------------------------
-- Wait for acknowledge to be received by the master.
---------------------------------------------------------------------
-- Go back to the idle state and wait for a new cycle.
slaveState <= STATE_IDLE;
when others =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
null;
end case;
end if;
end process;
-- Assign the acknowledge depending on the current slave state.
slaveAck_o <= '1' when (slaveState = STATE_SEND_ACK) else '0';
end architecture;
-------------------------------------------------------------------------------
-- SwitchPortMaintenance
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rio_common.all;
-------------------------------------------------------------------------------
-- Entity for SwitchPortMaintenance.
-------------------------------------------------------------------------------
entity SwitchPortMaintenance is
generic(
SWITCH_PORTS : natural range 0 to 255;
DEVICE_IDENTITY : std_logic_vector(15 downto 0);
DEVICE_VENDOR_IDENTITY : std_logic_vector(15 downto 0);
DEVICE_REV : std_logic_vector(31 downto 0);
ASSY_IDENTITY : std_logic_vector(15 downto 0);
ASSY_VENDOR_IDENTITY : std_logic_vector(15 downto 0);
ASSY_REV : std_logic_vector(15 downto 0));
port(
clk : in std_logic;
areset_n : in std_logic;
-- Routing table port lookup signals.
lookupStb_i : in std_logic;
lookupAddr_i : in std_logic_vector(15 downto 0);
lookupData_o : out std_logic_vector(7 downto 0);
lookupAck_o : out std_logic;
-- Master port signals.
-- Write frames to other ports.
masterCyc_o : out std_logic;
masterStb_o : out std_logic;
masterWe_o : out std_logic;
masterAddr_o : out std_logic_vector(9 downto 0);
masterData_o : out std_logic_vector(31 downto 0);
masterData_i : in std_logic;
masterAck_i : in std_logic;
-- Slave port signals.
-- Receives frames from other ports.
slaveCyc_i : in std_logic;
slaveStb_i : in std_logic;
slaveWe_i : in std_logic;
slaveAddr_i : in std_logic_vector(9 downto 0);
slaveData_i : in std_logic_vector(31 downto 0);
slaveData_o : out std_logic;
slaveAck_o : out std_logic;
-- Address-lookup interface.
lookupStb_o : out std_logic;
lookupAddr_o : out std_logic_vector(15 downto 0);
lookupData_i : in std_logic_vector(7 downto 0);
lookupAck_i : in std_logic;
-- Port common access interface.
portLinkTimeout_o : out std_logic_vector(23 downto 0);
-- Port specific access interface.
linkInitialized_i : in Array1(SWITCH_PORTS-1 downto 0);
outputPortEnable_o : out Array1(SWITCH_PORTS-1 downto 0);
inputPortEnable_o : out Array1(SWITCH_PORTS-1 downto 0);
localAckIdWrite_o : out Array1(SWITCH_PORTS-1 downto 0);
clrOutstandingAckId_o : out Array1(SWITCH_PORTS-1 downto 0);
inboundAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
outstandingAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
outboundAckId_o : out Array5(SWITCH_PORTS-1 downto 0);
inboundAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
outstandingAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
outboundAckId_i : in Array5(SWITCH_PORTS-1 downto 0);
-- Configuration space for implementation-defined space.
configStb_o : out std_logic;
configWe_o : out std_logic;
configAddr_o : out std_logic_vector(23 downto 0);
configData_o : out std_logic_vector(31 downto 0);
configData_i : in std_logic_vector(31 downto 0));
end entity;
-------------------------------------------------------------------------------
-- Architecture for SwitchPort.
-------------------------------------------------------------------------------
architecture SwitchPortMaintenanceImpl of SwitchPortMaintenance is
component MemoryDualPort is
generic(
ADDRESS_WIDTH : natural := 1;
DATA_WIDTH : natural := 1);
port(
clkA_i : in std_logic;
enableA_i : in std_logic;
writeEnableA_i : in std_logic;
addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0);
dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0);
dataA_o : out std_logic_vector(DATA_WIDTH-1 downto 0);
clkB_i : in std_logic;
enableB_i : in std_logic;
addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0);
dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0));
end component;
component MemorySinglePort is
generic(
ADDRESS_WIDTH : natural := 1;
DATA_WIDTH : natural := 1);
port(
clk_i : in std_logic;
enable_i : in std_logic;
writeEnable_i : in std_logic;
address_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0);
data_i : in std_logic_vector(DATA_WIDTH-1 downto 0);
data_o : out std_logic_vector(DATA_WIDTH-1 downto 0));
end component;
component Crc16CITT is
port(
d_i : in std_logic_vector(15 downto 0);
crc_i : in std_logic_vector(15 downto 0);
crc_o : out std_logic_vector(15 downto 0));
end component;
type MasterStateType is (STATE_IDLE,
STATE_CHECK_FRAME,
STATE_RELAY_READ_RESPONSE,
STATE_RELAY_WRITE_RESPONSE,
STATE_SEND_READ_REQUEST,
STATE_SEND_WRITE_REQUEST,
STATE_SEND_READ_RESPONSE,
STATE_SEND_WRITE_RESPONSE,
STATE_START_PORT_LOOKUP,
STATE_READ_PORT_LOOKUP,
STATE_READ_TARGET_PORT,
STATE_WAIT_TARGET_PORT,
STATE_WAIT_TARGET_WRITE,
STATE_WAIT_COMPLETE,
STATE_WAIT_SLAVE);
signal masterState : MasterStateType;
signal crc16Data : std_logic_vector(31 downto 0);
signal crc16Current : std_logic_vector(15 downto 0);
signal crc16Temp : std_logic_vector(15 downto 0);
signal crc16Next : std_logic_vector(15 downto 0);
signal configEnable : std_logic;
signal configWrite : std_logic;
signal configAddress : std_logic_vector(23 downto 0);
signal configDataWrite : std_logic_vector(31 downto 0);
signal configDataRead, configDataReadInternal : std_logic_vector(31 downto 0);
signal outboundFrameEnable : std_logic;
signal outboundFrameWrite : std_logic;
signal outboundFrameAddress : std_logic_vector(2 downto 0);
signal outboundFrameDataWrite : std_logic_vector(31 downto 0);
signal outboundFrameDataRead : std_logic_vector(31 downto 0);
signal outboundFrameLength : std_logic_vector(2 downto 0);
type SlaveStateType is (STATE_READY,
STATE_BUSY);
signal slaveState : SlaveStateType;
signal slaveAck : std_logic;
signal inboundFrameReady : std_logic;
signal inboundFramePort : std_logic_vector(7 downto 0);
signal inboundFrameLength : natural range 0 to 7;
signal inboundFrameComplete : std_logic;
signal vc : std_logic;
signal crf : std_logic;
signal prio : std_logic_vector(1 downto 0);
signal tt : std_logic_vector(1 downto 0);
signal ftype : std_logic_vector(3 downto 0);
signal destinationId : std_logic_vector(15 downto 0);
signal sourceId : std_logic_vector(15 downto 0);
signal transaction : std_logic_vector(3 downto 0);
signal size : std_logic_vector(3 downto 0);
signal srcTid : std_logic_vector(7 downto 0);
signal hopCount : std_logic_vector(7 downto 0);
signal configOffset : std_logic_vector(20 downto 0);
signal wdptr : std_logic;
signal content : std_logic_vector(63 downto 0);
-----------------------------------------------------------------------------
-- Route table access signals.
-----------------------------------------------------------------------------
signal lookupEnable : std_logic;
signal lookupAddress : std_logic_vector(10 downto 0);
signal lookupData : std_logic_vector(7 downto 0);
signal lookupAck : std_logic;
signal routeTableEnable : std_logic;
signal routeTableWrite : std_logic;
signal routeTableAddress : std_logic_vector(10 downto 0);
signal routeTablePortWrite : std_logic_vector(7 downto 0);
signal routeTablePortRead : std_logic_vector(7 downto 0);
signal routeTablePortDefault : std_logic_vector(7 downto 0);
-----------------------------------------------------------------------------
-- Configuration space signals.
-----------------------------------------------------------------------------
signal discovered : std_logic;
signal hostBaseDeviceIdLocked : std_logic;
signal hostBaseDeviceId : std_logic_vector(15 downto 0);
signal componentTag : std_logic_vector(31 downto 0);
signal portLinkTimeout : std_logic_vector(23 downto 0);
signal outputPortEnable : Array1(SWITCH_PORTS-1 downto 0);
signal inputPortEnable : Array1(SWITCH_PORTS-1 downto 0);
begin
-----------------------------------------------------------------------------
-- Memory to contain the outbound frame.
-----------------------------------------------------------------------------
OutboundFrameMemory: MemorySinglePort
generic map(
ADDRESS_WIDTH=>3, DATA_WIDTH=>32)
port map(
clk_i=>clk,
enable_i=>outboundFrameEnable, writeEnable_i=>outboundFrameWrite,
address_i=>outboundFrameAddress,
data_i=>outboundFrameDataWrite, data_o=>outboundFrameDataRead);
-----------------------------------------------------------------------------
-- CRC generation for outbound frames.
-----------------------------------------------------------------------------
crc16Data <= outboundFrameDataWrite;
-- REMARK: Insert FFs here to make the critical path shorter...
Crc16High: Crc16CITT
port map(
d_i=>crc16Data(31 downto 16), crc_i=>crc16Current, crc_o=>crc16Temp);
Crc16Low: Crc16CITT
port map(
d_i=>crc16Data(15 downto 0), crc_i=>crc16Temp, crc_o=>crc16Next);
-----------------------------------------------------------------------------
-- Master interface process.
-----------------------------------------------------------------------------
Master: process(clk, areset_n)
begin
if (areset_n = '0') then
masterState <= STATE_IDLE;
lookupStb_o <= '0';
lookupAddr_o <= (others => '0');
masterCyc_o <= '0';
masterStb_o <= '0';
masterWe_o <= '0';
masterAddr_o <= (others => '0');
masterData_o <= (others => '0');
configEnable <= '0';
configWrite <= '0';
configAddress <= (others => '0');
configDataWrite <= (others => '0');
outboundFrameEnable <= '0';
outboundFrameWrite <= '0';
outboundFrameAddress <= (others=>'0');
outboundFrameDataWrite <= (others=>'0');
outboundFrameLength <= (others=>'0');
inboundFrameComplete <= '0';
elsif (clk'event and clk = '1') then
configEnable <= '0';
configWrite <= '0';
inboundFrameComplete <= '0';
case masterState is
when STATE_IDLE =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Wait for a full frame to be available.
if (inboundFrameReady = '1') then
if (inboundFrameLength > 3) then
masterState <= STATE_CHECK_FRAME;
else
-- Frame is too short.
-- REMARK: Discard the frame.
end if;
end if;
when STATE_CHECK_FRAME =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Check if the frame has 16-bit addresses and is a maintenance frame.
if (tt = "01") and (ftype = FTYPE_MAINTENANCE_CLASS) then
-- Maintenance class frame and 16-bit addresses.
-- Check the frame type.
case transaction is
when "0000" =>
---------------------------------------------------------------
-- Maintenance read request.
---------------------------------------------------------------
-- Check if the frame is for us.
if (hopCount = x"00") then
-- This frame is for us.
configEnable <= '1';
configWrite <= '0';
configAddress <= configOffset & wdptr & "00";
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= (others=>'0');
outboundFrameDataWrite <= "000000" & vc & crf & prio & tt & ftype & sourceId;
crc16Current <= x"ffff";
masterState <= STATE_SEND_READ_RESPONSE;
else
-- This frame is not for us.
-- Decrement hop_count and relay.
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= (others=>'0');
outboundFrameDataWrite <= "000000" & vc & crf & prio & tt & ftype & destinationId;
crc16Current <= x"ffff";
masterState <= STATE_SEND_READ_REQUEST;
end if;
when "0001" =>
---------------------------------------------------------------
-- Maintenance write request.
---------------------------------------------------------------
-- Check if the frame is for us.
if (hopCount = x"00") then
-- This frame is for us.
configEnable <= '1';
configWrite <= '1';
configAddress <= configOffset & wdptr & "00";
if (wdptr = '0') then
configDataWrite <= content(63 downto 32);
else
configDataWrite <= content(31 downto 0);
end if;
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= (others=>'0');
outboundFrameDataWrite <= "000000" & vc & crf & prio & tt & ftype & sourceId;
crc16Current <= x"ffff";
masterState <= STATE_SEND_WRITE_RESPONSE;
else
-- This frame is not for us.
-- Decrement hop_count and relay.
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= (others=>'0');
outboundFrameDataWrite <= "000000" & vc & crf & prio & tt & ftype & destinationId;
crc16Current <= x"ffff";
masterState <= STATE_SEND_WRITE_REQUEST;
end if;
when "0010" =>
---------------------------------------------------------------
-- Maintenance read response frame.
---------------------------------------------------------------
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= (others=>'0');
outboundFrameDataWrite <= "000000" & vc & crf & prio & tt & ftype & destinationId;
crc16Current <= x"ffff";
-- Relay frame.
masterState <= STATE_RELAY_READ_RESPONSE;
when "0011" =>
---------------------------------------------------------------
-- Maintenance write response frame.
---------------------------------------------------------------
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= (others=>'0');
outboundFrameDataWrite <= "000000" & vc & crf & prio & tt & ftype & destinationId;
crc16Current <= x"ffff";
-- Relay frame.
masterState <= STATE_RELAY_WRITE_RESPONSE;
when "0100" =>
---------------------------------------------------------------
-- Maintenance port write frame.
---------------------------------------------------------------
-- REMARK: Support these???
when others =>
---------------------------------------------------------------
-- Unsupported frame type.
---------------------------------------------------------------
-- REMARK: Support these???
end case;
else
-- Non-maintenance class frame or unsupported address type.
-- REMARK: These should not end up here... discard them???
end if;
when STATE_RELAY_READ_RESPONSE =>
---------------------------------------------------------------------
-- A maintenance response has been received. It should be relayed as
-- is using the destinationId.
---------------------------------------------------------------------
case to_integer(unsigned(outboundFrameAddress)) is
when 0 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= sourceId & transaction & size & srcTid;
crc16Current <= crc16Next;
when 1 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= hopCount & configOffset & wdptr & "00";
crc16Current <= crc16Next;
when 2 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= content(63 downto 32);
crc16Current <= crc16Next;
when 3 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= content(31 downto 0);
crc16Current <= crc16Next;
when 4 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite(31 downto 16) <= crc16Next;
outboundFrameDataWrite(15 downto 0) <= x"0000";
when others =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '0';
outboundFrameAddress <= (others=>'0');
outboundFrameLength <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
masterState <= STATE_START_PORT_LOOKUP;
end case;
when STATE_RELAY_WRITE_RESPONSE =>
---------------------------------------------------------------------
-- A maintenance response has been received. It should be relayed as
-- is using the destinationId.
---------------------------------------------------------------------
case to_integer(unsigned(outboundFrameAddress)) is
when 0 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= sourceId & transaction & size & srcTid;
crc16Current <= crc16Next;
when 1 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= hopCount & configOffset & wdptr & "00";
crc16Current <= crc16Next;
when 2 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite(31 downto 16) <= crc16Next;
outboundFrameDataWrite(15 downto 0) <= x"0000";
when others =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '0';
outboundFrameAddress <= (others=>'0');
outboundFrameLength <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
masterState <= STATE_START_PORT_LOOKUP;
end case;
when STATE_SEND_READ_REQUEST =>
---------------------------------------------------------------------
-- A read request has been received but the hopcount is larger than
-- zero. Decrement the hopcount, recalculate the crc and relay the
-- frame using the destinationId.
---------------------------------------------------------------------
case to_integer(unsigned(outboundFrameAddress)) is
when 0 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= sourceId & transaction & size & srcTid;
crc16Current <= crc16Next;
when 1 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= std_logic_vector(unsigned(hopCount) - 1) & configOffset & wdptr & "00";
crc16Current <= crc16Next;
when 2 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite(31 downto 16) <= crc16Next;
outboundFrameDataWrite(15 downto 0) <= x"0000";
when others =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '0';
outboundFrameAddress <= (others=>'0');
outboundFrameLength <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
masterState <= STATE_START_PORT_LOOKUP;
end case;
when STATE_SEND_WRITE_REQUEST =>
---------------------------------------------------------------------
-- A write request has been received but the hopcount is larger than
-- zero. Decrement the hopcount, recalculate the crc and relay the
-- frame using the destinationId.
---------------------------------------------------------------------
case to_integer(unsigned(outboundFrameAddress)) is
when 0 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= sourceId & transaction & size & srcTid;
crc16Current <= crc16Next;
when 1 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= std_logic_vector(unsigned(hopCount) - 1) & configOffset & wdptr & "00";
crc16Current <= crc16Next;
when 2 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= content(63 downto 32);
crc16Current <= crc16Next;
when 3 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= content(31 downto 0);
crc16Current <= crc16Next;
when 4 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite(31 downto 16) <= crc16Next;
outboundFrameDataWrite(15 downto 0) <= x"0000";
when others =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '0';
outboundFrameAddress <= (others=>'0');
outboundFrameLength <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
masterState <= STATE_START_PORT_LOOKUP;
end case;
when STATE_SEND_READ_RESPONSE =>
---------------------------------------------------------------------
-- A read request has been received with a hopcount that are zero.
-- Create a read response, calculate crc and write it to the port it
-- came from.
---------------------------------------------------------------------
case to_integer(unsigned(outboundFrameAddress)) is
when 0 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= destinationId & "0010" & "0000" & srcTid;
crc16Current <= crc16Next;
when 1 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= x"ff" & x"000000";
crc16Current <= crc16Next;
when 2 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
if (wdptr = '1') then
outboundFrameDataWrite <= (others => '0');
else
outboundFrameDataWrite <= configDataRead(31 downto 0);
end if;
crc16Current <= crc16Next;
when 3 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
if (wdptr = '1') then
outboundFrameDataWrite <= configDataRead(31 downto 0);
else
outboundFrameDataWrite <= (others => '0');
end if;
crc16Current <= crc16Next;
when 4 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite(31 downto 16) <= crc16Next;
outboundFrameDataWrite(15 downto 0) <= x"0000";
when others =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '0';
outboundFrameAddress <= (others=>'0');
outboundFrameLength <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
masterAddr_o <= '0' & inboundFramePort & '0';
masterState <= STATE_READ_TARGET_PORT;
end case;
when STATE_SEND_WRITE_RESPONSE =>
---------------------------------------------------------------------
-- A write request has been received with a hopcount that are zero.
-- Create a write response, calculate crc and write it to the port it
-- came from.
---------------------------------------------------------------------
case to_integer(unsigned(outboundFrameAddress)) is
when 0 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= destinationId & "0011" & "0000" & srcTid;
crc16Current <= crc16Next;
when 1 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite <= x"ff" & x"000000";
crc16Current <= crc16Next;
when 2 =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '1';
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
outboundFrameDataWrite(31 downto 16) <= crc16Next;
outboundFrameDataWrite(15 downto 0) <= x"0000";
when others =>
outboundFrameEnable <= '1';
outboundFrameWrite <= '0';
outboundFrameAddress <= (others=>'0');
outboundFrameLength <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
masterAddr_o <= '0' & inboundFramePort & '0';
masterState <= STATE_READ_TARGET_PORT;
end case;
when STATE_START_PORT_LOOKUP =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Initiate a port-lookup of the destination address.
lookupStb_o <= '1';
lookupAddr_o <= destinationId;
masterState <= STATE_READ_PORT_LOOKUP;
when STATE_READ_PORT_LOOKUP =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Wait for the routing table to complete the request.
if (lookupAck_i = '1') then
-- The address lookup is complete.
-- Terminate the lookup cycle.
lookupStb_o <= '0';
-- Wait for the target port to reply.
masterAddr_o <= '0' & lookupData_i & '0';
masterState <= STATE_READ_TARGET_PORT;
else
-- Wait until the address lookup is complete.
-- REMARK: Timeout here???
end if;
when STATE_READ_TARGET_PORT =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Read the status of the target port using the result from the
-- lookup in the routing table.
masterCyc_o <= '1';
masterStb_o <= '1';
masterWe_o <= '0';
masterState <= STATE_WAIT_TARGET_PORT;
when STATE_WAIT_TARGET_PORT =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Wait for the target port to complete the request.
if (masterAck_i = '1') then
if (masterData_i = '0') then
-- The target port has empty buffers to receive the frame.
-- Write the first word of the frame to the target port.
-- The masterData_o has already been assigned.
masterCyc_o <= '1';
masterStb_o <= '1';
masterWe_o <= '1';
masterAddr_o(0) <= '1';
-- Read the first word in the frame and update the frame address.
masterData_o <= outboundFrameDataRead;
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
-- Change state to transfer the frame.
masterState <= STATE_WAIT_TARGET_WRITE;
else
-- The target port has no empty buffer to receive the frame.
-- Terminate the cycle and retry later.
masterCyc_o <= '0';
masterStb_o <= '0';
masterState <= STATE_READ_TARGET_PORT;
end if;
else
-- Wait for the target port to reply.
-- REMARK: Timeout here???
end if;
when STATE_WAIT_TARGET_WRITE =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Wait for the target port to complete the request.
if (masterAck_i = '1') then
-- The target port is ready.
-- Check if the frame has ended.
if (outboundFrameLength /= outboundFrameAddress) then
-- The frame has not ended.
-- There are more data to transfer.
masterData_o <= outboundFrameDataRead;
outboundFrameAddress <= std_logic_vector(unsigned(outboundFrameAddress) + 1);
else
-- There are no more data to transfer.
-- Tell the target port that the frame is complete.
masterWe_o <= '1';
masterAddr_o(0) <= '0';
masterData_o <= x"00000001";
outboundFrameAddress <= (others=>'0');
-- Change state to wait for the target port to finalize the write
-- of the full frame.
masterState <= STATE_WAIT_COMPLETE;
end if;
else
-- Wait for the target port to reply.
-- REMARK: Timeout here???
end if;
when STATE_WAIT_COMPLETE =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
-- Wait for the target port to complete the final request.
if (masterAck_i = '1') then
-- The target port has finalized the write of the frame.
masterCyc_o <= '0';
masterStb_o <= '0';
masterState <= STATE_WAIT_SLAVE;
-- Indicate the frame has been read.
inboundFrameComplete <= '1';
else
-- Wait for the target port to reply.
-- REMARK: Timeout here???
end if;
when STATE_WAIT_SLAVE =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
masterState <= STATE_IDLE;
when others =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
end case;
end if;
end process;
-----------------------------------------------------------------------------
-- Slave interface process.
-----------------------------------------------------------------------------
-- Addr | Read | Write
-- 0 | full | abort & complete
-- 1 | full | frameData
Slave: process(clk, areset_n)
begin
if (areset_n = '0') then
slaveState <= STATE_READY;
slaveData_o <= '0';
slaveAck <= '0';
vc <= '0';
crf <= '0';
prio <= (others=>'0');
tt <= (others=>'0');
ftype <= (others=>'0');
destinationId <= (others=>'0');
sourceId <= (others=>'0');
transaction <= (others=>'0');
size <= (others=>'0');
srcTid <= (others=>'0');
hopCount <= (others=>'0');
configOffset <= (others=>'0');
wdptr <= '0';
content <= (others=>'0');
inboundFrameReady <= '0';
inboundFramePort <= (others => '0');
inboundFrameLength <= 0;
elsif (clk'event and clk = '1') then
slaveAck <= '0';
case slaveState is
when STATE_READY =>
---------------------------------------------------------------------
-- Ready to receive a new frame.
---------------------------------------------------------------------
-- Check if any cycle is active.
if ((slaveCyc_i = '1') and (slaveStb_i = '1') and (slaveAck = '0')) then
-- Cycle is active.
-- Check if writing.
if (slaveWe_i = '1') then
-- Writing request.
-- Check if the cycle is accessing the status- or data address.
if (slaveAddr_i(0) = '0') then
-- Writing to port status address.
if (slaveData_i(0) = '1') and (slaveData_i(1) = '0') then
-- A frame has been written.
-- Indicate the frame is ready for processing.
-- The slave address contains the number of the accessing port.
inboundFrameReady <= '1';
inboundFramePort <= slaveAddr_i(8 downto 1);
-- Change state until the frame has been processed.
slaveState <= STATE_BUSY;
else
-- The frame has been aborted.
-- Reset the received frame length.
inboundFrameLength <= 0;
end if;
else
-- Write frame content into the frame buffer.
-- Check which frame index that is written.
case inboundFrameLength is
when 0 =>
vc <= slaveData_i(25);
crf <= slaveData_i(24);
prio <= slaveData_i(23 downto 22);
tt <= slaveData_i(21 downto 20);
ftype <= slaveData_i(19 downto 16);
destinationId <= slaveData_i(15 downto 0);
inboundFrameLength <= inboundFrameLength + 1;
when 1 =>
sourceId <= slaveData_i(31 downto 16);
transaction <= slaveData_i(15 downto 12);
size <= slaveData_i(11 downto 8);
srcTid <= slaveData_i(7 downto 0);
inboundFrameLength <= inboundFrameLength + 1;
when 2 =>
hopCount <= slaveData_i(31 downto 24);
configOffset <= slaveData_i(23 downto 3);
wdptr <= slaveData_i(2);
inboundFrameLength <= inboundFrameLength + 1;
when 3 =>
-- Note that crc will be assigned here if there are no
-- content in the frame.
content(63 downto 32) <= slaveData_i;
inboundFrameLength <= inboundFrameLength + 1;
when 4 =>
content(31 downto 0) <= slaveData_i;
inboundFrameLength <= inboundFrameLength + 1;
when others =>
-- Dont support longer frames.
-- REMARK: Add support for longer frames??? Especially
-- received frames that only should be routed...
end case;
end if;
-- Send acknowledge.
slaveAck <= '1';
else
-- Reading request.
-- Reading the status address.
-- Always indicate that we are ready to accept a new frame.
slaveData_o <= '0';
-- Send acknowledge.
slaveAck <= '1';
end if;
else
-- No cycle is active.
end if;
when STATE_BUSY =>
---------------------------------------------------------------------
-- Waiting for a received frame to be processed.
---------------------------------------------------------------------
-- Check if any cycle is active.
if ((slaveCyc_i = '1') and (slaveStb_i = '1') and (slaveAck = '0')) then
-- Cycle is active.
-- Check if writing.
if (slaveWe_i = '1') then
-- Writing.
-- Dont do anything.
-- Send acknowledge.
slaveAck <= '1';
else
-- Read port data address.
-- Reading the status address.
-- Always indicate that we are busy.
slaveData_o <= '1';
-- Send acknowledge.
slaveAck <= '1';
end if;
else
-- No cycle is active.
-- Dont do anything.
end if;
-- Check if the master process has processed the received frame.
if (inboundFrameComplete = '1') then
-- The master has processed the frame.
inboundFrameReady <= '0';
inboundFrameLength <= 0;
slaveState <= STATE_READY;
else
-- The master is not ready yet.
-- Dont do anything.
end if;
when others =>
---------------------------------------------------------------------
--
---------------------------------------------------------------------
null;
end case;
end if;
end process;
slaveAck_o <= slaveAck;
-----------------------------------------------------------------------------
-- Logic implementing the routing table access.
-----------------------------------------------------------------------------
-- Lookup interface port memory signals.
lookupEnable <= '1' when (lookupStb_i = '1') and (lookupAddr_i(15 downto 11) = "00000") else '0';
lookupAddress <= lookupAddr_i(10 downto 0);
lookupData_o <= lookupData when (lookupEnable = '1') else routeTablePortDefault;
lookupAck_o <= lookupAck;
LookupProcess: process(clk, areset_n)
begin
if (areset_n = '0') then
lookupAck <= '0';
elsif (clk'event and clk = '1') then
if (lookupAck = '0') then
if (lookupStb_i = '1') then
lookupAck <= '1';
end if;
else
lookupAck <= '0';
end if;
end if;
end process;
-- Dual port memory containing the routing table.
RoutingTable: MemoryDualPort
generic map(
ADDRESS_WIDTH=>11, DATA_WIDTH=>8)
port map(
clkA_i=>clk, enableA_i=>routeTableEnable, writeEnableA_i=>routeTableWrite,
addressA_i=>routeTableAddress,
dataA_i=>routeTablePortWrite, dataA_o=>routeTablePortRead,
clkB_i=>clk, enableB_i=>lookupEnable,
addressB_i=>lookupAddress, dataB_o=>lookupData);
-----------------------------------------------------------------------------
-- Configuration memory.
-----------------------------------------------------------------------------
portLinkTimeout_o <= portLinkTimeout;
outputPortEnable_o <= outputPortEnable;
inputPortEnable_o <= inputPortEnable;
configStb_o <= '1' when ((configEnable = '1') and (configAddress(23 downto 16) /= x"00")) else '0';
configWe_o <= configWrite;
configAddr_o <= configAddress;
configData_o <= configDataWrite;
configDataRead <= configData_i when (configAddress(23 downto 16) /= x"00") else
configDataReadInternal;
ConfigMemory: process(areset_n, clk)
begin
if (areset_n = '0') then
configDataReadInternal <= (others => '0');
routeTableEnable <= '1';
routeTableWrite <= '0';
routeTableAddress <= (others => '0');
routeTablePortWrite <= (others => '0');
routeTablePortDefault <= (others => '0');
discovered <= '0';
hostBaseDeviceIdLocked <= '0';
hostBaseDeviceId <= (others => '1');
componentTag <= (others => '0');
portLinkTimeout <= (others => '1');
-- REMARK: These should be set to zero when a port gets initialized...
outputPortEnable <= (others => '0');
inputPortEnable <= (others => '0');
localAckIdWrite_o <= (others => '0');
elsif (clk'event and clk = '1') then
routeTableWrite <= '0';
localAckIdWrite_o <= (others => '0');
if (configEnable = '1') then
-- Check if the access is into implementation defined space or if the
-- access should be handled here.
if (configAddress(23 downto 16) /= x"00") then
-- Accessing implementation defined space.
-- Make an external access and return the resonse.
configDataReadInternal <= (others=>'0');
else
-- Access should be handled here.
case (configAddress) is
when x"000000" =>
-----------------------------------------------------------------
-- Device Identity CAR. Read-only.
-----------------------------------------------------------------
configDataReadInternal(31 downto 16) <= DEVICE_IDENTITY;
configDataReadInternal(15 downto 0) <= DEVICE_VENDOR_IDENTITY;
when x"000004" =>
-----------------------------------------------------------------
-- Device Information CAR. Read-only.
-----------------------------------------------------------------
configDataReadInternal(31 downto 0) <= DEVICE_REV;
when x"000008" =>
-----------------------------------------------------------------
-- Assembly Identity CAR. Read-only.
-----------------------------------------------------------------
configDataReadInternal(31 downto 16) <= ASSY_IDENTITY;
configDataReadInternal(15 downto 0) <= ASSY_VENDOR_IDENTITY;
when x"00000c" =>
-----------------------------------------------------------------
-- Assembly Informaiton CAR. Read-only.
-----------------------------------------------------------------
configDataReadInternal(31 downto 16) <= ASSY_REV;
configDataReadInternal(15 downto 0) <= x"0100";
when x"000010" =>
-----------------------------------------------------------------
-- Processing Element Features CAR. Read-only.
-----------------------------------------------------------------
-- Bridge.
configDataReadInternal(31) <= '0';
-- Memory.
configDataReadInternal(30) <= '0';
-- Processor.
configDataReadInternal(29) <= '0';
-- Switch.
configDataReadInternal(28) <= '1';
-- Reserved.
configDataReadInternal(27 downto 10) <= (others => '0');
-- Extended route table configuration support.
configDataReadInternal(9) <= '0';
-- Standard route table configuration support.
configDataReadInternal(8) <= '1';
-- Reserved.
configDataReadInternal(7 downto 5) <= (others => '0');
-- Common transport large system support.
configDataReadInternal(4) <= '1';
-- Extended features.
configDataReadInternal(3) <= '1';
-- Extended addressing support.
-- Not a processing element.
configDataReadInternal(2 downto 0) <= "000";
when x"000014" =>
-----------------------------------------------------------------
-- Switch Port Information CAR. Read-only.
-----------------------------------------------------------------
-- Reserved.
configDataReadInternal(31 downto 16) <= (others => '0');
-- PortTotal.
configDataReadInternal(15 downto 8) <=
std_logic_vector(to_unsigned(SWITCH_PORTS, 8));
-- PortNumber.
configDataReadInternal(7 downto 0) <= inboundFramePort;
when x"000034" =>
-----------------------------------------------------------------
-- Switch Route Table Destination ID Limit CAR.
-----------------------------------------------------------------
-- Max_destId.
-- Support 2048 addresses.
configDataReadInternal(15 downto 0) <= x"0800";
when x"000068" =>
-----------------------------------------------------------------
-- Host Base Device ID Lock CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
-- Check if this field has been written before.
if (hostBaseDeviceIdLocked = '0') then
-- The field has not been written.
-- Lock the field and set the host base device id.
hostBaseDeviceIdLocked <= '1';
hostBaseDeviceId <= configDataWrite(15 downto 0);
else
-- The field has been written.
-- Check if the written data is the same as the stored.
if (hostBaseDeviceId = configDataWrite(15 downto 0)) then
-- Same as stored, reset the value to its initial value.
hostBaseDeviceIdLocked <= '0';
hostBaseDeviceId <= (others => '1');
else
-- Not writing the same as the stored value.
-- Ignore the write.
end if;
end if;
end if;
configDataReadInternal(31 downto 16) <= (others => '0');
configDataReadInternal(15 downto 0) <= hostBaseDeviceId;
when x"00006c" =>
-----------------------------------------------------------------
-- Component TAG CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
componentTag <= configDataWrite;
end if;
configDataReadInternal <= componentTag;
when x"000070" =>
-----------------------------------------------------------------
-- Standard Route Configuration Destination ID Select CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
-- Write the address to access the routing table.
routeTableAddress <= configDataWrite(10 downto 0);
end if;
configDataReadInternal(31 downto 11) <= (others => '0');
configDataReadInternal(10 downto 0) <= routeTableAddress;
when x"000074" =>
-----------------------------------------------------------------
-- Standard Route Configuration Port Select CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
-- Write the port information for the address selected by the
-- above register.
routeTableWrite <= '1';
routeTablePortWrite <= configDataWrite(7 downto 0);
end if;
configDataReadInternal(31 downto 8) <= (others => '0');
configDataReadInternal(7 downto 0) <= routeTablePortRead;
when x"000078" =>
-----------------------------------------------------------------
-- Standard Route Default Port CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
-- Write the default route device id.
routeTablePortDefault <= configDataWrite(7 downto 0);
end if;
configDataReadInternal(31 downto 8) <= (others => '0');
configDataReadInternal(7 downto 0) <= routeTablePortDefault;
when x"000100" =>
-----------------------------------------------------------------
-- Extended features. LP-Serial Register Block Header.
-----------------------------------------------------------------
-- One feature only, 0x0003=Generic End Point Free Device.
configDataReadInternal(31 downto 16) <= x"0000";
configDataReadInternal(15 downto 0) <= x"0003";
when x"000120" =>
-----------------------------------------------------------------
-- Port Link Timeout Control CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
portLinkTimeout <= configDataWrite(31 downto 8);
end if;
configDataReadInternal(31 downto 8) <= portLinkTimeout;
configDataReadInternal(7 downto 0) <= x"00";
when x"00013c" =>
-----------------------------------------------------------------
-- Port General Control CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
discovered <= configDataWrite(29);
end if;
configDataReadInternal(31 downto 30) <= "00";
configDataReadInternal(29) <= discovered;
configDataReadInternal(28 downto 0) <= (others => '0');
when others =>
-----------------------------------------------------------------
-- Other port specific registers.
-----------------------------------------------------------------
-- Make sure the output is always set to something.
configDataReadInternal <= (others=>'0');
-- Iterate through all active ports.
for portIndex in 0 to SWITCH_PORTS-1 loop
if(unsigned(configAddress) = (x"000148" + (x"000020"*portIndex))) then
-----------------------------------------------------------------
-- Port N Local ackID CSR.
-----------------------------------------------------------------
if (configWrite = '1') then
localAckIdWrite_o(portIndex) <= '1';
clrOutstandingAckId_o(portIndex) <= configDataWrite(31);
inboundAckId_o(portIndex) <= configDataWrite(28 downto 24);
outstandingAckId_o(portIndex) <= configDataWrite(12 downto 8);
outboundAckId_o(portIndex) <= configDataWrite(4 downto 0);
end if;
configDataReadInternal(31 downto 29) <= (others => '0');
configDataReadInternal(28 downto 24) <= inboundAckId_i(portIndex);
configDataReadInternal(23 downto 13) <= (others => '0');
configDataReadInternal(12 downto 8) <= outstandingAckId_i(portIndex);
configDataReadInternal(7 downto 5) <= (others => '0');
configDataReadInternal(4 downto 0) <= outboundAckId_i(portIndex);
elsif(unsigned(configAddress) = (x"000154" + (x"000020"*portIndex))) then
-----------------------------------------------------------------
-- Port N Control 2 CSR.
-----------------------------------------------------------------
configDataReadInternal <= (others => '0');
elsif(unsigned(configAddress) = (x"000158" + (x"000020"*portIndex))) then
-----------------------------------------------------------------
-- Port N Error and Status CSR.
-----------------------------------------------------------------
-- Idle Sequence 2 Support.
configDataReadInternal(31) <= '0';
-- Idle Sequence 2 Enable.
configDataReadInternal(30) <= '0';
-- Idle Sequence.
configDataReadInternal(29) <= '0';
-- Reserved.
configDataReadInternal(28) <= '0';
-- Flow Control Mode.
configDataReadInternal(27) <= '0';
-- Reserved.
configDataReadInternal(26 downto 21) <= (others => '0');
-- Output retry-encountered.
configDataReadInternal(20) <= '0';
-- Output retried.
configDataReadInternal(19) <= '0';
-- Output retried-stopped.
configDataReadInternal(18) <= '0';
-- Output error-encountered.
configDataReadInternal(17) <= '0';
-- Output error-stopped.
configDataReadInternal(16) <= '0';
-- Reserved.
configDataReadInternal(15 downto 11) <= (others => '0');
-- Input retry-stopped.
configDataReadInternal(10) <= '0';
-- Input error-encountered.
configDataReadInternal(9) <= '0';
-- Input error-stopped.
configDataReadInternal(8) <= '0';
-- Reserved.
configDataReadInternal(7 downto 5) <= (others => '0');
-- Port-write pending.
configDataReadInternal(4) <= '0';
-- Port unavailable.
configDataReadInternal(3) <= '0';
-- Port error.
configDataReadInternal(2) <= '0';
-- Port OK.
configDataReadInternal(1) <= linkInitialized_i(portIndex);
-- Port uninitialized.
configDataReadInternal(0) <= not linkInitialized_i(portIndex);
elsif(unsigned(configAddress) = (x"00015c" + (x"000020"*portIndex))) then
-----------------------------------------------------------------
-- Port N Control CSR.
-----------------------------------------------------------------
-- Port Width Support.
configDataReadInternal(31 downto 30) <= (others=>'0');
-- Initialized Port Width.
configDataReadInternal(29 downto 27) <= (others=>'0');
-- Port Width Override.
configDataReadInternal(26 downto 24) <= (others=>'0');
-- Port disable.
configDataReadInternal(23) <= '0';
-- Output Port Enable.
if (configWrite = '1') then
outputPortEnable(portIndex) <= configDataWrite(22);
end if;
configDataReadInternal(22) <= outputPortEnable(portIndex);
-- Input Port Enable.
if (configWrite = '1') then
inputPortEnable(portIndex) <= configDataWrite(21);
end if;
configDataReadInternal(21) <= inputPortEnable(portIndex);
-- Error Checking Disabled.
configDataReadInternal(20) <= '0';
-- Multicast-event Participant.
configDataReadInternal(19) <= '0';
-- Reserved.
configDataReadInternal(18) <= '0';
-- Enumeration Boundry.
configDataReadInternal(17) <= '0';
-- Reserved.
configDataReadInternal(16) <= '0';
-- Extended Port Width Override.
configDataReadInternal(15 downto 14) <= (others=>'0');
-- Extended Port Width Support.
configDataReadInternal(13 downto 12) <= (others=>'0');
-- Implementation defined.
configDataReadInternal(11 downto 4) <= (others=>'0');
-- Reserved.
configDataReadInternal(3 downto 1) <= (others=>'0');
-- Port Type.
configDataReadInternal(0) <= '1';
end if;
end loop;
end case;
end if;
else
-- Config memory not enabled.
end if;
end if;
end process;
end architecture;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rio_common.all;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
entity RouteTableInterconnect is
generic(
WIDTH : natural range 1 to 256 := 8);
port(
clk : in std_logic;
areset_n : in std_logic;
stb_i : in Array1(WIDTH-1 downto 0);
addr_i : in Array16(WIDTH-1 downto 0);
dataM_o : out Array8(WIDTH-1 downto 0);
ack_o : out Array1(WIDTH-1 downto 0);
stb_o : out std_logic;
addr_o : out std_logic_vector(15 downto 0);
dataS_i : in std_logic_vector(7 downto 0);
ack_i : in std_logic);
end entity;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
architecture RouteTableInterconnectImpl of RouteTableInterconnect is
signal activeCycle : std_logic;
signal selectedMaster : natural range 0 to WIDTH-1;
begin
-----------------------------------------------------------------------------
-- Arbitration.
-----------------------------------------------------------------------------
Arbiter: process(areset_n, clk)
begin
if (areset_n = '0') then
activeCycle <= '0';
selectedMaster <= 0;
elsif (clk'event and clk = '1') then
if (activeCycle = '0') then
for i in 0 to WIDTH-1 loop
if (stb_i(i) = '1') then
activeCycle <= '1';
selectedMaster <= i;
end if;
end loop;
else
if (stb_i(selectedMaster) = '0') then
activeCycle <= '0';
end if;
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Interconnection.
-----------------------------------------------------------------------------
stb_o <= stb_i(selectedMaster) and activeCycle;
addr_o <= addr_i(selectedMaster);
Interconnect: for i in 0 to WIDTH-1 generate
dataM_o(i) <= dataS_i;
ack_o(i) <= ack_i when (selectedMaster = i) else '0';
end generate;
end architecture;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rio_common.all;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
entity SwitchPortInterconnect is
generic(
WIDTH : natural range 1 to 256 := 8);
port(
clk : in std_logic;
areset_n : in std_logic;
masterCyc_i : in Array1(WIDTH-1 downto 0);
masterStb_i : in Array1(WIDTH-1 downto 0);
masterWe_i : in Array1(WIDTH-1 downto 0);
masterAddr_i : in Array10(WIDTH-1 downto 0);
masterData_i : in Array32(WIDTH-1 downto 0);
masterData_o : out Array1(WIDTH-1 downto 0);
masterAck_o : out Array1(WIDTH-1 downto 0);
slaveCyc_o : out Array1(WIDTH-1 downto 0);
slaveStb_o : out Array1(WIDTH-1 downto 0);
slaveWe_o : out Array1(WIDTH-1 downto 0);
slaveAddr_o : out Array10(WIDTH-1 downto 0);
slaveData_o : out Array32(WIDTH-1 downto 0);
slaveData_i : in Array1(WIDTH-1 downto 0);
slaveAck_i : in Array1(WIDTH-1 downto 0));
end entity;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
architecture SwitchPortInterconnectImpl of SwitchPortInterconnect is
signal activeCycle : std_logic;
signal selectedMaster : natural range 0 to WIDTH-1;
signal selectedSlave : natural range 0 to WIDTH-1;
begin
-----------------------------------------------------------------------------
-- Arbitration process.
-----------------------------------------------------------------------------
RoundRobinArbiter: process(areset_n, clk)
variable index : natural range 0 to WIDTH-1 := 0;
begin
if (areset_n = '0') then
activeCycle <= '0';
selectedMaster <= 0;
elsif (clk'event and clk = '1') then
-- Check if a cycle is ongoing.
if (activeCycle = '0') then
-- No ongoing cycles.
-- Iterate through all ports and check if any new cycle has started.
for i in 0 to WIDTH-1 loop
if ((selectedMaster+i) >= WIDTH) then
index := (selectedMaster+i) - WIDTH;
else
index := (selectedMaster+i);
end if;
if (masterCyc_i(index) = '1') then
activeCycle <= '1';
selectedMaster <= index;
end if;
end loop;
else
-- Ongoing cycle.
-- Check if the cycle has ended.
if (masterCyc_i(selectedMaster) = '0') then
-- Cycle has ended.
activeCycle <= '0';
-- Check if a new cycle has started from another master.
-- Start to check from the one that ended its cycle, this way, the
-- ports will be scheduled like round-robin.
for i in 0 to WIDTH-1 loop
if ((selectedMaster+i) >= WIDTH) then
index := (selectedMaster+i) - WIDTH;
else
index := (selectedMaster+i);
end if;
if (masterCyc_i(index) = '1') then
activeCycle <= '1';
selectedMaster <= index;
end if;
end loop;
end if;
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Address decoding.
-----------------------------------------------------------------------------
-- Select the last port when the top bit is set.
-- The last port must be the maintenance slave port.
selectedSlave <= WIDTH-1 when masterAddr_i(selectedMaster)(9) = '1' else
to_integer(unsigned(masterAddr_i(selectedMaster)(8 downto 1)));
-----------------------------------------------------------------------------
-- Interconnection matrix.
-----------------------------------------------------------------------------
Interconnect: for i in 0 to WIDTH-1 generate
slaveCyc_o(i) <= masterCyc_i(selectedMaster) when ((activeCycle = '1') and (selectedSlave = i)) else '0';
slaveStb_o(i) <= masterStb_i(selectedMaster) when ((activeCycle = '1') and (selectedSlave = i)) else '0';
slaveWe_o(i) <= masterWe_i(selectedMaster);
slaveAddr_o(i) <= masterAddr_i(selectedMaster);
slaveData_o(i) <= masterData_i(selectedMaster);
masterData_o(i) <= slaveData_i(selectedSlave);
masterAck_o(i) <= slaveAck_i(selectedSlave) when (selectedMaster = i) else '0';
end generate;
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20.06.2016 06:22:11
-- Design Name:
-- Module Name: tb_tcp_engine_add_data - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity tb_tcp_engine_add_data is
end tb_tcp_engine_add_data;
architecture Behavioral of tb_tcp_engine_add_data is
component tcp_engine_add_data is
Port ( clk : in STD_LOGIC;
read_en : out std_logic := '0';
empty : in std_logic := '0';
in_src_port : in std_logic_vector(15 downto 0) := (others => '0');
in_dst_ip : in std_logic_vector(31 downto 0) := (others => '0');
in_dst_port : in std_logic_vector(15 downto 0) := (others => '0');
in_seq_num : in std_logic_vector(31 downto 0) := (others => '0');
in_ack_num : in std_logic_vector(31 downto 0) := (others => '0');
in_window : in std_logic_vector(15 downto 0) := (others => '0');
in_flag_urg : in std_logic := '0';
in_flag_ack : in std_logic := '0';
in_flag_psh : in std_logic := '0';
in_flag_rst : in std_logic := '0';
in_flag_syn : in std_logic := '0';
in_flag_fin : in std_logic := '0';
in_urgent_ptr : in std_logic_vector(15 downto 0) := (others => '0');
in_data_addr : in std_logic_vector(15 downto 0) := (others => '0');
in_data_len : in std_logic_vector(10 downto 0) := (others => '0');
out_hdr_valid : out std_logic := '0';
out_src_port : out std_logic_vector(15 downto 0) := (others => '0');
out_dst_ip : out std_logic_vector(31 downto 0) := (others => '0');
out_dst_port : out std_logic_vector(15 downto 0) := (others => '0');
out_seq_num : out std_logic_vector(31 downto 0) := (others => '0');
out_ack_num : out std_logic_vector(31 downto 0) := (others => '0');
out_window : out std_logic_vector(15 downto 0) := (others => '0');
out_flag_urg : out std_logic := '0';
out_flag_ack : out std_logic := '0';
out_flag_psh : out std_logic := '0';
out_flag_rst : out std_logic := '0';
out_flag_syn : out std_logic := '0';
out_flag_fin : out std_logic := '0';
out_urgent_ptr : out std_logic_vector(15 downto 0) := (others => '0');
out_data_valid : out std_logic := '0';
out_data : out std_logic_vector(7 downto 0) := (others => '0'));
end component;
signal clk : STD_LOGIC;
signal read_en : std_logic := '0';
signal empty : std_logic := '1';
signal count : integer := 0;
signal in_src_port : std_logic_vector(15 downto 0) := (others => '0');
signal in_dst_ip : std_logic_vector(31 downto 0) := (others => '0');
signal in_dst_port : std_logic_vector(15 downto 0) := (others => '0');
signal in_seq_num : std_logic_vector(31 downto 0) := (others => '0');
signal in_ack_num : std_logic_vector(31 downto 0) := (others => '0');
signal in_window : std_logic_vector(15 downto 0) := (others => '0');
signal in_flag_urg : std_logic := '0';
signal in_flag_ack : std_logic := '0';
signal in_flag_psh : std_logic := '0';
signal in_flag_rst : std_logic := '0';
signal in_flag_syn : std_logic := '0';
signal in_flag_fin : std_logic := '0';
signal in_urgent_ptr : std_logic_vector(15 downto 0) := (others => '0');
signal in_data_addr : std_logic_vector(15 downto 0) := (others => '0');
signal in_data_len : std_logic_vector(10 downto 0) := (others => '0');
signal out_hdr_valid : std_logic := '0';
signal out_src_port : std_logic_vector(15 downto 0) := (others => '0');
signal out_dst_ip : std_logic_vector(31 downto 0) := (others => '0');
signal out_dst_port : std_logic_vector(15 downto 0) := (others => '0');
signal out_seq_num : std_logic_vector(31 downto 0) := (others => '0');
signal out_ack_num : std_logic_vector(31 downto 0) := (others => '0');
signal out_window : std_logic_vector(15 downto 0) := (others => '0');
signal out_flag_urg : std_logic := '0';
signal out_flag_ack : std_logic := '0';
signal out_flag_psh : std_logic := '0';
signal out_flag_rst : std_logic := '0';
signal out_flag_syn : std_logic := '0';
signal out_flag_fin : std_logic := '0';
signal out_urgent_ptr : std_logic_vector(15 downto 0) := (others => '0');
signal out_data_valid : std_logic := '0';
signal out_data : std_logic_vector(7 downto 0) := (others => '0');
begin
process
begin
wait for 5 ns;
clk <= '1';
wait for 5 ns;
clk <= '0';
end process;
clk_proc: process(clk)
begin
if rising_edge(clk) then
if count = 49 then
empty <= '0';
count <= 0;
else
count <= count + 1;
end if;
if read_en = '1' and empty = '0' then
in_src_port <= std_logic_vector(unsigned(in_src_port)+1);
in_dst_port <= std_logic_vector(unsigned(in_dst_port)+1);
in_data_len <= "00000010000";
empty <= '1';
end if;
end if;
end process;
uut: tcp_engine_add_data port map (
clk => clk,
read_en => read_en,
empty => empty,
in_src_port => in_dst_port,
in_dst_ip => in_dst_ip,
in_dst_port => in_dst_port,
in_seq_num => in_seq_num,
in_ack_num => in_ack_num,
in_window => in_window,
in_flag_urg => in_flag_urg,
in_flag_ack => in_flag_ack,
in_flag_psh => in_flag_psh,
in_flag_rst => in_flag_rst,
in_flag_syn => in_flag_syn,
in_flag_fin => in_flag_fin,
in_urgent_ptr => in_urgent_ptr,
in_data_addr => in_data_addr,
in_data_len => in_data_len,
out_hdr_valid => out_hdr_valid,
out_src_port => out_src_port,
out_dst_ip => out_dst_ip,
out_dst_port => out_dst_port,
out_seq_num => out_seq_num,
out_ack_num => out_ack_num,
out_window => out_window,
out_flag_urg => out_flag_urg,
out_flag_ack => out_flag_ack,
out_flag_psh => out_flag_psh,
out_flag_rst => out_flag_rst,
out_flag_syn => out_flag_syn,
out_flag_fin => out_flag_fin,
out_urgent_ptr => out_urgent_ptr,
out_data => out_data,
out_data_valid => out_data_valid);
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
-- The above libaries lines must be included in every VHDL file, before EVERY ENTITY!
--
-- Main circuit Entity: connects all wires to the FPGA IO pins.
-- PORT mapping - declare all wire connections to INput or OUTput pins.
-- Note that all signal names here are fixed by the "DE2_pins.csv" file which you must use for every lab
--
entity Lab1 is port(
key : in std_logic_vector(2 downto 0); -- 3 push buttons on the board - HIGH when not pressed
sw : in std_logic_vector(1 downto 1); -- use 1 out of 18 switches on the board LOW when down towards edge of board
ledr : out std_logic_vector(0 downto 0); -- 1 red LED, if lit, indicates brake control is on
ledg : out std_logic_vector(0 downto 0) -- 1 green LED, if lit, indicates gas control is on
);
end Lab1;
architecture CarSimulator of Lab1 is
--
-- Create the temporary variables reprensting our input signals
--
-- Signals are either a vector or not. A vector is a group of two or more signals
--
-- Note that there are two basic types and we nearly always use std_logic:
-- UNSIGNED is a signal which can be used to perform math operations such as +, -, *
-- std_logic_vector is a signal which can be used for logic operations such as OR, AND, NOT, XOR
--
signal gas, clutch, brake, override: std_logic; -- four signals for inputs
signal gas_control, brake_control: std_logic; -- two signals for LED outputs, green for gas, red for brake
-- The function of CarSimulator entity is defined here
begin
-- Associate the input signals with the corresponding engine function
-- The inputs are inverted to provide a conventionial user interface
gas <= not key(0);
clutch <= not key(1);
brake <= not key(2);
override <= not sw(1);
-- The outputs of gas_control and brake_control are defined with the following boolean functions
gas_control <= (not override) and (not brake) and (not clutch) and gas;
brake_control <= override or brake;
-- assign intermediate signals to output ports
ledg(0) <= gas_control;
ledr(0) <= brake_control;
end CarSimulator;
|
-- ____ _____
-- ________ _________ ____ / __ \/ ___/
-- / ___/ _ \/ ___/ __ \/ __ \/ / / /\__ \
-- / / / __/ /__/ /_/ / / / / /_/ /___/ /
-- /_/ \___/\___/\____/_/ /_/\____//____/
--
-- ======================================================================
--
-- title: IP-Core - PROC_CONTROL - Proc control implementation
--
-- project: ReconOS
-- author: Christoph Rüthing, University of Paderborn
-- description: The Proc Conrol is used to control the different
-- hardware parts through a single interface. It allows
-- to reset the HWTs seperately and asynchronously and
-- configures the MMU. To provide its functionality it
-- has several registers.
-- Register Definition (as seen from Bus):
-- Reg0: Number of HWT-Slots (OSIFS) - Read only
-- # all MMU related stuff
-- Reg1: PGD address - Read / Write
-- Reg2: Page fault address (only valid on interrupt)
-- read to clear interrupt, write after handling
-- Reg3: TLB hits - Read only
-- Reg4: TLB misses - Read only
-- # resets
-- Reg5: ReconOS reset (reset everything) - Write only
-- Reg6: HWT reset (multiple registers) - Write only
-- | x , x-1, ... | x-32 , x-33, ... 0 |
-- Reg7: HWT signal - Write only
-- | x , x-1, ... | x-32 , x-33, ... 0 |
--
-- Page fault handling works the following:
-- 1.) MMU raises MMU_Pgf
-- 2.) Proc control raises PROC_Pgf_Int
-- 3.) CPU clears interrupt by reading register 2
-- 4.) CPU handles page fault and acknowledges this
-- by writing to register 2
-- 5.) Proc control informs MMU by raising MMU_Ready
-- that the page fault has been handled
--
-- ======================================================================
<<reconos_preproc>>
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
entity reconos_proc_control_user_logic is
generic (
-- Proc Control parameters
C_NUM_HWTS : integer := 1;
-- Bus protocol parameters
C_NUM_REG : integer := 1;
C_SLV_DWIDTH : integer := 32
);
port (
-- PROC control ports
PROC_Hwt_Rst : out std_logic_vector(C_NUM_HWTS - 1 downto 0);
PROC_Hwt_Signal : out std_logic_vector(C_NUM_HWTS - 1 downto 0);
PROC_Sys_Rst : out std_logic;
PROC_Pgf_Int : out std_logic;
-- MMU related ports
MMU_Pgf : in std_logic;
MMU_Fault_Addr : in std_logic_vector(31 downto 0);
MMU_Retry : out std_logic;
MMU_Pgd : out std_logic_vector(31 downto 0);
MMU_Tlb_Hits : in std_logic_vector(31 downto 0);
MMU_Tlb_Misses : in std_logic_vector(31 downto 0);
-- Bus protocol ports
Bus2IP_Clk : in std_logic;
Bus2IP_Resetn : in std_logic;
Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0);
Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0);
Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0);
Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0);
IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
);
end entity reconos_proc_control_user_logic;
architecture imp of reconos_proc_control_user_logic is
constant NUM_HWT_REGS : integer := ((C_NUM_HWTS - 1) / C_SLV_DWIDTH) + 1;
type PGF_INT_STATE_TYPE is (WAIT_PGF, WAIT_CLEAR, WAIT_READY);
signal pgf_int_state : PGF_INT_STATE_TYPE;
type SYS_RESET_STATE_TYPE is (WAIT_RST, PERF_RST);
signal sys_reset_state : SYS_RESET_STATE_TYPE;
signal sys_reset_counter : std_logic_vector(3 downto 0);
signal pgd : std_logic_vector(31 downto 0);
signal fault_addr : std_logic_vector(31 downto 0);
signal tlb_hits : std_logic_vector(31 downto 0);
signal tlb_misses : std_logic_vector(31 downto 0);
signal sys_reset : std_logic;
signal hwt_reset : std_logic_vector(C_NUM_HWTS - 1 downto 0);
signal hwt_signal : std_logic_vector(C_NUM_HWTS - 1 downto 0);
signal hwt_reset_reg : std_logic_vector(NUM_HWT_REGS * C_SLV_DWIDTH - 1 downto 0);
signal hwt_signal_reg : std_logic_vector(NUM_HWT_REGS * C_SLV_DWIDTH - 1 downto 0);
-- Signals for user logic slave model s/w accessible register
signal slv_reg_write_sel : std_logic_vector(C_NUM_REG - 1 downto 0);
signal slv_reg_read_sel : std_logic_vector(C_NUM_REG - 1 downto 0);
signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH - 1 downto 0);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
signal clk : std_logic;
signal rst : std_logic;
begin
clk <= Bus2IP_Clk;
rst <= not Bus2IP_Resetn;
-- Dive bus signals
IP2Bus_Data <= slv_ip2bus_data;
slv_reg_write_sel <= Bus2IP_WrCE;
slv_reg_read_sel <= Bus2IP_RdCE;
slv_read_ack <= or_reduce(Bus2IP_RdCE);
slv_write_ack <= or_reduce(Bus2IP_WrCE);
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
-- proc realted signals
fault_addr <= MMU_Fault_Addr;
tlb_hits <= MMU_Tlb_Hits;
tlb_misses <= MMU_Tlb_Misses;
PROC_Hwt_Rst <= hwt_reset;
PROC_Hwt_Signal <= hwt_signal;
PROC_Sys_Rst <= sys_reset;
hwt_reset <= hwt_reset_reg(C_NUM_HWTS - 1 downto 0);
hwt_signal <= hwt_signal_reg(C_NUM_HWTS - 1 downto 0);
MMU_Pgd <= pgd;
-- page fault handlig (for details see description above)
pgf_int_proc : process(clk,rst) is
begin
if rst = '1' or sys_reset = '1' then
PROC_Pgf_Int <= '0';
pgf_int_state <= WAIT_PGF;
elsif rising_edge(clk) then
MMU_Retry <= '0';
case pgf_int_state is
when WAIT_PGF =>
if MMU_Pgf = '1' then
PROC_Pgf_Int <= '1';
pgf_int_state <= WAIT_CLEAR;
end if;
when WAIT_CLEAR =>
-- reading from page_fault_addr register
if slv_reg_read_sel(C_NUM_REG - 3) = '1' then
PROC_Pgf_Int <= '0';
pgf_int_state <= WAIT_READY;
end if;
when WAIT_READY =>
-- writing to page_fault_addr register
if slv_reg_write_sel(C_NUM_REG - 3) = '1' then
MMU_Retry <= '1';
pgf_int_state <= WAIT_PGF;
end if;
end case;
end if;
end process pgf_int_proc;
hwt_reset_proc : process(clk,rst) is
begin
if rst = '1' or sys_reset = '1' then
hwt_reset_reg <= (others => '1');
elsif rising_edge(clk) then
-- writing to hwt_reset
-- ignoring byte enable
for i in 0 to NUM_HWT_REGS - 1 loop
if slv_reg_write_sel(NUM_HWT_REGS * 2 - i - 1) = '1' then
hwt_reset_reg(32 * i + 31 downto 32 * i) <= Bus2IP_Data;
end if;
end loop;
end if;
end process hwt_reset_proc;
hwt_signal_proc : process(clk,rst) is
begin
if rst = '1' or sys_reset = '1' then
hwt_signal_reg <= (others => '0');
elsif rising_edge(clk) then
-- writing to hwt_signal
-- ignoring byte enable
for i in 0 to NUM_HWT_REGS - 1 loop
if slv_reg_write_sel(NUM_HWT_REGS - i - 1) = '1' then
hwt_signal_reg(32 * i + 31 downto 32 * i) <= Bus2IP_Data;
end if;
end loop;
end if;
end process hwt_signal_proc;
sys_reset_proc : process(clk,rst) is
begin
if rst = '1' then
sys_reset <= '1';
sys_reset_state <= PERF_RST;
sys_reset_counter <= (others => '0');
elsif rising_edge(clk) then
sys_reset <= '0';
case sys_reset_state is
when WAIT_RST =>
if slv_reg_write_sel(C_NUM_REG - 6) = '1' then
sys_reset_state <= PERF_RST;
sys_reset <= '1';
sys_reset_counter <= (others => '0');
end if;
when PERF_RST =>
sys_reset <= '1';
sys_reset_counter <= sys_reset_counter + 1;
if and_reduce(sys_reset_counter) = '1' then
sys_reset_state <= WAIT_RST;
end if;
end case;
end if;
end process sys_reset_proc;
pgd_proc : process(clk,rst) is
begin
if rst = '1' or sys_reset = '1' then
pgd <= (others => '0');
else
if rising_edge(clk) then
if slv_reg_write_sel(C_NUM_REG - 2) = '1' then
pgd <= Bus2IP_Data;
end if;
end if;
end if;
end process pgd_proc;
bus_reg_read_proc : process(slv_reg_read_sel) is
begin
case slv_reg_read_sel(C_NUM_REG - 1 downto C_NUM_REG - 6) is
when "100000" => slv_ip2bus_data <= CONV_STD_LOGIC_VECTOR(C_NUM_HWTS, C_SLV_DWIDTH);
when "010000" => slv_ip2bus_data <= pgd;
when "001000" => slv_ip2bus_data <= fault_addr;
when "000100" => slv_ip2bus_data <= tlb_hits;
when "000010" => slv_ip2bus_data <= tlb_misses;
when others => slv_ip2bus_data <= (others => '0');
end case;
end process bus_reg_read_proc;
end imp;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: svgactrl
-- File: svgactrl.vhd
-- Author: Hans Soderlund
-- Modified: Jiri Gaisler, Edvin Catovic, Jan Andersson
-- Contact: [email protected]
-- Description: SVGA Controller core
-----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.misc.all;
entity svgactrl is
generic(
length : integer := 384; -- FIFO length in 32-bit words
part : integer := 128; -- FIFO-part length in 32-bit words
memtech : integer := DEFMEMTECH;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
hindex : integer := 0;
hirq : integer := 0;
clk0 : integer := 40000;
clk1 : integer := 20000;
clk2 : integer := 15385;
clk3 : integer := 0;
burstlen : integer range 2 to 8 := 8;
ahbaccsz : integer := 32;
asyncrst : integer range 0 to 1 := 0 -- Enable async. reset of VGA CD
);
port (
rst : in std_logic; -- Synchronous reset
clk : in std_logic;
vgaclk : in std_logic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
vgao : out apbvga_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
clk_sel : out std_logic_vector(1 downto 0);
arst : in std_ulogic := '1' -- Asynchronous reset
);
end ;
architecture rtl of svgactrl is
constant REVISION : amba_version_type := 0;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_SVGACTRL, 0, REVISION, 0),
1 => apb_iobar(paddr, pmask));
-- Calculates the required number of address bits for 32 bit buffer
function addrbits return integer is
begin
for i in 1 to 30 loop
if (2**i >= length) then return(i);
end if;
end loop;
return(30);
end function addrbits;
constant WPAC : integer := ahbaccsz/32; -- Words Per AHB Access.
constant FIFO_DW : integer := ahbaccsz; -- FIFO data width
constant FIFOCNTR : integer := log2(WPAC);
constant ABITS : integer := addrbits - FIFOCNTR; -- FIFO address bits
constant FIFOCNTL : integer := addrbits - 1;
subtype FIFO_CNT_R is natural range FIFOCNTL downto FIFOCNTR;
constant BURSTL : integer := burstlen + 1;
constant BURSTR : integer := log2(ahbaccsz/8);
type register_type is array (1 to 5) of std_logic_vector(31 downto 0);
type state_type is (running, not_running, reset);
type read_type is record
read_pointer : std_logic_vector(FIFOCNTL downto 0);
read_pointer_out : std_logic_vector(FIFOCNTL downto 0);
sync : std_logic_vector(2 downto 0);
data_out : std_logic_vector(23 downto 0);
lock : std_logic;
index : std_logic_vector(1 downto 0);
read_pointer_clut : std_logic_vector(7 downto 0);
hcounter : std_logic_vector(15 downto 0);
vcounter : std_logic_vector(15 downto 0);
fifo_ren : std_logic;
fifo_en : std_logic;
hsync : std_logic ;
vsync : std_logic ;
csync : std_logic ;
blank : std_logic ;
hsync2 : std_logic ;
vsync2 : std_logic ;
csync2 : std_logic ;
blank2 : std_logic ;
end record;
type control_type is record
int_reg : register_type;
state : state_type;
enable : std_logic;
reset : std_logic;
sync_c : std_logic_vector(2 downto 0);
sync_w : std_logic_vector(2 downto 0);
write_pointer_clut : std_logic_vector(7 downto 0);
datain_clut : std_logic_vector(23 downto 0);
write_en_clut : std_logic;
address : std_logic_vector(31 downto 0);
start : std_logic;
write_pointer : integer range 0 to length/WPAC;
ram_address : integer range 0 to length/WPAC;
data : std_logic_vector(FIFO_DW-1 downto 0);
level : integer range 0 to part/WPAC + 1;
status : integer range 0 to 3;
hpolarity : std_ulogic;
vpolarity : std_ulogic;
func : std_logic_vector(1 downto 0);
clk_sel : std_logic_vector(1 downto 0);
end record;
type sync_regs is record
s1 : std_logic_vector(2 downto 0);
s2 : std_logic_vector(2 downto 0);
s3 : std_logic_vector(2 downto 0);
end record;
signal t,tin : read_type;
signal r,rin : control_type;
signal sync_w : sync_regs;
signal sync_ra : sync_regs;
signal sync_rb : sync_regs;
signal sync_c : sync_regs;
signal read_status : std_logic_vector(2 downto 0);
signal write_status : std_logic_vector(2 downto 0);
signal write_en : std_logic;
signal res_mod :std_logic;
signal en_mod : std_logic;
signal fifo_en : std_logic;
signal dmai : ahb_dma_in_type;
signal dmao : ahb_dma_out_type;
signal equal : std_logic;
signal hmax : std_logic_vector(15 downto 0);
signal hfporch : std_logic_vector(15 downto 0);
signal hsyncpulse : std_logic_vector(15 downto 0);
signal hvideo : std_logic_vector(15 downto 0);
signal vmax : std_logic_vector(15 downto 0);
signal vfporch : std_logic_vector(15 downto 0);
signal vsyncpulse : std_logic_vector(15 downto 0);
signal vvideo : std_logic_vector(15 downto 0);
signal write_pointer_clut : std_logic_vector(7 downto 0);
signal read_pointer_clut : std_logic_vector(7 downto 0);
signal read_pointer_fifo : std_logic_vector((ABITS-1) downto 0);
signal write_pointer_fifo : std_logic_vector((ABITS-1) downto 0);
signal datain_clut : std_logic_vector(23 downto 0);
signal dataout_clut : std_logic_vector(23 downto 0);
signal dataout_fifo : std_logic_vector((FIFO_DW-1) downto 0);
signal datain_fifo : std_logic_vector((FIFO_DW-1) downto 0);
signal write_en_clut, read_en_clut : std_logic;
signal vcc : std_logic;
signal read_en_fifo, write_en_fifo : std_logic;
begin
vcc <= '1';
ram0 : syncram_2p
generic map (
tech => memtech,
abits => ABITS,
dbits => FIFO_DW,
sepclk => 1)
port map (
rclk => vgaclk,
renable => read_en_fifo,
raddress => read_pointer_fifo,
dataout => dataout_fifo,
wclk => clk,
write => write_en_fifo,
waddress => write_pointer_fifo,
datain => datain_fifo);
clutram : syncram_2p
generic map (
tech => memtech,
abits => 8,
dbits => 24,
sepclk => 1)
port map (
rclk => vgaclk,
renable => read_en_clut,
raddress => read_pointer_clut,
dataout => dataout_clut,
wclk => clk,
write => write_en_clut,
waddress => write_pointer_clut,
datain => datain_clut);
ahb_master : ahbmst generic map (hindex, hirq, VENDOR_GAISLER,
GAISLER_SVGACTRL, 0, 3, 1)
port map (rst, clk, dmai, dmao, ahbi, ahbo);
apbo.pirq <= (others => '0');
apbo.pindex <= pindex;
apbo.pconfig <= pconfig;
control_proc : process(r,rst,sync_c,apbi,fifo_en,write_en,read_status,dmao,res_mod,sync_w)
variable v : control_type;
variable apbrdata : std_logic_vector(31 downto 0);
variable apbwrite : std_logic;
variable we_fifo : std_logic;
begin
v := r; v.write_en_clut := '0'; apbrdata := (others =>'0'); we_fifo := '0';
---------------------------------------------------------------------------
-- Control. Handles the APB accesses and stores the internal registers
---------------------------------------------------------------------------
apbwrite := apbi.psel(pindex) and apbi.pwrite and apbi.penable;
case apbi.paddr(5 downto 2) is
when "0000" =>
-- Status register
if apbwrite = '1' then
v.enable := apbi.pwdata(0);
v.reset := apbi.pwdata(1);
v.hpolarity := apbi.pwdata(8);
v.vpolarity := apbi.pwdata(9);
v.func := apbi.pwdata(5 downto 4);
v.clk_sel := apbi.pwdata(7 downto 6);
end if;
apbrdata(9 downto 0) := r.vpolarity & r.hpolarity & r.clk_sel &
r.func & fifo_en & '0' & r.reset & r.enable;
when "1010" =>
-- CLUT access register
if apbwrite = '1' then
v.datain_clut := apbi.pwdata(23 downto 0);
v.write_pointer_clut := apbi.pwdata(31 downto 24);
v.write_en_clut := '1';
end if;
when "0001" =>
-- Video length register
if apbwrite = '1' then v.int_reg(1) := apbi.pwdata; end if;
apbrdata := r.int_reg(1);
when "0010" =>
-- Front porch register
if apbwrite = '1' then v.int_reg(2) := apbi.pwdata; end if;
apbrdata := r.int_reg(2);
when "0011" =>
-- Sync length register
if apbwrite = '1' then v.int_reg(3) := apbi.pwdata; end if;
apbrdata := r.int_reg(3);
when "0100" =>
-- Line length register
if apbwrite = '1' then v.int_reg(4) := apbi.pwdata; end if;
apbrdata := r.int_reg(4);
when "0101" =>
-- Framebuffer memory position register
if apbwrite = '1' then v.int_reg(5) := apbi.pwdata; end if;
apbrdata := r.int_reg(5);
-- Dynamic clock registers 0 - 3
when "0110" => apbrdata := conv_std_logic_vector(clk0,32);
when "0111" => apbrdata := conv_std_logic_vector(clk1,32);
when "1000" => apbrdata := conv_std_logic_vector(clk2,32);
when "1001" => apbrdata := conv_std_logic_vector(clk3,32);
when others =>
end case;
---------------------------------------------------------------------------
-- Control state machine
---------------------------------------------------------------------------
case r.state is
when running =>
if r.enable = '0' then
v.sync_c := "011";
v.state := not_running;
end if;
when not_running =>
if r.enable = '1' then
v.sync_c := "001";
v.state := reset;
end if;
when reset =>
if sync_c.s3 = "001" then
v.sync_c := "010";
v.state := running;
end if;
end case;
---------------------------------------------------------------------------
-- Control reset
---------------------------------------------------------------------------
if r.reset = '1' or rst = '0' then
v.state := not_running;
v.enable := '0';
v.int_reg := (others => (others => '0'));
v.sync_c := "011";
v.reset := '0';
v.clk_sel := "00";
end if;
---------------------------------------------------------------------------
-- Write part. This part reads from the memory framebuffer and places the
-- data in the designated fifo specified from the generic.
---------------------------------------------------------------------------
v.start := '0';
if write_en = '0' then
if (r.start or not dmao.active) = '1' then v.start := '1'; end if;
-- AHB access and FIFO write
if dmao.ready = '1' then
v.data := ahbreaddata(dmao.rdata, r.address(4 downto 2),
conv_std_logic_vector(log2(FIFO_DW/8), 3));
v.ram_address := v.write_pointer;
v.write_pointer := v.write_pointer + 1; we_fifo := '1';
if v.write_pointer = length/WPAC then
v.write_pointer := 0;
end if;
v.level := v.level + 1;
if dmao.haddr = (9 downto 0 => '0') then
v.address := (v.address(31 downto 10) + 1) & dmao.haddr;
else
v.address := v.address(31 downto 10) & dmao.haddr;
end if;
if (dmao.haddr(BURSTL downto 0) =
((BURSTL downto BURSTR => '1') & zero32(BURSTR-1 downto 0))) then
v.start := '0';
end if;
end if;
-- FIFO sync
v.sync_w := v.sync_w and read_status;
if v.level >= (part/WPAC-1) then
if read_status(r.status) = '1' and v.sync_w(r.status) = '0' and v.level = part/WPAC then
v.level := 0;
if r.status = 0 then
v.sync_w(2) := '1';
else
v.sync_w(r.status -1) := '1';
end if;
v.status := v.status + 1;
if v.status = 3 then
v.status := 0;
end if;
else
v.start := '0';
end if;
end if;
end if;
---------------------------------------------------------------------------
--- Write reset part
---------------------------------------------------------------------------
if res_mod = '0' or write_en = '1' then
if dmao.active = '0' then v.address := r.int_reg(5); end if;
v.start := '0';
v.sync_w := "000";
v.status := 1;
v.ram_address := 0;
v.write_pointer := 0;
v.level := 0;
end if;
if (r.start and dmao.active and not dmao.ready) = '1' then
v.start := '1';
end if;
---------------------------------------------------------------------------
-- Drive process outputs
---------------------------------------------------------------------------
rin <= v;
sync_c.s1 <= v.sync_c;
sync_w.s1 <= r.sync_w;
res_mod <= sync_c.s3(1);
en_mod <= sync_c.s3(0);
write_status <= sync_w.s3;
hvideo <= r.int_reg(1)(15 downto 0);
vvideo <= r.int_reg(1)(31 downto 16);
hfporch <= r.int_reg(2)(15 downto 0);
vfporch <= r.int_reg(2)(31 downto 16);
hsyncpulse <= r.int_reg(3)(15 downto 0);
vsyncpulse <= r.int_reg(3)(31 downto 16);
hmax <= r.int_reg(4)(15 downto 0);
vmax <= r.int_reg(4)(31 downto 16);
apbo.prdata <= apbrdata;
dmai.wdata <= (others => '0');
dmai.burst <= '1';
dmai.irq <= '0';
dmai.size <= conv_std_logic_vector(log2(ahbaccsz/8), 3);
dmai.write <= '0';
dmai.busy <= '0';
dmai.start <= r.start and r.enable;
dmai.address <= r.address;
write_pointer_fifo <= conv_std_logic_vector(v.ram_address, ABITS);
write_pointer_clut <= r.write_pointer_clut;
datain_fifo <= v.data;
datain_clut <= r.datain_clut;
write_en_clut <= r.write_en_clut;
clk_sel <= r.clk_sel;
write_en_fifo <= we_fifo;
end process;
read_proc : process(t, res_mod, en_mod, write_status, dataout_fifo, sync_rb,
dataout_clut, vmax, hmax, hvideo, hfporch, hsyncpulse,
vvideo, vfporch, vsyncpulse, sync_ra, r)
variable v : read_type;
variable inc_pointer : std_logic;
variable fifo_word : std_logic_vector(31 downto 0);
variable rpo1 : std_logic_vector(1 downto 0);
variable rpo2 : std_logic_vector(2 downto 0);
begin
v := t; fifo_word := (others => '0');
rpo1 := (others => '0'); rpo2 := (others => '0');
v.vsync2 := t.vsync; v.hsync2 := t.hsync; v.csync2 := t.csync;
v.blank2 := t.blank;
---------------------------------------------------------------------------
-- Sync signals generation
---------------------------------------------------------------------------
if en_mod = '0' then
-- vertical counter
if (t.vcounter = vmax ) and (t.hcounter = hmax ) then
v.vcounter := (others => '0');
elsif t.hcounter = hmax then
v.vcounter := t.vcounter + 1;
end if;
-- horizontal counter
if t.hcounter < hmax then v.hcounter := t.hcounter + 1;
else v.hcounter := (others => '0'); end if;
-- generate hsync
if t.hcounter < (hvideo+hfporch+hsyncpulse) and (t.hcounter > (hvideo+hfporch-1)) then
v.hsync := r.hpolarity;
else v.hsync := not r.hpolarity; end if;
-- generate vsync
if t.vcounter <= (vvideo+vfporch+vsyncpulse) and (t.vcounter > (vvideo+vfporch)) then
v.vsync := r.vpolarity;
else v.vsync := not r.vpolarity; end if;
--generate csync & blank signal
v.csync := not (v.hsync xor v.vsync);
v.blank := not t.fifo_ren;
--generate fifo_ren signal
if (t.hcounter = (hmax-1) and t.vcounter = vmax) or
(t.hcounter = (hmax-1) and t.vcounter < vvideo) then
v.fifo_ren := '0';
elsif t.hcounter = (hvideo-1) and t.vcounter <= vvideo then
v.fifo_ren := '1';
end if;
--generate fifo_en signal
if t.vcounter = vmax then
v.fifo_en := '0';
elsif t.vcounter = vvideo and t.hcounter = (hvideo-1) then
v.fifo_en := '1';
end if;
else
-- Prevent uninitialized fifo_en signal that leads to uninitialized
-- bit in APB status register
v.fifo_en := '1';
end if;
if r.func /= "01" then -- do not delay strobes when not using CLUT
v.vsync2 := v.vsync; v.hsync2 := v.hsync; v.csync2 := v.csync;
v.blank2 := v.blank;
end if;
---------------------------------------------------------------------------
-- Sync reset
---------------------------------------------------------------------------
if res_mod = '0' then
v.hcounter := hmax;
v.vcounter := vmax - 1;
v.hsync := r.hpolarity;
v.vsync := r.vpolarity;
v.blank := '0';
v.fifo_ren := '1';
v.fifo_en := '1';
end if;
---------------------------------------------------------------------------
-- Read from fifo.
---------------------------------------------------------------------------
inc_pointer := '0';
if t.fifo_en = '0' then
-- Fifo sync
if ((t.read_pointer_out = zero32(t.read_pointer_out'range) or
t.read_pointer_out = conv_std_logic_vector(part, FIFOCNTL+1) or
t.read_pointer_out = conv_std_logic_vector(2*part, FIFOCNTL+1)) and
t.fifo_ren = '0' and v.index = "00") then
case t.sync is
when "111" | "011" =>
if write_status(0) = '1' then
v.sync := "110"; v.lock := '0';
else v.lock := '1'; end if;
when "110" =>
if write_status(1) = '1' then
v.sync := "101"; v.lock := '0';
else v.lock := '1'; end if;
when "101" =>
if write_status(2) = '1' then
v.sync := "011"; v.lock := '0';
else v.lock := '1'; end if;
when others => null;
end case;
end if;
-------------------------------------------------------------------------
-- FIFO read and CLUT access
-------------------------------------------------------------------------
if t.fifo_ren = '0' and v.lock = '0' then
if FIFO_DW = 32 then
fifo_word(FIFO_DW-1 downto 0) := dataout_fifo(FIFO_DW-1 downto 0);
elsif FIFO_DW = 64 then
if t.read_pointer_out(0) = '0' then
fifo_word(FIFO_DW/2-1 downto 0) :=
dataout_fifo(FIFO_DW-1 downto FIFO_DW/2);
else
fifo_word(FIFO_DW/2-1 downto 0) :=
dataout_fifo(FIFO_DW/2-1 downto 0);
end if;
elsif FIFO_DW = 128 then
rpo1 := t.read_pointer_out(1 downto 0);
case rpo1 is
when "00" =>
fifo_word(FIFO_DW/4-1 downto 0) :=
dataout_fifo(FIFO_DW-1 downto 3*(FIFO_DW/4));
when "01" =>
fifo_word(FIFO_DW/4-1 downto 0) :=
dataout_fifo(3*(FIFO_DW/4)-1 downto 2*(FIFO_DW/4));
when "10" =>
fifo_word(FIFO_DW/4-1 downto 0) :=
dataout_fifo(2*(FIFO_DW/4)-1 downto 1*(FIFO_DW/4));
when others =>
fifo_word(FIFO_DW/4-1 downto 0) :=
dataout_fifo((FIFO_DW/4)-1 downto 0);
end case;
elsif FIFO_DW = 256 then
rpo2 := t.read_pointer_out(2 downto 0);
case rpo2 is
when "000" =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo(FIFO_DW-1 downto 7*(FIFO_DW/8));
when "001" =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo(7*(FIFO_DW/8)-1 downto 6*(FIFO_DW/8));
when "010" =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo(6*(FIFO_DW/8)-1 downto 5*(FIFO_DW/8));
when "011" =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo(5*(FIFO_DW/8)-1 downto 4*(FIFO_DW/8));
when "100" =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo(4*(FIFO_DW/8)-1 downto 3*(FIFO_DW/8));
when "101" =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo(3*(FIFO_DW/8)-1 downto 2*(FIFO_DW/8));
when "110" =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo(2*(FIFO_DW/8)-1 downto 1*(FIFO_DW/8));
when others =>
fifo_word(FIFO_DW/8-1 downto 0) :=
dataout_fifo((FIFO_DW/8)-1 downto 0);
end case;
end if;
case r.func is
when "01" =>
if t.index = "00" then
v.read_pointer_clut := fifo_word(31 downto 24);
v.index := "01";
elsif t.index = "01" then
v.read_pointer_clut := fifo_word(23 downto 16);
v.index := "10";
elsif t.index = "10" then
v.read_pointer_clut := fifo_word(15 downto 8);
v.index := "11";
else
v.read_pointer_clut := fifo_word(7 downto 0);
v.index := "00"; inc_pointer := '1';
end if;
v.data_out := dataout_clut;
when "10" =>
if t.index = "00" then
v.data_out := fifo_word(31 downto 27) & "000" &
fifo_word(26 downto 21) & "00" &
fifo_word(20 downto 16) & "000";
v.index := "01";
else
v.data_out := fifo_word(15 downto 11) & "000" &
fifo_word(10 downto 5) & "00" &
fifo_word(4 downto 0) & "000";
v.index := "00"; inc_pointer := '1';
end if;
when "11" =>
v.data_out := fifo_word(23 downto 0);
v.index := "00"; inc_pointer := '1';
when others =>
v.data_out := (23 downto 0 => '1');
v.index := "00"; inc_pointer := '1';
end case;
else
v.data_out := (others => '0');
end if;
if inc_pointer = '1' then
v.read_pointer_out := t.read_pointer;
v.read_pointer := t.read_pointer + 1;
if v.read_pointer(FIFO_CNT_R) = conv_std_logic_vector(length/WPAC, ABITS) then
v.read_pointer := (others => '0');
end if;
if v.read_pointer_out(FIFO_CNT_R) = conv_std_logic_vector(length/WPAC, ABITS) then
v.read_pointer_out := (others => '0');
end if;
end if;
else
v.data_out := (others => '0');
end if;
---------------------------------------------------------------------------
-- FIFO read reset
---------------------------------------------------------------------------
if res_mod = '0' or t.fifo_en = '1' then
v.sync := "111";
v.read_pointer_out := (others => '0');
v.read_pointer := conv_std_logic_vector(1, ABITS+FIFOCNTR);
v.data_out := (others => '0');
v.lock := '1';
v.index := "00";
v.read_pointer_clut := (others => '0');
end if;
---------------------------------------------------------------------------
-- Assign outputs
---------------------------------------------------------------------------
tin <= v;
sync_ra.s1 <= t.sync;
sync_rb.s1 <= t.fifo_en & "00";
read_status <= sync_ra.s3;
write_en <= sync_rb.s3(2);
fifo_en <= t.fifo_en;
read_pointer_clut <= v.read_pointer_clut;
read_pointer_fifo <= v.read_pointer_out(FIFO_CNT_R);
read_en_fifo <= not v.fifo_ren;
read_en_clut <= not v.fifo_ren and not r.func(1) and r.func(0);
vgao.video_out_r <= t.data_out(23 downto 16);
vgao.video_out_g <= t.data_out(15 downto 8);
vgao.video_out_b <= t.data_out(7 downto 0);
vgao.hsync <= t.hsync2;
vgao.vsync <= t.vsync2;
vgao.comp_sync <= t.csync2;
vgao.blank <= t.blank2;
vgao.bitdepth <= r.func;
end process;
-----------------------------------------------------------------------------
-- Registers in system clock domain
-----------------------------------------------------------------------------
proc_clk : process(clk)
begin
if rising_edge(clk) then
r <= rin; -- Control
sync_ra.s2 <= sync_ra.s1; -- Write
sync_ra.s3 <= sync_ra.s2; -- Write
sync_rb.s2 <= sync_rb.s1; -- Write
sync_rb.s3 <= sync_rb.s2; -- Write
end if;
end process;
-----------------------------------------------------------------------------
-- Registers in video clock domain
-----------------------------------------------------------------------------
proc_vgaclk : process(arst, vgaclk)
begin
if asyncrst = 1 and arst = '0' then
t.fifo_en <= '1';
sync_c.s2 <= "011";
sync_c.s3 <= "011";
elsif rising_edge(vgaclk) then
t <= tin; -- Read
sync_c.s2 <= sync_c.s1; -- Control
sync_c.s3 <= sync_c.s2; -- Control
sync_w.s2 <= sync_w.s1; -- Read
sync_w.s3 <= sync_w.s2; -- Read
end if;
end process;
-- Boot message
-- pragma translate_off
bootmsg : report_version
generic map (
"svgactrl" & tost(pindex) & ": SVGA controller rev " &
tost(REVISION) & ", FIFO length: " & tost(length) &
", FIFO part length: " & tost(part) &
", FIFO address bits: " & tost(ABITS) &
", AHB access size: " & tost(ahbaccsz) & " bits");
-- pragma translate_on
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qvnP+TCG9SuXRvv7a3rmuAv2C6rsYM8jAKFPno/STh9idqR5n0FK43o3aY0omE0ZwrzY2ttHqnIj
3dqICvMRlw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GBOodxVyVUrkAi59/KekQELXvq690plpxovv4wFnUwDONHz0YOQk6eJs/2bJDQJGejj9wuVDqsbg
95gtN/U6GB9hHLJIX9sliuWeFQwGDPNn3O236v9ZTpQMiWuuja0hofL/S999Mqgr3u0cbTNoYkdE
fs3C94VadzwBdg2guhU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Cp8sEszpVFNPLJPbdP65Nzx/o8LDk5SPLkg5C3O8IHenLI6lEcUl5CEFNcD+mQzHJLbB3ViDw5OZ
sgSNOhpwRRTqjSPTxsdLlccEWzLDsox0Z/Suhw56InB+FChiRoMvwza4YKAkrc0mjoslVnYYOLvY
03Q0eCkX+SSnInS439o1sjZOOOua09vETcssSw3+gamMWM8ikrd3CoLzo8+E3VpZu4xFZVyl2Ow6
cacHGQmFeanhwzkrMvbsmRFeT9LmjLq+lBUxpwJGJr2w9sAJ0MZFqUwo8xyUHzB8x4oZRQo2e/w7
cJ+zASBCZsksLQQ4k9p1S5COjfq4YudL0nH/bA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pa8yyOZJFNW+wentPuYPmJYKYoikN5fKHznWMzdv7L8didyyoHPytL8YzqL4fwRIBlRmogb/E98u
fBDEldyIabwfxetVJOoLC96J75pfn6s08GUEu50qfO6ne5nrYpXBm6rLgqcAlL7ZOYpNkrNXXTrv
zjq9I8LcvtMOD8N17Jk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HagCWHSO/Xsb1R5I+VaMowWwTeTXVdAudCC77O1kf7f5N8TsxigBkB7r1lAKxGgbrhJmGbCbdPPv
sc4tSQGz+l2hTPDz/7E/onmC8PZo3jnStfGPUiuN5D+kgfYW/Z9/1IyYWsoof3wsdjy+1CzG+vd0
uyMOeu+yCf2pLJxP1VcmeUT/ya+wVBaDRcPou33SroIYIPNIJRhTtxPOGkokQTIkDXStEKfhU2Wt
ZdVXorAtCb5O5KE16dibeZasxjhJkW1WqFfpcx0FM7z3ntCextcpI7pbpW0yrzGqITyOHwmCJiGe
Rs9RdBls7mIEhyC6sBY8Mdt/h0nfNqgp7VYi7w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 272288)
`protect data_block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=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qvnP+TCG9SuXRvv7a3rmuAv2C6rsYM8jAKFPno/STh9idqR5n0FK43o3aY0omE0ZwrzY2ttHqnIj
3dqICvMRlw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GBOodxVyVUrkAi59/KekQELXvq690plpxovv4wFnUwDONHz0YOQk6eJs/2bJDQJGejj9wuVDqsbg
95gtN/U6GB9hHLJIX9sliuWeFQwGDPNn3O236v9ZTpQMiWuuja0hofL/S999Mqgr3u0cbTNoYkdE
fs3C94VadzwBdg2guhU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Cp8sEszpVFNPLJPbdP65Nzx/o8LDk5SPLkg5C3O8IHenLI6lEcUl5CEFNcD+mQzHJLbB3ViDw5OZ
sgSNOhpwRRTqjSPTxsdLlccEWzLDsox0Z/Suhw56InB+FChiRoMvwza4YKAkrc0mjoslVnYYOLvY
03Q0eCkX+SSnInS439o1sjZOOOua09vETcssSw3+gamMWM8ikrd3CoLzo8+E3VpZu4xFZVyl2Ow6
cacHGQmFeanhwzkrMvbsmRFeT9LmjLq+lBUxpwJGJr2w9sAJ0MZFqUwo8xyUHzB8x4oZRQo2e/w7
cJ+zASBCZsksLQQ4k9p1S5COjfq4YudL0nH/bA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pa8yyOZJFNW+wentPuYPmJYKYoikN5fKHznWMzdv7L8didyyoHPytL8YzqL4fwRIBlRmogb/E98u
fBDEldyIabwfxetVJOoLC96J75pfn6s08GUEu50qfO6ne5nrYpXBm6rLgqcAlL7ZOYpNkrNXXTrv
zjq9I8LcvtMOD8N17Jk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HagCWHSO/Xsb1R5I+VaMowWwTeTXVdAudCC77O1kf7f5N8TsxigBkB7r1lAKxGgbrhJmGbCbdPPv
sc4tSQGz+l2hTPDz/7E/onmC8PZo3jnStfGPUiuN5D+kgfYW/Z9/1IyYWsoof3wsdjy+1CzG+vd0
uyMOeu+yCf2pLJxP1VcmeUT/ya+wVBaDRcPou33SroIYIPNIJRhTtxPOGkokQTIkDXStEKfhU2Wt
ZdVXorAtCb5O5KE16dibeZasxjhJkW1WqFfpcx0FM7z3ntCextcpI7pbpW0yrzGqITyOHwmCJiGe
Rs9RdBls7mIEhyC6sBY8Mdt/h0nfNqgp7VYi7w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 272288)
`protect data_block
PpNZ/e3KODH8af1haqCiAcrXGybbF7Uym0XDpYm/sBhNIq3hbnvm0dlevSxu6Xhq9JTv/ja+e617
J8kWSUTddKptqXsvpZbLkLFwNay+N0AWqyuFWUP1u+9H5ZwkG3uWgUrAVn92bHddKsn+Wt6vqZmL
uMZxCXLNBRLmSWCM6U9PhflDO7PQVKq2xyVDBotkFc9x1M42AuIGdPqILXugUZgdzq6j18KlgGyk
6l0nGQdEjASksHLZEzk5IjmftdH5/gWPx7TPxj1WGCBnsOoey9MOe/BQFd+eACHjaMZZn/dvy0X3
lwqwEs+RZikTmNHGVWPl2fXoOPW8cTocvHiFVHdqGuRHyBc0E5NwrWnQe3mK81gjIjg9tylrr3yT
qVTEtOC87rTfNip5aQOE7NyC+Qptc2pdODWCCGEVkGPOG5Dq6CiiMLAvaQuQU7klcnIvABK/1Eb1
M6i5btMBG3Sk1LdyNJNssBTiORO1bQStALo6fqVcZKbjDx5DVdfxsNlJbfXBJrjUvzHyjFIyxhVl
wbVMmWdk46rlDyGBoVIuSZEUDTJJohbSzlIauLs7YQnDAmvk73cclza2vJYbSh5VoQtH01pjZ0QX
UWg+rCGLKhgJYPduxLkFTQ24aMcZRc/1usRcgut7cfbcy1Eo5wCSSvN3/h2KEALpnnFwH19p9yPa
e8A5/I/5+dNsMcDWaRMN4u4H8jG9wOAEayfo2t70iq70+OOa3OUBVUS+HLclNtkEUC1hw1FOGmam
gk+I4iKbVHn3fcdV2ys2YXDetX812IZs24Hm8iqbFYzTMdSfJnVquq4ZmhZcjnWQDcUqHRX5IYVa
d0yEaCIvqFYJsLxGcdCqELXm2ZvvhAzA1Xh9si7mi1nbrb04wEK1HlHXw+s11Jm4E9wIHZdauRRf
o4Xs77Pzgv3TOPUcpSbht7ZN4h9tlLMiE9zFRJnONNMYADgLu88BkkKyYwln4iUc6syZYP6UlW1L
sdbzreCCz1jpTKF/502NkQ5HdAlAls5x4Jk+0w36bohlGvI8XxaK3KSkaYl5oSSZbrzb03EDNZWM
nQIv7Lj+EojGq52bAHHa9UQqYF2CklQUKu96sFT21NaFt6hOBMY/QON2uoBAKwcKLyf3jAOHiN/e
JmT768qjlZEpR3/paTbviNi77YEvyt4mxnHwGgotsqgdcjoFRXxEj92CZ/7AvhmWitSWDp2/rsx2
qKKwZSODMBtSthpJdYZuMad6gJXShRMyleQeRg4OTxuZvrRfXvehx+RpvCkW/uoVWv01/CWMOuJA
4zUZPPkrLOtW90ERQui5Zz5pGuycLzuLn/mjUH2JtegKipesLXQp5WIXNBolqpWT/t5PxjgAOiPY
/miAazNAPHjzdVJtGb0JbcTzm879GrcFrLZRiP1TzmqFQOwmjonYul7hY7x3JFM4XHn7cr8SwF3A
B5I72A1fluHdc2fw/Qj8X49PVC+oX83JAuW8BhRW6WJCF5YGglBHpMpXgRxAASp5NlsOhKFhrnhq
yyjIYFw7FB/7czMp6xNjii9KB+vhr/IvpDPnyS/uGo/Ty/lIbLur9f7mx+oRmHOhjgvour9EGWsi
3liu4P8fcD5sSPQiXWjCUqIdpA3czuvZUUG2WoFNp3494nId/qqZWsOXMgUqIB/Xkb0cXBTYl9nM
W2UTKY/IeOEPh/ccAwG8Urj3uPFWszuTa5mxYxOb/bRzIZBsE1BpMDmF0NT7PJQ3QSBUlOjU/D/h
c57mN995yxjgm/74vPIF/Dapwwy/wEBUMQmbWJ2Xujkgk9M/UlFgKTvLcRdV37GFl7WODuz7Utdw
iwi7yaAceHQ49oHeWH2g9yia+Q9/s7NLBvF+hJ9Trx3LFC/ZTJT6YouROGq/ZM+MtQe7tfS8Gm6e
EbrBBbGhKHJ+20dEslZDp22jAQ1sezg01MxeD6FoPIme6nh7woavT0DYfKDSn4V/waRWKU6uoNUn
hUcsP8k1jHukT3B8F8yjeH28AUH4JvqEgBRtWAq00lPmfPvDS9q1KuTmD+uxnnMc062ukeVpCGE1
WBz2VTmRrdvkjWAsWTnpYH3lxcMAw861AkO28Jpb2C0mM+n+/sEsMsmSzoJ930WxXWcU+muIi6t4
KYOVLvdejLFaU6uz3sLbh43HAPGbiOp8rfcQqeHSuJKV2TBb/wWDrBm+Px1OGhI+ZOn8YUhN6O/j
2O4bpM8Z2R6/R8kpxJBbCQWvMw5EYTBcBROOVuEBjB0vh7y1GGHFek5WBNiki+vsNoVyo5MZUvyT
dymmWI8yxCy/coGsTZLnGB6UwHD2uuS6P7PanKMSNTFcy1Mg1lNc9bOV0RMir17++2ouQBqoeyZ6
c5M6ITuz6+xBVWEZIc6h8SVYhbznB4EDGolHl7PJNEt7rgQbubNa+9iXCG/p4EPCGg7bkcwX/KRV
pyJgxAlyL7awtTygx2C1qHrl5C/qo5TPxq/uvU0fW3pbkMLDDvzXY8Kt+ZeGxg7J14WDCTPDyWVd
qzQGE1i48qK0TJOb+T3kkWZcTWJ4+5Au5Tl3YnGdr5lBzSawl4bIFKXYxxCBTuANkLeFnLt9HWsM
khmOIcilClWDvnTO4G6ZysEfcmg2MCFHZKmzSjKnQdNqtGtusO+ItFGIJEGDuQU1xbY9hy2d4dkk
0nFDZmfiPJ/PMZHQWMhIa+FFOWic9RJBGNS2gHdoVFcVv7kNM6QwAEX8mpcU0YWKkOLcKmCp/y1k
7m5bu1+2wbauGKHlygh0WdjiLz2IqxP2rNXdvFAN/BXp6mSZy7oLNuRLLllxOgpEwPmkNHjYvW3z
BQ3m2T5jjZOXA4vzwW6Lv5rmrabDfx+KxTreHBiIfra9mt6AQ1DF6LIUqVSKkNS8HWEivFgUCqne
CqHRGCrwJs/lkxT+4YkxLgHlgALtv1zeDZxlBQbXxw5Vh0t9MPL/YS1qjvZs9qOwne40pxjOs2I9
N//h6Q1HGC5MegAvqGpWMOMez/COBBn0+0xAxcFg9fjHjnDDMBACeL3T33Ez0Ec75SLoN2kOWlrC
HgvYEXW1/oPHKHvcukGlPsRrKmcLs/f1Tz5zdpU3pOMdQ5x6fCxfsdecSwEslhaStsE5E0v/B9qv
nvGvZhvh1WK0ca6ox39NpzAsm7NF/FtJfjYf9PbTUQg3e+Dqf1uCPrhzjGWtaVLWlTZYmsqZJU9h
AgWMDEGYZ6w1qaMBzytBs2AUjsRhFXPvqJQqDnLk9bEOEemcGdXVfdZKLE1cR7C3/ESyxh8N3GNq
tMDdHf0pQpk1o+vZGV0pJ2isABJT/+k4oZ5/M+9L6vsS4TlaVpjIvoz9vlVeagJSRNQ/eLF+vX8+
bYyu2dBGV0PjkG5ySdEV0+0/3jPHuKHwzyJiG6EHwsg8cRpAjt8w8BVu3GEql3dqMwuGwgcfdoU3
w9D1c2VZpOga8ID5j9PmeSVLEoNHajG6wilyu1pSINMAj7HnEbHku2xQ1BRyuG0taFRKS29mw1zF
yKaN75UW2vUEm7KCe56Gs6tu1fhKtUtVFCWVgxIcuZfJWh44iQEXupEaTPD/jqlO8g2AifoDdpP2
3vhgnqcwLDjOt8uZzZsMA4/JgzV6haCzJYZDwxZ/hCEKA+hxi+EqUXz+6FIeRgiMB9sq6rcNEFqE
wTpro+Us9hckcHm0nPAHT3aZRVBpixaejNfLjgFisDNTF9Q/PkRdUghRhtKfZGXmUTEyAzUIYCTH
BizFVvuqz0SCwtKfYChRhwtjm53XIVZk0lbcChahNwcaWrnFisZZ1nBRVmhql6peeHKWlYwgIeV5
2YmzHoEvpidTay0XUnioAZkC2VPmHpIrmnE/bwSmfDG5bJ76+4/9BmY17d1Tp50YVRSL2vVKBo/Y
Gkw4+4l9ke+rjWFpaIbvvcqj1taezl+dU9syKzTktZX7KYybHr4KahAfKEUbmGWUi9AlrDdf2VS5
5EtHT4vAen4S/4Jcr0ykckmNsr0sBOkFJempCep7SRgGSO29G8d5XhCm7X/bYrimsVayUCkgF3En
pKsE9mw8SHjcVjn1A30QM/LTjzwetJzbmaEUy2z6jd+lY43yPLxlU9g+TW/sx1kBpfuyR0LMEiWT
pnMS/xZrCl3q2Yql1tc0K6JjNtQAYLlBzW2r5tftIQdKvyLTrAnyPiXw21TxBTo2HFOQGq0CKfUY
fCFt0J4GfJ8Km/ghL2L1W8IhjJ0hq7NtrU3aGcc1FfovqVOmZkWNKjEjHciYZZHd2yhgfCcl0oCZ
UgDmpBOWVklm3XJd+QMySvADHWq9NyyAfwBjsN906tnHKmiFcbnsCS11mkM7FQRhoGrn/mjpl3EE
OJGPRMZobTgBmAcNdxdtGo3EAhN3e2WhZQxzkPe4/1CIlz2oM0neQ4UYJ48zTrOoBZ0Y/jSXzLJj
k+xf/4EnVLTwoUyZFi+xieeOZO6KykpWYZYtrqdACwEhVtB/2sVsVdiFl1iS2jiTvOwA2heRT98Z
Y7gfNt6N24BCRGvDjsJCuWAfWFniOYrFKLQ0RBBE56lzsH2Fn81D8sQz5wm4C1UJpVxKcPVg1Dnp
F97DPilV2NL2j6RoZ/VLisps+FbRFwkCZlEBdubjUYaT4N3s+ozCZCkISpCZY4nVwfn5Krjz2A7l
GK1ikxkxhZWXfzB5IDWcjr8PoekwL9cG94gl/6ria7AK8ZTr39mRTlMjYzFP2MlwIvfN/1A6YaYI
KhK7k+Ue/PzTx0/9p+iznJHTYV5X2Qk3V2nlqYG8q2jFlZ75xUF/qZZEBSxjt5NbV6zrHwB2+sJo
DGeedrA/RWnjBlEGc8H9UYf4Iut2dbcisZrdY0evbjl6tN+HiZORbSVcwKmDZP6pbg/877kW7fe/
Fo+hiLDOHMPkGPPuqmWyaEs6rccror/iN/zST0INrmRazpGXYDD8Jr8FtLNFC7Z+Bya1PU5xJsWe
HU86+vk++lVBgMA9DVGNjWGnD30xrAzvv2pbe526ZltnVMU6EyGtDV3C0nDi1uVxWnIPuoIr81J2
a0bfV7ZAY742nk9Jg9/KnzYTThsYmDXmAwWUKdOTDzbLxvBRn35H8ouIgoGIkKU1ZXez66PaXGqx
LpVYMfh7cpbrKKfs+6D2JxFYlGiS3rqQJCBZc3uZdMlAKJz1TJX9I0suGFqI/r+Jyi1GZ48Tcwhk
IDHFP/xJdNVZzvBpSdEDo2Ges1euUhWKyYX1pujez/AIfabHzzzl423E/aOc1WKtLa3a2f+XRROG
dJ7liSBYNENWIZD2DYFNtbWvslY9ZQWVIGhr6d+MMMwWTpEE2OrJ6StURVch2XFrYmACEPxsCBct
LpeAhf1OuhVi1jL0QHJ8tqND/80QdRBNGPcH2rJXl70c1zoouuz2IzvkV/VrFR3+CFq/01lC5xvO
XxOIqsvJQOwYIogOsldfQfYS4z35Ntrm/ABAe2Vkx5Sv8KVz/nkMtOlmHzt92VlUq9xGNdjVjfWs
qWRe7gcCEqyskenmCDviZkvaSB4niEn7ueM5mzmUuwRNaFMl7ndiIRF9lbyZANBzG141JycjUF76
NDoda1EEdYTbeFDQnV51FQaaA6MYqOWkuCP/3T9o4RNkNwYOmpyQYV4EuLw8taDPtRxdlPx3qFTt
/aHyTmi3RNP3aW58y9oeAZLO/QEEryh/bRowkVC5NsrxadPXnvOtb/WIY+zW04gFdJsvE1v1Av+L
Jaj3tP319DW8vqUoBi+4X4XHNwAjxJtQgl8vs72HR2ET0HPoTUdPWJOVDFSRRTi0aHHm4ulHEu4Z
rJz0MMkYJMKymDrXIEEbYmIWWAjrUvWlDguYoP3B3eYCknvJBASMUvXbWrnBY4A3fUYe2PqhUxRp
T18120nvLlVZR4efL0/fCDADdd1TsPwWCSY0AtzwjGPJ5qhY3b77p7WUr9wJaTv2CR/E9parBznJ
R08d6nJTWPbT1kkP3E4Tcj0VmpGoVkV8X9xJx4cpSHewoWPrRa++bE5AMPHwW4wRU/G9zk0Js8BD
EW3TfQdEWNU8fWzVlYb8BUcRflKRRvll8RsKmuSn1wOvaAMtP7Id7nMjBwPDZQKavJIq1pVqKgdk
G4tt+ir4U7TBN5EXbWfv7WnIuYQT42ns8YYk8HXkWt/JGfu/R8vsNl7GS7zruU6TX6S04clMyPkl
eFmsZj8VBVdNxrXiQI+5IBiveXPB/DVy1wNwx23Spx/qDSlmxBKWlOqOauLi1eIXrC55BbvHJqVN
RLWB43Rv9/NFqg3cJgKzMb/TU0WxA6pODO0xN9DUKUimykk4BCuORwEcmRyvc3eXEbMFwNvKj9BO
m1L0rFBon1YQHeQbyuI4qwBkQkM9kOJJjGfoFLShjWjjfXsgY/rXpHXEB1kgfdYR59h/nh7yJoNO
VhtILmnd54joXwctXj+cFyX3T5UublD1znCOX0Q1GUucar82RgwuBxKSZKnvXK+BlHCZt2G8ofD7
dKlQSkclI8U8mJt09KjyyQ3gGu4oQeGV9TWb9JbF38J1PQ8JmljzFFwtUX9mb1VSIWik2DqGwY8U
x8LknSge1dsFMp68KKnKQgHQjEvisJAKozw6OIhfAQXpG+5ybYi+GLcZxdciUwcn27G3+F2gsqf0
pXONR9/AYGjvetRI6K32fQ4xv85rxaWIC34zsw+ToWZ28b04+97g+OuNH/Tdn2RzFHiULSoCkEKH
OcEQmQ+7X+uAkGo4f35Lkf5oYKf7x9mUk5y/u/ayP+PCPL/fONAHwN8Tmip1+iiYG19jCzTk1T32
d6GNmoQ3EY3A8lysWutopjsyF6YpG0pumY1GikiO5eN8oRROREX9tZVZVaS8FaYnpc4Is8XkD87u
rMaGqHSeR+LsEcuXeZsLeJoYsHNMoVI6vaThA/lhW5jRapVgQibxRRZMJmy6VLqUbnFwNvpFNm3B
65BQjAxl9eRXI0+BJJ1GyhML/8Nvt1peIygwf/ns1pGtoEpKEbGj0+A7TnGfA5t25X4Fs8+OJwLn
PxXwNywHR2f349eEjYJuCQvEYsmBJbg+/wVTh2lMBWatywTBcHh3dL9dAw1dc4ODICJDc4GOKLy5
0quGTfOWinmRb9yi7V/sZSN+dmRk8KRlOTkfXgdOFrMXsl+ROTIoH4xDsw7wYO/dspCJG0bQx0d5
/KEX+pI/NUJdBoM0E8Jfaqqn3Ie9KD947msnvOuCGWbel1TN+u6eQSWnMES2urpoKRwfvSvpBzg2
sGV4atKYVt0932MesQ6hll+QGk7oCr1dq5RSXSibNFDmrPA7w+GTc7MswTnxmNvDaOOqI0nOYuXK
LPogBs4NQ/Ny07uHsbFXtfNt6OYwijo6HseHNl9307y7jN2gEHx4fBwuV0r99rNbGBvR8kPNCStJ
wHSu6hwIlEwhvGZpjVRoeFzomN7bQRF0cPdzfTHQQtLkwESfeJaUg2wVzpLZQCghAXDv9dQ0VxiX
fqYi49OcZCakT6hizABQ2hWxWcQAar+bYf3pbm8IYl2hOTxOh4TlmSPexQd1h2wJiOrmTCaADbVH
DhCCgWxx025LM5rmQ4EvzYDQGuq6jGiAY6SM3NBC+Sy1THkn6hd5lmxQGa7xc5SfWW1jb++YDLQg
jqpnfdAsMh336Nf0g+cBB0TL7QWkEQeUwQl8/dqm2iEV9ZaSKLvzs1P+ZsHqp+lEJftDtK+3AEZL
+dEqxTbIa5C1DmjEmS3mQmwrS7K91z/d6JwYRSbfSveHUEQ8cYmsv3Mrd/HaeFPprjvaqiz3FMWa
nh+aS1zXbw1j67fLuUnPNCSoITcngYebT5dYjnS/vD0D7Wc6pGEfrUX3Ug+PPgAEtVYOaJVfo2Wc
JPmU/vX8BNvM0jPQQGQsFzmNtwcNRP/omZ6NMFlNx0fOxZazuO8dRZZ/Z/VPtJdoqrUPgPYx2ZEA
Y5U2QDdX8ryyoTLBRYMqnjte9ptKp1nM58LK/znLjn1YVmeukb09MRV6PWi9Z1JWcYEQ7xM06T+S
5T5bFYcv92tsphGClPYNlJlTC/CW7QM/mAP/Ck2+kUcZvxkobkNUZmrhPb+0fP8+cujaOwbtt9r8
dWYwNI1WrpwvTwQGseZucM38BAwNZ+7nUy4uROB9zP3dsvdEenLcl3mf2wCSrYrsrVvmE2M8yWNw
3796Pfo9QUom8fwxIaXnT53S1tb+miN6xqUyPbnoHehc6O0ivOmc8eUGZA69ppoD3LJoGDT8dqMt
NdAoMfkayMozBj30vMIwxdZdw6a3bpDCaDdtVAGiVXcSOD8q/grzJ4wCqZP+JY/d54QZou34orzw
EFlbHzV6QkPR8YZ1swXJqdN3nDJpPN2fp193qDB+wB9+vJk+dBiz1HRke+prwJ8G548uxFZPaGdM
H6kGaEKfD4+5SnGS2dn4yMma3GBFOdLVlTM6f6zRI2bFwohSPljL2XaotZOQDQdwVCxUrXPdj3R2
9Osy5gnhhWM4ABz1Hkuu7NLD5ZP3Gf8f60zZJqraVK21C0Q56UqiXngaSl26iOv5W9JGM5CD/KB4
2AYL6zaRkXjaLeFVy7M/X5q8GBvN1QSqlTbO86KYnJyRyEP7HtECJCXuTH2+DiITh5upUGS5459Y
JbPnqnYcGYyuagrheUbbN7iCpGDeGPZUleMVIntNl+ZCUEW0v1CbftRtdpNfonGWU4ZRWs/D5kV7
criVMFzgt+8Xs3XVXmiHjdxs7+iaJsZZ5wCO2GDTmGwTBxg8lWO0E7K3t9BWAZ0XaVqf0HKKhwTI
TQZCoUkLsGLGr2LKMTnSkQHyIIMOxFa1nq+kcAg6EJNf8TBw03FU9etQURwJFd+K5kmWD+Xa8jTL
eJl1L4CEIe3tAKhITV13LIrQeiB72w8+bWkBKH8h07YmChNP9YfcUmOMYFedqNKttrNDqH1N/F9f
bnvpXRp91Ip1LpOJoHf8OLZDnczUqlXKrUDVK1lUqfBkrfY5+BqPM4ACNQ+LYwZU1WY+cJcIy+bF
kW92d5jwcjuviSCyyGHKj3IdPgjf4OEm3OkYRwB4tZhtp288ub1wiDAkJ8zRiTYG5tQf+itdEfNB
6Xt++9OmF2x53U82hSMOBmTjA0AgyeYuhdmSPON2eG7ZgzqJZTInRjDiK52zS1f8ZMp56UhKwzwX
zppdxwNUApyunkLqlhYRzwcf1W6079tC8+9IAbMJS6dEP+hnLbJbw/ul7GGWVw1jEazkZvMWefsv
0JuzfAITYm4Xy3NA75I656fgeIv4tDBAShitsFMpSn63uFBuv3gcizQpALHB8vk6qRhI94CQ0nS7
YuiAKAgBu09+lKo4b76c1pxB54SzR7ZiUO8p4qAo0/zLzsiyCQHF4fHrBNnXVtvE6dKuo1SZbS+X
wBl+gFRCMAE511+hdLFh4ypY+ddnAQH4bo5QIzfeRnRM3L3ofpu+td5dNlen63faHB0eojXw5cyk
tldcIgtjoXlE9mGLb6LqSiPXzrLq7it9FBipqGMzgHck89Uu1VoVPptPKUrJBiBj6DtKq+CKrytQ
ufB+LkBTn3o+SrfHDIQjy04pejcr6nTyKVEgMsIryGyT6sjlS//9UmF5uANsipmU7DfH/MYa43jq
kUbiDRy3tYEhP85NvtEVwZh7PtJOiNKX+ndOom72MXBjyPzmAV0d7HQCEu4yq5uSdX1a/FNb/Lvi
HHc3EZGA5MkNS1o7ty3i5bi9TtxeyExW/uGzReG0aZ8iqhJnzunYdGfJrO8zXUNw9VeZwBqJIMU9
c7ZZkbjCBVGrCmz+FVgbVRJgxyn2zooNkJxeCClAR6q2f+4yL8WbDHAjYGdqpSkPrpYEBIpmIXLa
PTv1Hb6BOFXTo2A7kWcYos0xPK80NKirfBfxuyTf1VlCaoAeqoY7z0YcATmkwGiQlwlgafqgsF+Y
TMCcbZM1Dkf7kwXnMDa4Wha2balizrAldc6GsPiAAbj1+mFluWMaPcDd/7j8NWqS6JDDk+RFYgkK
NgbT3Iv6ve74b3Y8ePzOcJWoh7jm3wSk0+TqZSQAv+uDu3oxvMamJP4UvGLtZydWmiTTlAMj0NXR
YWp9VhZL459fM4OrvZBZoNjc0uNILCQQUEl5OE4hPb7IC/NJ+Scu+cS56IrjH6bmh7c/VK9kXo2w
zM+C0KCzxSsnr/IBvJCQ8xgs6U/OGQD4LG86HUHwU92iVq1ZUJiYqKbQgFhiKAxeVlv+D8BW5IED
mifLmLpP/sb4R8vZBk8oWz5tseFmtIZAFlXT6sld8zhASkKPiz7lWX/EEOaeZfQIXu2Sc5R8JBqv
zyBQ/lAA7iGbANGTM+baP9gSJRuEZ+uzB1dsKSwyx0US+yoq9jVIwHn9O5tD2zSj5NjV862SCY83
uJyFZpQTFhpokwrgCPLop/8aEmlmxZCoZzxUnqGodjFjKi+WVR1/1+8bcAZFgSuMEJTdy77trn0r
N/q1EOTPm3nCgFBhWuyhxpgdEVm4l3KD4uiJ9yr+ERzqWlc3dnMt574vgvC7nLyAfoyr/6s7J8VX
apluf7vydKJpG0VJUC5/8BtnxrwjnPwBidSUcMD44w1IDsL46bFUBlYgyCD2iicw6++TIBGQfflx
PUE8Ra7KZyfVjwZLSjJbu7crXyn3+neCudaNkEAJHxm3iIQWhiyuTEGgonUta73v7If26haAWi8U
v1rrfBYMmKJAdaWm+iE1N+A/qsg45MQsPk8xyk6EIxWh/sQD79LLyi59vzw9x4SAHgHbHEWgycGp
ZnR6zl1vj4o25EeJde+X0P/OqF5E4Uw091OuEOmQuAndUL04yUu+w5gbL3btDo79zA2Eo57hcC6b
8xPf7AjLce0RPF9ppQ19PhiPeLfCZzfB8u8pFTzo4YuXotz4G9O6ky6zRZsB3QPVtaEBNeDxHPRc
Zu0SuzCoAk/wfkn3HNXjdb9p9OEdAprq5rrin0/ek6VfdkZbEiXfOFy+9I5QdzfRW/SK7f5Yl+Bd
Oup/+sivVDkcx08j9mLSBmKVXSS0ciYnZiUTvYotzuBqVlaXalRmMTmNKJ8cq522cEwWq1zQXRer
SUmmf9q5dRunPTR46HSDSRBQeD0tDC/+GSDL1DcR76JjgcXMeWrk2402mimC5vBe1tPcGSwzJ/3L
s38ttQkSgculGVsi3kLK9+TbJbIEnaMM3B9pt2IHpDZiOerUiJ0+NT+QGintVCecT9SZIRHzv20w
diXkEKKHGA4XJLOO87Btv+K0kvaX/+VeLZwj7ba10b9Dq+FFzQiRx3SOxlCu+0MAWnzjekImaHVY
xQgWJLk+bDDmwr8Hboec8AQ6fOV2FonQC49G5tD8oLNGv9qOYHCNQ1t1LNY9EzaTwlQqbi/YImQh
FRq7VFNgAGLw4pMlgGwYiSCam8sVbOracj/MoDmYuafAF5JDNx9UBvP2Ushs8HCmZU0+ppF8RAZA
0DRkHodKEbFwaLeiC4PdMAXwFLDwFe9PUpml6qihAmYcU5Hdsy4iTuGJizzMz5bokqVJZpXla8wq
DAbQdJ0WlDwb58d1XQPWIV0nIUTK90K46argPOmEjAR9uzlIMk9BkDEEZIz+zX62u89R+mUXBKuR
fEeYjY4X/7YMK9mU7/DiFgixYPBAHIdULif9bq+vECAGQXrOwXxA7UyiV9iXEyCd91O8pRPoYWby
DGU0AP51Y65WYjrnIkS01VLPcIXs6tcTJNS6TK5yRu5xB+l2m/+SGQGApcbaWNrVKLX3g6lyysk8
seR6hxrFWvteCr/EhpRrea2L5KLfIS11NEeg+cmuTm22oqG0dps6DqlmVicax191VFOVFX2ZEi8l
cpUthkcWrX9POR6qp+FeG67DA52E7aq7qhoE/NHaw8oP3U3kkuRLGWcHYkxW7PKKECCg1mjCwLXY
wRhjHXfRx3xV9aMsGWuuC1USTz4/l0x9cwTCm6dwDTSpThh8oU8VY+MDucVoLMf5sUd80qIlkTzX
I4Z6bVg1FT9eiEP3kDaVeJXRmrBXEM3Rp4UbbU/MOX4qiuuUkRcuwXs8ZgUCPaV5P1Gp0W3kZcqI
DytrlUoDAyWomus/e4qWZ4O9xDulA4SBH8TzxAWry7SBnt4cDhYUfwMfBm4hWILD6j/Jc6RgVgUY
YcvzVMyiD8UV3GkMo+83xF3JM98yuwu3XjdcBszcRuvoyzqWkhHZf062ahAtDM8eIB+16+FLgXvt
U8sXpP7iQsBOgwlDe44AQNl6ajd7qPcQqJSvLJ0GGpc+Lyn5HrqQpgg5HL1PqqXn0COpllPJcCKu
s3fAvBe7WaJswO4qxa6yjdqnxwyz5gYVEH39AP5RMDeeRhL3VMwAVD62Dj2oWlIMQcGe7zvH0CJ5
1yEJGmUJJ3BRvY2NPUv1wAZLNgNsgtj74o8CyotWXFO/VUGrnuqNgbfFkS5cEP6MA+/Pgklq/JGP
N02tKhad+uz6FVOaYWcqfnCXXKEqWZttgdYzfjSqCdXbLbT1ExMbhoIxZtJ9Q35MLMUlHCRLIJJN
3IoZeP/suR1wBnVaOQFcp6hSEQ4dn7RbHKyDAvNRa0dOBBP7TbUclRxJtvMOkP38b87ZD/E1oqfM
rQsKCJLaDpsK2c0VwJ2gr72WaQTJEL75Ws2OqLwHVrzJD6O/fmMtxwgX0zq55Mawqh533t/ml8bX
C+gKcGjlDOXsUoLjFPa8tfqLinNQjwAgRBsVGwC4d3TjCQ0PpxFoplqyc+akqn6UEOUP2e1l22+k
HhubU9xlp6TjIkFdzZwmuXSzYJ9+EjLsMnCoxeJprraFNhlx6ovkZfC9dLezfsv0Bkp1TleGVhD0
k14XrSGQPxbW0bGKG6Ndadk2fs6w+Kr3pNc0t5+FjjgSdQcLVLCFWfVMYa/iAGqBtZW1YBZeALuF
goff3YA8OQ52pdFwHthJyAHo6IhenabTFC51LAv0zyuI+W8oPfl/WTmRPW3vywtOft771oHKcygX
DuRmblMELYCjj6dgsKBhBcejhfvwvzUdmhfiYYr7EtO1D/esAmfWMSftiX7sx+BK9byc7eOhxfCV
opyE1LsiN6Z9FwUCxEAiKHui+LkEvBgcTOIFOQs04Dh+LVkYoJyK1wwUozb0TR0W54oMdz7qed57
g3423A1K03JRZUiJWMndzA5tcki/Xnk+ZmEk5oimBswLMVkvLBfP2zUp6QPrQ6Si2vLTyHGmOavH
hD0cIIMo0mARzyqyxWz06QIdgz7TsxELmsHS1FeJ6V/tZTFKD3/zXV1zX7WqvXCretJB/WAqJnFC
Ppz7it0QxbTeI5bUa9/wmWyB24EXDri++MxV01O2GhZEN0t5YvpLDN0FT7Q6JLq1wQJHxjZKnnZb
RnmjpHuXUYJggxobZ8qT1iVcHzsR0l6aUtwAqPgJgXhMno7OaNldiW8LdnbSw5fB2TjXnY+JClzw
55bKHkCG11cve27sUC/SOXtNtMXPThdVAZxJE1zupxtOmscldsTIBUtpYdUbRr3gjQuj3jvgVnBX
cZuio3I5XiRMNjK1crZDmnuo5lCUTQvdQWsywGW2GwWh68Ay6rkXrJraQB+agkpbf3KUm2KbGS38
u/UcEyztuie5GIAc2fW8c3Ia/8uuS4D3Fi20zNe32HgC8+DIPtZocMfEtn/d379yPy8xFoxcYej7
Eficq1tG8oi8pGuMhK2vrgPw7a2DTBy3o0mLEFxT5Wc0ubC+nmXgHWEzljFcTc1wX+kL8iRjITlJ
x03R/KyeF09FUIRySMgIBni67A/RPiNoDxYPOE+pLHYdRe9QyGP005dJqdnP99d7iKriwwVa8FA7
VLMoVJHG7XhfKaDZ1mms7dXgwVlXOP5Z78P8AsBAZE39XyQCsjSFHOWBR3Sx9931c2Pjxh2FLfjf
sOrG++SSUkq2TsaQgS0onbT9IC4fXwTZqVbQBILjl0WTMvC2UFoW0JP+ppi1eeUcEKHuLWY2kAUg
tnE2poa7xZiPJ4NEq/1i0WOFeoWxRgidr5ddBwkIrS1nWDJjnhnUfbmAGHkWlXoJBcXqdyTlh1rk
MEBHfYj4Y4wOabd8hPfGTgIU+v1/IOCT2O7KHhpBGsh70ySW/uxuUYpmDs+L7mQ/72ZvpnPSLRpV
/s7btX7aeQQKhBzjb+g56J7b2twLJt5O+/5iM6RK7X5ylHPvACLEFUlyHuiAaRjQpVra6amL74uf
VCME6mQliXOb2/GWlTjKJtIQ6BLS/paPrSqjM3vTqDsVerCiRHlbwwSiiMZOUPtt4kd3SmorQrT2
3K5QrJKD12WAtDYvhnQOnyteHqixrcl6RTqRfuW9CNAUWe0FwnzwHX/WDHcO+0rFQH0n4cx4Q7q4
1JNsBA0r6nSoDBULqfKt9Uqw31bD3ZMZK7dLrB0QkjR5ny2QEGiR5vhe/1i5yBxU5ZTsZwBpaH1I
57KXD707Nw5elV5MeXr/8RFkbfj+aaTEJxDiYV7ZLCHCnkoBnmYMpgOYESAiRF7xLmGzQY08oXgu
Ot+0rMwcFKvBt2ToJ2ssrJ0G5swdKHindx3ZPb1LU0BnrZAPCfAgsVAqHDec0K8KpKhFPfs6MpaY
NK5KVZdEeKw7ykNJKVMiXzTH7ygtZL9HHVOD3KFE8FAE4dj36O4WLJDdPiQZ/To5dvumP4vFfAw/
wc20lJc0jUdTj6fHWgoiN/rhXzsn+iVRlf9NG/dfEb8ZEL/rJZwaeo7GnN92ATWuU9w86OHqBb7x
XMbPLxofN8jtocm1lTlYf6+YO0yApztV51mbTJ27F9SYeWFpo6MRy3K6xv3pQ+da9G7gibFBiguW
XcOn32Q/6MAKK8hyZqryYDCxgtfJc+WzbhWopXTv02l3OjWOffZSt/4T34n5KwyKTzdjzyykp+4e
cuy2jSH9WL5L8BZQuS3eI6o0SqHYi+2J7xOKUkZpANO5kKFZZT53nunDWRDFPmKIjhoqRocTO14A
C4EKT+ebErX1dWeEQv5/GR5wWC14PMTSSTE0g01ow3aWz6YEfNPDfTtrHTk265oD52TA9xDOQxrk
uHwYdjS2rwn/1tS/BDhiOoHzd+Rc3DbVTfOwgEUAhA87H8hnKJdVgvzM4lwqO89uLXDWGM5JcUoI
M+f2ZRMuZu8dE1vNhC6BCZ9QI+L66s8WzDaV8mokJUmyPwZWOPXNkELzziBNY0pnXJtYuWDQmFFj
eI5tU3uNv1GzU1g7m7j/mtTY4hevdV7eaSdXzZXPAXlv76CVY64G9ZnmE75wq2CWnWjNXqTmA3h9
gzWu0loru2Dbc6UPHadV1WGJ2ig6MfvJP2OrpxrHhA2T7de9PHUCu1Hw7C8aYEF2WGzQn/gKRVg4
6HiJDTfujmiZWCLy36I8G3rk5M+PpkcB6h3DQMD+yxk9bOQyLMjuJaWh1I3z00SdKp60oTYe377r
7obzWft7jDbcgwgghOLUqkf404BN7UUhy5O8xtsIw4XVv6CknF2gZev1XieeP1cdm3t+m0i/PHLr
N9v558jfQOvZk7OlKHWCdNXKPDyUu+GLKKOVMyb7Ku9UWL3kqvwFeQnFgh1yrXyGHq+/51iG+c5U
+Cqx9HMlDN/owsckcZwyx4vXsVnC3fYDAyb0PaHtTKlTvAeaV2o3K0/aIy8+Gzg1HEo5QalFOPqA
VX9GOMspLpATF9bWsZppJfT4fB+LbG3uMSQdQWt8fgaKH/x/LSGWIgLFQpanRT29B0FTE/siMDJQ
bVInDTzr9R5cis82ryi+Dm6EFSL+x5b921hX5x46a6pCfdV1xfw9s9uoQxZHZYhbGcVyC3qjwCfI
qH3gGoiX2tN5Td8AYhOXbpQbyAvEzP4pFIvOP1lwxzcVgt4m9spsFsc3kNDhKcRcTu918Aqvs9FX
3bgWMJDX2lpwaEyKb8jX6h88TzIkg57C2uMFGLXJ9uOh4tMGFJuWhD74qdzqtyIwIciLTjpogzxB
JwCXm6Q3LI27Okw8t/87lk4hDhZJn4/xqMRzbu8K1T+/EkMiwlGnPypntMnYk5C2cVR0sEP6r68b
RTrr+nmUOVIl48nHA8Xy9fCjfzW/L+BSPsCEBImQIVKrpn04af8yvI+/cpak0ETgmnHDwsLke8gD
iCZPRIkoZHFnhkerr883jN4flS9mPM06JxMZ+O0M3/THWg3O5GaKTPBCcvh86KJuVpae0N5fyGyf
w/Z55o2+ta+77FRLGXLMDPhcHkMxOvwkiFrbBcPTlYHoHd68AkRGRo8283SfFtpKdwUs4ltbimNo
YLvUWzLSTgf23krIotq1Y9wc22Pn36p06KVut8oCs9V52NvcFBp4xVKvxILIpNUlJxIJYgi8BjL4
vhfbv34gG16VdYCpWQpTW7VI+40ACAanfMObAeQ/6jQPS0Y/+hBTaZQD5J1xt/eovGHRPOHh5quh
WEzH33Nhs/jykg09ukLROqpi0WMVYNCx/NStcB+a8NxeDEMW4GieeHw7KH0tHJH/kojQTPFRHs/f
6oJtFcvYB/q0w9Sr4/hzqehy4RBc0kxkz9NJe9galkyzVJvMfo48gFq6ltKfSqRpUh6Tnb24ZKef
+IxCAZDfZG7BnoiLYU9eP+maXKsMqZJlJOIx1jNKAxwxDGKeFSlCuQTv0at3dOJpJTp7q4sYHiVw
3CkIwIH4Ata9BQYizXN8mMzesI+ct7Vvsg1dthqpLGLk5SNomVYCZCNXgsc4UqOmg5nENAGgMLPk
8HSFFb9GASSFgUdFzy1FyFZjE1B8QRZJhPaySoIO8IeK8G/ABlN/k0/9+mGYUJVkxJXoERRfIQ4y
X1ldtdxurqr15PSzcrqwUAWx0py6UpzZRDNu7U64JeoXWmMhISfniL9TLgWGUEs36ShA1SY1+d9f
EjFBl/fzKt7+opMVfEGFOFt1YM/grz3peEE7XKUYgQN81hJZvK9DLqiZKiJexEMVzyzNpbDw2J2/
Q67O9ksQN/sncT6NTj8Gu0CZzKwfjzKeZYAt2MFiNdqUzAhw+hnfHpdtpPgfkzbBrisfLfbzwjGT
mtTCCysV3MpXQSx+7J+dvC/eqYR0rMJJAqtfS8UiHZwp9K0ZnGe6wXG8INNcuIJVhs1t7YwtL7/E
qgTXYskuHC7wMm4zLzc5d6GFWGfWr8/ufRMyY1iFVE0IMUJ2NtQIQtB7RKL37YihZeEQ4BJPdQ7y
410sxX8Dg8dLGWbkzNd/2rfdhAzjiSnxahlc9vR9WcVp/uBbzprys/AF762xTRNHgbGJ+kY2/Uox
PWlcEuyrPeHXKHiKPxSIBGyOalZ/WONSgJVemCf5tS43CZmiNiwPiL3X1Jk99NagV3YIWtUOxLYC
nOhGkD5vcyPikuJLA5sCnKVjFA/jtUh8PZizB3dRYXa4KEgSH5Jx4KT9oLo/Ixtr9FfTVLrEgYJQ
uZj1BnpALzYlqun81Pk9pD7UD/HIAbqB5hza4nch5RdMF46UOYfoNG5OhQLkd+tAgtJ7hHEUyB2+
KRn14cgUoRH/ZNJ+b55RhjhHTcZztSVQmzciAt8px61GZt99bYYMn6EVlVuoI9AUOAqsJ8YwSWe2
kGYHzGlxhBudcdtlrUqzWSvj6wfRK7LfSlyF9wApFp3CLMNZzEedF9GHXjS0Gxe75r02tHqmzi4V
O8bJYZEC/wVh2wexVruPeFdtEChcCPeZS3IrHzlvPyMh4+gqEfrArCdE8hC39Lc59S8JdeNM+uaG
200p1ME2NVndGLPrpARH5GTZW4qJivqrNO20ppv+n63Rizt9OaT6dd4zWud1a0BujyQWGOnFLmHH
9xsfXEmv2LBNkFRBMH2iRloYq5i4yeKrV8JXG8bTyoocH/CHGx7+JvRS3+022jf9nJjYOYkwLNsF
laWpgWbgvf19g4uWZxZXPAYPok+k9G/qUWL1F5AExQeZh/5neaPENF85xAjJbzEYm5MzxmjT9DhY
73nRaisyml7QFVge94tOJChmnlT8cUOkxvJgZTUrXJ144NR/gTufnt7AS/8EZBICgQdTOEeC46Zl
bpkwRWD2PhabTr/J+t+hP5j4jn0atBbKtQTR6KZyLBrdMtOb+iZCvy2d16PaAU6TEW+MsfdjGw6s
TRM6MQuiQ7kW/wv1U5BAlmk9bKBBhkwO4EtF4rfKHp2bIQTP8Ke6LrBgv7M84mbiIkV5Zg62dAg8
3NpZnBp0Xf+jBzT+m5CnY1v06Ma3EdCbNdpgpVeKgDVu3tR7rFHzaaPxbaRLqZdbgT+YaJbpFtJJ
cTwM6N/JS35EJ8tVw6+yMJzTYanO+PYiktossmywcC5z0dRX6DSvFBzDWK9z612SxzVfL2ErwpxE
wlU111T+YS6YRObstgKvlqYeSCacN7/PCmLJHDBBW3dvr3R9wDzYUOqN+2yvdNctGlSCJ39KchVV
ezg4Zia+OeiBgQpWIblXN7Zdb3IMiDLIH94SpomxYYkFZ9MqCvs3j9Io/SsU51QYl407zur13/D+
6g7gz9gT8//OA7cJW+1KfU+gt2ln6mJ+i6IE6alvjUS5c9r4kHvKSN2Jjv/9Sq+80mmg+Kd1fcrh
2tyCbWb3I+r7EPUZXaL1As8gMwEOMblrqg8m7edkKi6f6ARF9Rtr0sKG9ii428RkjsMnxIXUDDh/
DiEPcseJIlsjdngMrJk+4xxrfE9dTFu7PN28X5PV1WuP2VQLmXq8AAYQyQwbsIrKMgGdo00B7cup
ERXsO61/sSPfwo1X5GqUMs1vEfsasLbK3tpGW06u2XsUTNBdZKtO+8xIKQHjsljmsNvt7IKDReZT
MrGcdr9wHiU/CaDDBy/uWaHo3SZkp+/z/4hmtVNuDYInLpdTxMW5pubPuBDHcxNyaKCmQ26WurLv
GP/IZ78nxTIw3mEDygf7xikcVK4VdGJCVrHcaK5vR6J0gcdKzgeJWt2i1bbyEf9kMu6km3OMXEPK
KsKvCMl8mapW9wxmcmcDocZpKPgjntXMZQhtbnR4hfCsw7vmJ5R9Nh7zbMOv6MNRD5EF57JbMBSQ
Wt+dF5PtusF1VYCnnryd5KWuM5RQ/aV3jHqqB4YJ78yDq5LktN3PiNoDEIJA96nROPQ0Pc+xksDS
JcK9+ZH7ckmb8VOpN1dx3C04dd2Wq0Eq7LLIltpfPS67/fm8iknUuL5OqE8SCKRy6J9gVkWQvlpr
QmIXxV7bdyMraueTES6C4KzEz5HywTXsG8BOOqiv3ec6eEigE+PQ3tal4ZobnQIwM72dngcnyLzp
ZVTFqFXUUid7r8QK8VnIZqQYWZu0UB5zcexsm27+GYmnustlt5kJNBpKBCkA3u90ZWx9EjQ6uZEF
Eo9F5MGmD5G7cJ8rWgtA75Js1Y0aIwFR7FGkJUuDwpQA4MtSI+32f+fhroqFVER1IBiyJVBxyyzJ
Sic2EGsuJuUOR/hKvSiNQAoUGOUY4wrwozhkT9enGbLVqa+0uBRKWbE6AfMMNqamzyDzRmwEwhqt
EGhvyxMQq41eDReMeZ3bX/k/gxz1JL67AbtdpKoj26eOb3cFOsUQdLCZuSZ+tZVGZ5923n174YGC
q72EYwX6NJ6jiHLvZToMLHKQBZD4rOGCciCG5etf9SLKYf/LunsPnwo7epaGgOJjeWyUH5OGrRyx
zH5rB+cAHO7x1/KCpIgsN4TFG76hrk4BRPW679t0maUZ2bBhlDlAIJWiY8w36PFIz+c1JPmODsic
mq5UYu3e/t7Vw/Z2Xhq1h8Vju44lmvXxfWafzrL1a2Mxgvrx9REH7sVQDMEK0afW5kcUWxL22SHz
Z4hDbB20p5q31Y1psL62w5heBZKMuK34FSKGH5SdjBhl5WlSoqx22R+UhINDSuJ38mr7C6HZFJki
ZK5mx0fRL1+HHPENOpoyq8KNH3O43jDoGqKwYHdoguQKyNdtbbKXQdhVXa202mV4HIBEag+NWcJ4
hwqdMxsR23ZK9HifCSKVEWLsgtIZES2y6UJGFAXlfSQW5Dlg/5xrufGgB3bRlp59rtr3LHvngq67
oNtNZU/ZhnlZXh9QR8Si8khmxXD/L+L2lDrG5WsSSHSed9yFVZ1y2Ak2Vm60g77DziGs4U8BCluv
zi/C//kNl/sULL0Z1U+EQiUtDUNJfbT4vV3O1mYmHj0CySjBvqo030I3rGvi2rkD82OwJ034vvoI
aP+MHpdcXUIXj+RejRZp1AR0ZLaaomfyw8QtaY2QvCEvWNFPCbmt7pE3rb2Fs4/B/jMjGDfVSks4
+LakuIg9Fb0inMnC9C3jylmnHY3HoinwZXWTMMEuDsqF0YnBm/zumQ/DgDOyiCb9KJXckZ7sV/Vu
BiA7O2Zq8I92MxgChOWaYKtUmxJyXhHqg9yj8ds/BvX4Yx5Z57xAUlYv1fR4ntXkmaUFRjmh8thF
aCVR3QNWhzN7HOdWnZpSstMVtNhJNBjmkIepn2/mQVcnfnWL3juD9jkkCJQTKCtP9IPxWnwT1AfP
P1QvMViTVyyaBWiGb56PCMhTov5uRtrdfCWMZVVqEbiH9r8CPsQntOvRqbZUQGYZfYIXlbzMyEOx
VAxu+n9bakI5TjealChM1gUm41vGAWlCPgQLibtVhomTsUPHl+d9XM7wqtDyVKZWfxZPcQ5d2izL
r7xcxqe4BvSy13v7myPkNLf5aDmIB6fIE3j6HaUCxvDidO61CnlLLajNWmISUHNaownx6Y0zGAR2
BwFWhlv3V1i9crCq+jvD6r81FXKbnozB29NwvzqTZ1jg+FHQQu2cWR3XyNul9yeJova0KxbZ87ry
KJ9uLHGfewbCPQ1w0gjBa8KuD0nNsmBKW5vcWlG3NG7NdJag4ZojIiliVVnVMvDD+ERfavr8YokT
MhyOesWIT200vSj+AEP3WYtkKJlgejRu1S0t/XRi0ILJ1TzRYNjPn4Qf65xgqDGeGf4yDT+VB+g+
HOunfQyRTE8okPV4trFkIa9oq9YtricT52RPHHJ+FFszh5Fibpf+al7xfWSppj1BUHXkt2HaCA3i
Y/++q4msu6R4kQK2X6t1mRZtTK5MjyXmghCBFmH9cbiRuHfQF9+ZLnRjQ+6ccY42cRpnXpm25ndQ
rF2UQuTHy5VF6J9cWzxeQ4Vq7JCZ25B7j4AQTKhk28SWVMmRVWFMEKWJ0Gql9lcX52Qp8j+n/x/c
sBJq9giIpGLEMMjEeGuFX2qNPYV2hNyPiRzBATmDhEYryMsMFXK4jruNTSvenZLTxPwpDkCfSToR
Vt7l78rxDcjOMP57Zx4RDBl8BZzhAJ7A4hzk6I6upRj19r7Dh2rP4IxQiEv8lidVCtm48nU59hmy
A+twVT/D4odECjDa8BGEYxScQHi61w5kByt0pRlQCMa9uViZQ+l4044k9fISYesFmpmSyVZITDQf
bKcxAgOk0OpTnAx2iSwpVRdQwartLqzMztsmPsLtCd2uRlAMz3E/YlFI9o/b5opXm6wpc4i/6ZkI
2unvT0o6bqGZ8QfxXHT/7/cAkIFCtZKSTf3FfTx/IbePZoxyvgAIZd+XLQ7YZpxi5PIKk1V5re56
dzZbiOHSJQMEQSvOKBjKv48sGi16YfGdWH/HWVEMyy9yNKknza0dgGhXb5WI7ilJd2u7bIZoJ42r
ONttFQjlz/snqlu0+9JzkmICWj+2jCb8aThK4uNbJApggD4ZlMEIucR64wBKwOCLfW5sQ8FBsBXR
eYXSjVOTcnc/BqfRJIdyNE/HnZslDalovXS2pE0hAn01vL4uBmZXbqILb6p0hpO8TQIxiyUkzgyD
ShsbykSeHYUY2DnmIi055jzWy4rVLI+mHjuZ+0DGgt/tLUtdhDu7AjkfA5gK/U+xUv1hBfpM4w0d
lGc9pXSqaiLtBLd8pRVYEUhrEv5sg6IvDYXhyeh2hAqNyDKs6CXsn228Q2Jo8+4Oz8cS7hEOPoZ5
awyVq4XO/mEKcmgKUVEeEkpmPHsIOJcsG1FfWhTAGrNdEQOYALih0ycVW/yDprprA5yoF9EK2/J2
c/MD0Dh1rE7k2BCAJd/d53p397ibiHUxVk8X7w3LFA0q2ySbmYmQBl7CT9Yc7mfLhy80PgxbsYYO
JCy23xi4shUO+fsNe2pjDLFwKBlLa9fIfFLV9I8aQFZANEGMNtxnHt0wMCfKvlBXbDlRaufNjJHf
gVRFWoadziIszumYXGPAZiDG7ZfsAwP87uCQ3AhXV+my2mgGazCSKyeUASLH3gjDhQqpcabtGvEn
p4sMEEpLB01yHamLBDZCXwByDXhKQ5jwzXkGpP7XAwVwNR6UOmGMMXJPOdjUXxczqr2hUgqlkO7r
5a1Mo7EXiSneRAFP1UPIad3ILV9GszsKmMOopfF+dBfLFWJ7cHvxIt71moG+LVmhQ676v0/+Vtfy
kMPz2bsZv9EBwfZkezgcVt2fzXYfIAgsO13VYcVX1XenEPMU3A5p1poJUECzVhNdJUDF6q+FBaHV
OFgopWOzM2SThNp7BnbkgTCXw9s0Um/9B1MoKLuHv5IWuejjMcNMnpxJlCKZwqDfvVvYdiGyckW5
ldQueiDmB9Z7rb4jrqFOondbDeyHON+tsW/QqXhjJK0G61ggyd/6rx2Gpt3twHAHd4vg0ZQnNjbV
n58sY4F3Evrpjzm3ANfDrjLxWPbseP0N0UqISTRrWfhw6iLEDIbLifcIqYAM745sN1GXl7nGvi3U
Wul91EYt2zVzm1lpTJ/le+JCggCQOhrp+buo8Edd94fS1LPy+cmVNTb/eY8OjyAcjd93m55zRyzR
ofsni/n7VFKiyhaoqo5tgmIfzv8bDzPJYEpyOpfB0VjaX53X3KVrQP5Y3UUkkX3LUPpOaBGPWwjV
NEq7u3uSueAUzC6rCzUwzIMpfpu7/vkUV7NBhYq2T/Q0612GDj4qz1k0o1F4WWZN7gKH/iwA5vmX
Kv4P7tp5YHMbfcRud9KrB9rzO2iQeE+55EosSc3DO0R3YpfjFRPejkThovSmaeqFtNCGAHYRbIzi
DbwBEqQg2dXh7b1YL2SPlNvEhtG78f8f1ezm2cPhUa2m71CqpsQxeQ+FqiBnKe/ASdHOZN4lNCY0
Linh+clHCFii9vcFV1rc6Yzf9bRCev3CWLdaP9MgTtRQJMkBKpRY7SPx1bj75bhSObLQ0keWoUcK
wrfBuJaKLJq4YdRustBxWd38xdh6DjtaaU+MDamr+IJXlXq1i3bvVfMCt+YurFbbGq1INi1/v9SW
mYeGmV6z9bhSISNbvUevygjl/x+7V4gBzS4cxDZwx7or5qQLbFWwZeGuvR4vgSceGoGe0fjRe2Zl
zsDLlm4qxKocf2dqNm65A4R27yPqIQBr73YNhotPLTfDMgzdgvn+ulkKbZ3W/VIdyVRuVBXeBCYV
pxqtpsv3pHXapRZqhFA1Qsm4oHIQsWSD8HSdKiPWg5t6Vv60Q/ou3pvcvmkxEr6uV4BmPkeqflba
63CkCGCFdtJA13l3s4lv9OrCqyQsRBQMp1nLc0VAMLn8zCo3AqXlSnHLX/gyQqSZr4cZCd9IziHT
itpIJdo6VV8rJB2lDgGe3rta0SzIWWf4Ye92UYx1S7NkXhQ1OF3H9PFi0jOm8Jz3SFiP4vod55/z
QjZKE45epwnCbuB6NpYfyJEPiaxz0o4hrPBkwEoyX792CUEYzvTDxD7cCimadusgeBG2iDpi7T8w
3yYMFrOC3lpVH1VFXRYf7SFlJRr8HDv/WSMd10xS6YZ2LEvvDGnAfvW+fNptJBCML+qoZ3Qgm3MJ
/5h5tYXNub9IxOzJIT9rR2GV8J56kA/RhGOlE+2r0eli3Ud2C5Kt2i6cyDBriIZs1QQ0PeKftrsx
AS3qhwHg1Oz0Vhl1R20NmMjrWgIcnmgLJ2qLopADbuSVpQnGLQ/E1FStiGv4BT2Ad88OTmCqRJkB
jWgvlfK1xspx+obYcrNR32I6tRm/jZH7tHn5Zz3c45z5QNIBBCZrJ2J3aKXBPT94kqiInwdBN42c
sVi9RhPO6dlFl3CkI6RcZLvM6zWLmtonYD3mthDXtuHbm+kWoci6acbQdAlREhble3N0MPqjNUNF
a6iRy/AStHI1ysLU5DRhuqphOKWs1K58Tiv6Uw7v4sjU7RywV/o85of9K9oATRKt6OXqhow+HRrx
ctD9DonSYnQI1F2dDkgPR0mHtFs9RcOvxe+IN/Ue12fA+l4+z9GaC35VojZqHGLhQ7oPr56ifTIo
aImi4HZqo8WEK+aXw+h/X252m1ocW2c+93snsH2b1gOSecU6TZkbMuYt1WNwiw398wXTngI2a85j
LjZ2ez6TtfTifpKoGcYsqNFq9je64PXep2lY2+eVKi5Mn1vKCo1jeYaGP1haXczhOAV9XhjG3aIF
wEa9in8eQJKo/FJRjBSue4Rrh+pHL+dpwJ15ZtXEgUUKQkzkzOVZxJ5NmlIJNuQN/lA5MjqmxREJ
0SR5TgdZ5rV8jp9Vb/5/ar2HWYxQeVXvFJ0r4I5Te+V8tISGnWcLtX35JSB7wn2b9akFxylb8m0S
33vHtTK6H7qKB06PR/yw80VfTIGXmDe2AQIHLzITgv/hS9Sc6DI9ugTpuAKvjCJBFa+HkKf9+48L
zNpPU4RK/gGfKBUzRuqWVaCARBTLn/PmqS4F4YdmZK35W9A7brPRurtjfOCaoy6F7HlZGjxd0glz
41xDVgdq8uZ7PwOV4DMPuzIYqYi4fE+65VhIJAT0oeIorHrUzw8ZmoK5J3XlaokCzg0RsoFY5AfD
LhWH0BkYYtuXehcuG6mv/7CTiafQ0+09DA3d5h3wy69VivmvsTYDf6ZQv3VZ6w4dokPOg5IM0ovH
zmX1ZwOpwRGRgXMymoiHHFRg4r2gf7LZPsydUcPk046yx5ZTzGpqbm3AUeSke0HeZHRvqei1bQc6
0vm6F14GFM6+opJiAhua7IPQ2aMYWG0NxzKIT/oXQaqrWWfPnwFgOFfXqc2spP1fJk+wLcgKpku8
yliRnAzPymYseIrrkeum1YOJ2lklwOUAFieGMe/KpVqt6Zqm3flNi7uWe8uNlHMQSXjq3NGH2w2Z
5o/CWXu3WfitF7tsy1kOEQZZw0eGl6h1bwGKh465I5WPU2ndDHe7SUOYqIi6Cyyre8NucUviWX8y
f3Bn6XamCmqBnJFb7r12l5qm0hdyIAj5qUQCuw/9SxvvQdO3xFTo2JYj7sHG3GiKTrbFBDQGR9P3
uAc7ryhCEUaA3blozlZ8PyDEGV+9inIXgJiVvdQzvOKXPYmGIGFM/KCiRC8NYPcaLF3tKVt710Px
6JAGDUFNKuyy7i3blI72qEXuc+EsPhWu90CbSe9NC3K7pPYgZ/u9BJYYWAFgIdZ73gtwXRTJpZq4
3vwpe6CQPC9hx70yF7jkW3Mv7eL93zaHOMqdk3p26yEGDZUK7PWmuOzQEgliPEj7CPtWsC9rVZpy
U2wp43apDKSGTl7V0qhIAtBt3dkNRDiGKfQdzZ+siVODDMRrF9HUKKsjOMeAhf3NoKPk+Oa1LF2Y
41DbCAh36WfhP5MRLOMEuYsfo2lI/f6PG29OSLmdV4FTFD1+P9pruRPbaJ3Q8FGoCRva6F8YxwmB
jgikT/WBw8h3tnxsE4n24Rayx7rVLINAOzMpsGy1+EuX2s6KYz8J2AR9902P7P4sEbe1d3/+rxXk
aALLBv3vtMwwd3IefXgaU3lcnRDITQIHjjOJM+0RFZrkxEA2uJeyuBzidRpahjhO4LLjnx53fR/K
fhJvFzWhIlyPpW5aHKqE3eUeX+teBpYR1AO5nOiZjHXPZ85zXCKkNDSeAx4h7ZbDXNvxj70S3cPU
fl7cpPj1wSxZoXJPwIz+hyUiyGTAx9Z4bvuRJmg+5KuocAuP659hY+gOjZvL1aUkkribaR2gke0q
Zm34ho0duD+J+yl/L1webJvxfhGYjvnR8Nlr2chElxpt34U+uEbq2BagyNd56zHPMDVhVzTR+N5g
ZLA/6za/Hh1xxfq+ASR/dcWshawHff7DzMnYlpe/KfITRHZ1Me4L6Br30hXd7td2dz3KgtWp2nYk
407/QXpJKpKnzcDZEg7Ep8MUFrOhb6pn/R41rDNr1yprNRxiZNgd2RPh6RQHPyLm48gT1rHXUiPs
w023i/kCuZ6I+UndP/no9Fjc1JnNiU1ywBYw1CmHPMDiVk/fGSborfZH8MMEzd/pjGuBNynqa1sV
MKgil72hBgH4UR4cwLkewNw28GCuzipj7F7LkA1JuaA/CwAhVoYjEuyOxV3luLiKcEnIIde2OK+D
L9ddzvVQ1tBXY11NhTkRG3IzGI6iB0Ji6imY6SBgv8/temSD8AuVwpfZ8CGzXk/VrN/EIoUHR1o0
lpYMcML8GRUsYbQMc8tvbAEVKFO3G4sVluJuI//GrCWZuT5JIN9rg3beS0U/6Uh/FnpnIALCiCpT
/qtPUL1+lxsBVHgBwqCQrEW5TpZl/RAA9CoGHSPvwjhqM1Ce0prn6negFWOoSWLYAvHIcjupBi4R
dKAsNQJqf6Um+lsvWazlVcXVpqWx8SP9UB6yyS2vAFsoOPMnNQIK9JGg/CrTlgaLUl6Aylk+jLW5
50tTauciOMnl+158pNY/9I7Z/Jx+R3b2qWwdfZ2pserQPwzV9JaRhzn7qMuDoZdfATq8Rl1mpxYB
YhsYviTMdXQyOPHekzssc7Zf7JIerBFAnH7271+LV3GpSDM6oIO6ifH69o+v0c3GSdXsCnzrqE+h
XR45Q2xEkHlf6ycCv04IlbVnWW0vP3hC2x7UsReLsJmN9bqGFWg195PDK2PA3kFGUNmcgnaZWCdY
aVLVI/O/Fdt64E2liDpJk7+WOOJKen2ZyQrRWBnqcN8ooWlTGVCYATE1rUDqZ0wuTVvvqQ+Lavdp
pwh6V3FkJhpgTRTKImHSl4iQJCjgdJ+OYlSG/CgEOpkTcgcL2yipgr4HUeqmsgBn8zX6Xf4rjF5P
S2v+dBTTjRHoXAnFFntbqbInOy36RwfKjnI9rRI4Q5e1dh2bH2j+4ZuM9E3kN+fg6yRPTWTZa/jq
miBc/5RkqnyL6qPAAHLsNcPVZ2gJlJd54gLj1D1h7jhCdJqCPHl2ZIi0Fy7M1252P/DB+8v3LCRp
BRCe8g97fqTfPcSqlTmJ6drwvdqI07igOC7LZQhAOm6C4Gan/GQQ5SQldvlpRGUrEJN679gYLpQ7
V9McIkP0vklWiCPoB+RzuJKjjbVVp7Fl46Nn7GAQ+TnXGBH2IT1aRQ1PK6IRVDCRUfK6wd+5ax5K
Ba7Ga9eXi9+ewBIKJjn1u9kEfPKEmze49fNEvLNZSLg2tiT11XzA8emnGlGCS0erjp5ojcDDLDLV
bGKI3lxd1QjvvTMa3gaapoA/dRfTvi/8pd53wSTDIDoudENAx+UmIxoD6szU61SZfn5P6fl2nc/h
rdAzb68RLwhgtZB5SK+8JfVTxsupPUq/+trHiwYia1JtxIr3GBXm4dlJ6Au5qXpH/BgCUZbdNktH
T5tDNnsfh/9ym3/hu3F2b8miEGTZ237iRTIr1/z8UKwD7RTnlX4EGJFBILxmDqDFQSIBBG5VonpO
PbN/VtcrJTeJ5UwMT48DlcXEH9BVndQnnyUSAkKaHSvl7Q5AVVEjQMAQQsyy6gYvVRMq6YW4q5gi
IeoDSdkJzaFlBJ8qWTkPXFNASDCBvMV0W/ilqxj9n+gS9YRO/skXO/9dtrItGKJbXPFZOISD5V3h
Rpfsm/av6HE4rXxZXLrSz4HxPXOWajsoYAPEMYyJPNIZr69URNemVCXpLxunyx3BN0RATWfcJYDs
hdHuMOSoRHIleFgaaCRnRu59dB1C9jN+XHyLRw/a8g6I8XGC4HNoAIwTjMXyCWeFsUqpETvyL7eX
P0YBuGcRF9uTx6Err7mOGsqjdW+3Jvj3TZfEuLFQliZflTFF/Syj7lDvDpP1APHYlP3APZpoQD0a
PRGA7ltGHcnjychxG0dKrlkzlwTAeVM2aJ0Ym3To9QP8qzVJPagHDgR0PnQ7z3QcP7uTRldEpwgF
IzjDgfRS66NwiVOvs9QjgW6CjN0qhEr2jy6aOH370Nsr9gogO8lj6DSTgkuSgWdg2tLFrkOJzGR4
+okpCAqSaNA99zZjrelQtCG+HvZ5wMnCncZpmFb/VdZ3cEOzM+Er86hK8lh7Wjsvwj3Q5KELDp0/
ctoWBQD2KpfIgNtL7J/efQbzGO7AgIAXxDRU6fCb/LZk4fXYUKeMUM9i1YgVTN0kHv9R6BZeJ9I/
zu6MI9fsY/NJn223q4ZPLOUG4BRCP4ojDdKWO7kdhSTK9rXj2G/N44CIU3xzBSkD463UeR9Cwang
TPvqR2rVbTeYqIRrkvKNxrfkjDwrLwnP0wLjBXmMNo/ydF+gTHzkoaIYuWBv6Vqr+YCopRw3WJBi
mJFc/39aanxNefkolWCTZBzCj6sVrVUPr3EbnqLvFxg5vMSHZj6yjWO5p94njCpTF8pAc56lUnd7
WLRfWRUHwqgf20bpoxQJ1vqX8Knre+xIrNtrrz4EmrgXfFpkpw3fZoJa6bK8Xp1w0SaQY3sxJtUY
s0pB3sRkAkHQIR9n3CWu0Nb+Nkbp+3jTFriutoLOYRcbHRX6wvAm1kmO5XtsbGM1jckeRFgIKWed
CpKkYeoaAbFbBaDlzlYLUViE6cdwXknErPqwm0QjKvV8vwOJYYgrP1j/AlFtJdObS/Q7lgv0je/m
g9FXl5uO15f/K2+fkzg674nlkafpe5AcdS2PnVNr+6/DdT7hY2waoQmHp/Y+9U8RrL8bLeCZLzVM
f7ELSoVTilDmmkmG8JWPsEo/nge0M/dIRsQcil5BrKKPZJLpGcD9RFTTM2TXIcMib+DfGQKRRZA/
oulAtH3YBAMl9WFE00fLpKqlryrjxvSgTg1GZzXNleakXJuYwpEoE0mCM8j+0uR6vLYmBsDRwTQl
kRhy/nQ0qtlI+/btLaXBLpI6SR+HUO/SNZ6/U9kTO/EA7GcyhBNb4uyNnvHCL/7VKxcQHAmapKSR
dA8u8bjYr3AA/2Ir8rcltfMlOtibqfY0ph1RA1X3fGTUBsT52Y+VtnmLTz7JQ7wI71Y96FBEbR0L
Mbvm1AI2voAO00mHHlL1ZEJ11AIa9VBIhXoiJuLGB7MOHIgwup6NIjIMQXDVTkUCgC1FyWgrIBmB
xAQr5crwF+E0kFueOxyQs+NyiucBqyubp6OVyvALktVVMFJ4UD01/rkq37e6M800ZVrimbp62hB3
ixoN5RxhbDa1pfVxkkoMtPoHU/IYS5vEf6iU5+xQ36BB5vqxXfSeJmCJ2GJ23MUc/Ej8K9ymZSK4
h67O9U3Qo8kY/XDNMIKpKU+wWsSsSDs20T/cthAJWRTHye325zxR14ZmPRU4hpBMcy13xRPSDqUz
J3K4R4akMinKdJU7QJfuLZli1uMGjvsRFMMgfelPlm5dA9keqdZ5M50eRDqXqrUriAoeJ1DoAgsN
CBGCygcZ3Hjnjn2Oix1wLnv4OVilAstmMZL+/I0Eho5Cd5p1vYA3RpMy8ELLE4q0Gxhmn8LHZB5V
wcchrEi2wYxbYBot3uZBTEAU/AIwt+tq5X4IeBdE8UgMkXJpEYr8Neeqs7kUoO0JmmFs9GOjhMZp
IYvxsqTaN+ns/lx5Ifz/2Y1oawUQ4Avu6MQ1JwP44IMouoHrLUd9iSMKNemqPlDwyscrCC9e9YCr
xiHWipXJmAwPSl6x2EVi6q+B3dk4pRmTUnApOIchhQTmhLpUxC1Zp4JOY4v8E62nzWSWNpw+gZj/
c3vSJbuU8qYd+OmBEmCwTqtV3+VhXV9OtNbaEw7B5y+PbHMr8xVxPXwqJ/WoT35Vhn1iuYCsEjRz
KFBLuRigFAJLSCy9dhHklNDEH8NtMYdKLCe2BPEls0Z6nUjrwYMFQlsnDV89H0Uq6vhfx6+lpNjW
AXyymOf4HUtQHHTeaOG8ifK84iGNmspRX6BbaMlGM02JGiZQeqNayP3cNZSqVgbTmBMYHnje8dK3
O32MJLPEWtWjh4Rsp561xG6ooa/+nTQBKI8Y2ilkmPbA+REWhO3Z02ScKB4ROpcTJsEhSwDs5o1K
kbwMumEApXTGQ8vJPeadQUglJ4SzYUPoeefewD2dk3eB0sZdQ/dA49MHjyxj79QkKOt/a4Fe7X1B
AM8ABKYUXOw1MFxRzPnsE3JVyRy13V/t6io/f+TR7Fiw/d6Jqz6v+ImtzlofRmkJBaqTQRJAblPO
Rejk09AjmoWDnbvEWARtOjsAEEW6fz0l+bK/DeLqqErsP/2Zu9v837vAPUsGMdhaoHgsKOv9c7Fm
KT78fKdMd2J5QxoBF3lfU/QFpk8BlLiCMvgknrl9Dp6gapVnp8sRQhiL/p0/ORTLe69QfTJobUPE
h28Punw6rb8FSwe9JWXrFs521CmDz/0XdIr/VnLKTAjgDqtH5sqZfaTCJlG/XnpP+Eqf08NFlvqt
vkj6cWJ3U+FPvjpQ6rUqNN0axxDpnAcsEKSANjB4I7hy+aq3Ap58goMK8sVv7lLkZGdZ2ckBs6+2
CUNwkQ82ckN55MaaLALKeslnVd5XqBhjeEHe90mfn8qRoT0ITNxlsgJ6np0Z05vsMsedlW3yONBz
j1VUhNQFZMR96vXREWBajDkzIYNY4gge6PrFPxXpnDI1pUAYQEWBnQXG9OWDLDEsfmSmYj2ksaQi
UPvCHUhyVWb59vjxz7yHBr47sNUM5reNw+/6xdv4CwA/p/rhsrwTg2T7TZkPp1rqEgLbPibmNOc7
wovThXDmrtlVKT4T4Iv34Y0KZl4Mv+bMoZDTkDSDbbVrEjjfo6vs1fPxCU4mHHPaql9cql9h0xEn
0hHzj/LXW0GZHsb6rzoTyi9RcxeMviheRV5uptI/gXMcEma4dkvNFARbEVOqq+S9SvlQcwFejHS1
TBdtAVTLVX1HcSy03Ev3RGsKc27y10ePkXMXyIp6c4RfF3Hbc2oLzYg4OHfNCra5huEuhrnDFMpn
BjTSPTGmpfFXyQ8a3dfxI9ViL0fQkfJxp9iLa9Vmo53ad3WbSPbuDBZ0oiXyoPamqY1gfay4NZ3A
SnSIXP+uMNM/rjf436NZVi1DneEe0Y+0Jy3p1rSyBOfHNXPY9B9xwdJ88oy45DJq85OqN3j5y2Cn
Up9lo9NT+HduQyE7z5DQcEjzkXInKw69oL/XHipQOgNzBp/ZKX90Jlix7ODtkSK0Uco5L8LYMhaO
QpyxTFU06VAuOU0vx8qUgJ8XTfnemQkdVFPPtFb1kp2Hxdaqzc52QCeH8Be+tUtVYhgd4k9/sClh
zrGHqmKKrQBde/JQDWZrUUzxiu0RBneudbRYyko+9Izi0BE9aUErSOUpCTMab0lrAWGjXU9ClS1X
mQOQLDkzPK3+QzCXrlnW4bWLqaKKwZINdcAddncp7O8o8UatCMVNYXzq7ktdVuqN4HT/UmNk9jOX
JNTCIgmpprg3kxIt90FLV9+6fWlSNaEDoV934vsVhLtAiiRlMXVrfpLLwpECr6Eq0x0UM6HmI7R+
cPvlFz1MTuUgg5C3/ePBesWlKaAqo5tU/aAYlwJlR1Vl73JgDL/Rb/byIG5IkXzOnJTLmJLPKzph
JURsYohV+ZZ6RBQ0e6bNOjooEWe+0o1i8VZCGtJX2v3xpeZE189lS0UnMjkHagrKUM/PP/R6UbVz
Of0w6t8l0+yVl+9x01fVsjmhQsCyE5s830C8YrS1oGAR2ZB9KzaJv7sSCnW5+C75a2MzLdnVA/Zi
9Fqda1IPZSPPeMLtMO19hZyMTwu/4zeyWd4MR5agMzGseb6/8drlIzKwUbjViY0xg05+tDeewUAw
LylsffWMrh++RUfz56UU/8GyoOog6ad/mqeQL+7qL4SgxHuwQqployM5CBcAImnmSic+AyCXL2y5
rDOX8tYrWbzp6H0VTbWt/4rrKSyDzQdnIqVniIFyzbSo0Vd2GWeo9ywFFhRQnsWiddZzhyYZqJIy
wMpyRdLo9fJH9eRHES0qeQHbb4FhiRgWZJSDh+Imjair5cBdXHrK0CjoVHCZk4AiAGAaoxt40OD1
LcEZpOe+HO0zbbEk63RChJp0Wu69Xmw8YMC0XfPSEoHmdrFRHGYOPGB/5SV5ch4eOe+LXjULw2Vi
rzhDMAY7YM85u1RFXzcRGD5TdMolGxBbTo2SBZsS5oNbWZYm1dFvWeitoXyTPFfHsB6NzylEXMo/
Ac+trQ5ZboN1HdVXtiGjNrafNMAa0Hbe6ApoO1CirlHufsiNxBEfYQRuMubiwfuSBvPVlnFygl/J
jrEpT0DFLA1iHPjgdYkqYB09OmyGTLkmra+0orBxr0LkhG95Snv0g8mHfzcuzjCAoJd34XpjrYz8
BlzaMUGzc8XmlR3yoyqA65L5Rq45pn1OXny3AySFOROuDOzAJ9FiXFrwxDUI7lJSWIeUaYuAmHwj
EiVcCB2mv2gkNaiEg6cILehlhi9hc33uoGd99OHP73xP9OFFSZ2HAk9UtBhC62uz+EwAKYfe7S3Q
7cDcsJUEZMluko87X3HIWZP0uK7lQhyRl/zliaw7crNS9KUf2mAMFQgI7dOSGPr9NLXoYBqz7hMP
7mH+/ZyrrvwUTeH9MArYC0A1xFlMjJxhEf+JT1oI5A/Vo2I2nFUHB7yzRTHYvueWxbm7CC16rSyk
9xy08gm7Pk7TTwZyQTL/7l+Utx0yBf0YucI5fj4GQ6RvCaxR8fKCpvLNJJryZUA+cXaDFp+ao6IC
SVoxtaqExa5vXC9HCUVoDILTp8pkThaW+FVhM9AEBuxpYjXsJtgXz+xn7j6Z/bl3XjCH0/ldvENM
mqiWOBtBXagIAcVP//sdwOHVr3+EDbPEawD3ACP/Xim7kuz+2cc7/O8Ib/mxe1C4Tid8i0dHe+sq
e81M6PC5UlhFI7/nlTj7CEoGrqTEQxBqiZoMrIKVDJwvtQXB6JipY+trfz5oZ3l5XePmvzcJij+9
mH8aJmHLdh3hyqCpwgCq4HwTk914FIoSN+DUJlb+Nd8uB+V6BwDKmSNusFv9QLYKahyaVooPd76R
xxxG4woz5ZZjhPXLNtHlTKxV4VMaFJlrCinnvMGLd2h3Jk0X1lw9857mxAZlee451x4wed3Ebsh7
we0M1qkdoJJK6n6mjDnnXgPLs8wXpkv04gY7ShIza8QDT2YUgqJ1YhPe8ZSTTViREz3zoSVSz+2r
cHYKuktahrb29myYXBx1jVlndSMchTYUVDlQFqKn3HX4LFtjLFodT+88XaEiZCf1vLBPIufqIL/0
iIYu8aq0Mh6acLCnlsCq/Oe5K4VwqTeeAa81nNbN6cv83XPLR69xajisSqYL3SlzaRb0RZORygd8
IfybcnTPjI2cK/ub8cfp7Pq4soc8iZTDsSis2TOOKeOnr7hguwQ1c2Zrn3GLLjJI9N/+tYqdnjAH
oTJdMGLrmpmIDPTQvy19jryZ4HhU3rbzYhAPRlDwYL2W05PXGaE7Nnxd6IWPdac17MEUUCqsMloN
A0AHIXjm1paYNFha5mQYUyxcF61SINlzrsWDS3pqeO351u/PHAz7oZd1eWnA7dIjkhLTRu4XC442
siCkxtG4AC4jNzKp7gxyiMcV5yw+Tw9cBxGGMq/Jl5pzzYFbveLdhpp08GStSkRPsoYZCEj8xl3a
GKXFwVCxTIMIsEJ9x5Y36R9UrREtap4pMkkpx4DB+ND7DRUQdBWpmCSMcAbHykErDLGqKSjy/J2I
oS66j60Briud4cxwYfR/EMeRNap4mlrGiJcJvubc3/sYzkkE3eRnMVzNSW/scSrOIDwI35k554va
/2NuQDUYlVBXICh9vJ4JyMcGGsx0E5fP2MY6RxLwLk5F0xLOAXNQLo6vyEYVQUFvAlQx3nKjlb7c
diprgue80ZaMBn8ZABYtUiMCruHadl2K3pwa0Nk5dA7h3fzCVMQ598c2z3i3EzCCZOO6k2df+yDR
3dgs8mjcdD0z4qeVMLcJCYNrNSkoWBLMxjvZCmnSi/BiY2bPWhJMBb7FKbTRN19yX9JK9MMIZbTt
Z79PRl9eTAeqYP45FRmaCf3KW02y9J4Api9yb8BXqXlQ3LlymsKk9Pgphce65t+bVeliD6yMOU8z
rFSxaEjjUTtmteZOQXKUtCmmfadYQVFCuOskPpyanbjU1fsXh4JTmg+RZmxeC4HDkqnpAgtEu0xV
5TpLcJnggHDJeCanEk1NPEC18rYJZQtCVRRoJUs5DwqWQwtyfDjK8YQ4GaiF/eJAiuf9VBy/SELn
iaIvnTg5h42H2TE/ZJil1SuweMr5LmRxfLQLioJQ+hR78t/+i03XfTAKQOQhZnQyMWapJ3uQLZIH
PLGB2ug2cjyGaOehDvwt+k2id0I+NcJdaMAHaEaEjOiwEni7pMAMZPlK3GcYxYhGm73zcBa8kk5U
hKrS6mH5OhGEuhjoMfqubvqB3cND3nxGwYS27Y6sSP3Hnpk6Q7BNhlIFUbjtcn9RfG8IjsaNIcmM
EzP9o1gfGr6uxG8zRAt82uh8D+IjWZ1+l3beVzMOsqCB6t/n3fVar04we/8hVruwySXREPbm2Itd
U7ERgdpigRjz0mcgIhOElYyxoP5oZCzYDFPxVQivCvkriIiQ3JzFNIGPm8vqYGs9wpbOjJpwF131
jubIMhRwqyFGY/QK9zdW9fazV5+sY/1TRB4sLo4ZkVAUCSo8eJd49YQMePaWvSJMDuWo8io/y8tm
wDHitj+/LtEHTTP5Y+K/oHfRhY6FYN15GasUmFxfk/jczzx5+8jPDraMQti6GPADWfYx0YmdJqm5
+k944wVZIrX8TOBs+bSI9cwljhum6yDkUjW9wnFvIeUY6wGPVjZ0GlvuhzjS0DX41HbgJOQGw++h
8FZecT4/3LcjRdPe4xehB7x8N9/z1Wt/CYJHViFYmTP4gXp6ha8oEFFdrvs2srIKlIWpQALTKHM0
qC6znULxQWIchllRqgfpA7tXJvNWUALL5UNOWzbZdgv8TEpZxZtIEtFLaZoDAYGBPpO+xMRy0BWd
kkjzWRlABFbJi2gxtYO1ss6C9hCJ5z65n6o17vKKMpQv4/X3UJKge4hBObHzzPnc8rrqGm2ZyJoN
eNwfRpO6EEZ7iO25hNW6b0Wns/2Rj/BmQIEGXZKrbRaYEvIPgV8Ckm1yulk9YsFa8wBLQEWmnmlg
VIfJtCseZV2r1UHxx5LZ0YpvYFQOKNFJRHqEQaGjT465cWz+z1Wjgv0zPZF91j49MY8lhYzP2SyI
KvLTIKnNjd6TqlSaqqkDvtDOMPwaR2sKAyp5tUGmPHPrKiwqMPNH+/n9iftRQ6HAORjGCMjYSUoO
dys0sGwCzvxNRi5lXhu3aT8+hEr0Tyzkxr/r43D/ZKWXPEREpDTiSbdcC7jM7bBoxH9JFCRTJwqa
a2hYDAJG2disOoNNWiRn5h7xGFddj/ybtvckLyVDgZNIGG4AHKN0d5HUV1zoLEhkRSkeJy/WIXE4
Pm8MQL2Z/CjNfn2tUGaNxQmiKlL9qE/J4142l0WB0IXgniA08u1G2dZ8RLrBXFihh0b2gAEkwl/2
t83HMw/4wqWSdmJfRoKC+kldZezlGCLZBHlCwKMkmvlOu1fWEcqu/WHDNUWdwPqaYQ8wDfid1wbm
eQ39aBVCNRUny1exZigJO5JpFuBKGLJTMXszFw9ZuJle+F5P+WoPqaZQpcqzfShUjsAzHBE8mSQO
FuZA8trEYNGd7ZR3kisB8TVudT4Qp1h3VmYw8vwKoYVETy9tDVw/52h7yvIfLSOtvwiIJrOXF2jU
o+Xh1hrb2XFmubRrN8lQOLptT0J7EmwUuWZYrHthY/XX8T/kqj3WbzqZ+PbhrQHa+44b20+lNxlF
+Ux6TRFG5JwpkVmpNPxjQhGrXYtAyL3ZtPCh9srx5oGYEBMKlmjQ11C4ahb9fKhfSlO6H2E71JTx
1v/deL3Odc1jPz8iluB04DuGnggtC7i1pDqJKiCUnzY0QK7Me+xatW1zWpx7mboB0uPKYAIJVX8H
2NJ0pKiM8gd+NckhvPDyMWxOYTXA5/JVh7lTYuMGv/nJnMKuoFeJOlLIKjobZULefjah+OLoyi+E
d/Y4WNBOz4oELDDq80SEN89pBgdlrQbOUkDs8uuy4AWFk3tAIzK7Pf1LaEx+Tw4oo0+ITur5YXEG
uMgwgNem6rQuJrLD5BXTyuxGsf2/uaebgHUiiZcpSDH0qjcc61ZYwuqaSOFKDxGFyJgF/OkFLIUw
ceSrddEV5k3uaCCAjwR17f/ZAQrK9+K8G8PPiIZl5v9H6N+4HNYG+tWTERLq5x1VPh6SRh2KnHH8
qwyYvTVIdPesjTQNf5vetRv8cNkoxStQAqNfk8gJXDk8eqI1c0pHD/wmLZkPC4L1FxJvO6WW2CvL
DtTffIgAnLbb++Ydwh1VUUhV44Wz8fTQ9AhTC6MZ/M+LHYbOYXk6srHb+MUs+539wqxT5Yi0sI5q
uDvZE/ChOu66ZAB1N9Oa+b1+RQrXxOMgkqh3j1Cgb20MMUijTgcz+rsVgOjbMBw+XH+C2jaT0AQV
yrkfT3pDIX4pZnrB5JEzjkqPmwXGt8fm1OL61w/LfIb4UyuBpKS5jQcgNbL8K15huIxFbdSel+rT
769JL0lFn4yGDFPOLNyk6GOOItiQxeZCv8VNMDVyMfO7cR57vpKSu/v3k8OZvg91ZCcjumkZVqsH
/kC+W1kOOxxPHC2IgRgZgKZbf3AnLDr5L/Eahc+YbbuRAKq74jSFzmsjsG9TVAIULE9mgv4ELeSB
41Go5E+gCgd9aLZXSqna3YScUaRXJGf/sEPkNBQYDdtceqXuJue0jBqI0B8hhSI9En/E5IbsRV/S
3oB2uZX0piFhiGNSel7bPVVG0s26LAv1v3mG66UlmYnVwe6usY5eK6HbKYTlKvRkPVttiUxFC/vP
8Qi4lNFtFYIXBRBGwu+fg9iSy1LgFbyDr5c+O6hBD7aRt+nQfBCwewA9a7HnAhGyUYW9gOm8Wtq+
G1h24EyDVTypGytD50NbfaoeYyRAH/hzECC1Pwv2eXiszG+Q9iiE8SUaXo4ByEO7MP2aBExuBh3G
iW+4WkIFVRe1pPbyP6clQUr0W3npEIzi+lKMSmi8+BWuAi71otCUADcJ8/1fBKJJXKAR6DayR/5t
ixmGAFIezTUBzYaXY/szLU5ZCWi5Zx8QvCtePnvPlXe9P8cm7lOxJFHW9ZmEik8Hca6wtknUSXKa
+jxtjZgB7ROAY54qIgVm66wOHR2jDgdxv7Ge7zD//02JgUtVqXrcXyARXHXxWr748WQ4qvxpl9r8
p0wyoA3D8o9RngDYJ/7ZEgXJC4dZHooifptKJDxfGRj2UeOy+n5t++A396MCtjGisen+7AklpyRh
AQl82W5LUvFFehuF7a+z9hHcWM0lvK6DwRLEle0hXUUn0xFNAYYyCxYH+mUM/Ytqp4YMlxViC0z1
3ST0Y5Y4LXrUWvOPbyYjXbyncgGfzT5bv1qKV/mz5Dy0HVE2HSeS7VVqQnLq0+AlJhg+aLAi4Mp8
uVs1hQNJDxFHNPcW3LpBZlH537FjlPo98JxppJICJZ3cU4rpKPud+AD+27GEUFsz6h+GOyUePE9H
K1f9ylVDnLJA8UUvb9bRvroDYzb9ICzbp0fTOWzN2kdlBCovOWZVS25mTpkM7z8uBMkz51J2JJk1
6poo8aa5tqTXCsUKM7tpbAFdLwm4nmiPlPwqqMBMs1dmuPYz0WDT8HjqF4Alye0cjokiVfn+gwgw
X5ygYImST+H5RFYXWc1GsUH64rNhtdpyIVe8z2kmo0avfjBIqZMllFCZ3ZxGqFmzwXHgJh9+wcEY
FB2PLeQW7AFRbPVx1CuQgmcVUOp6vhvaaXMzS5O9Q7pmvJjaZ1OqThfkY0VQSik6kgyoc+UZOJT/
6d8bhLjQw/C5nPiQ13sbUDtjW8Z0ZDU7DLoXBY6TIwV4Pom6mctYpcM1oA//tjntiwRQnSkyAVq4
IrAXVNsHh+2ple4ryP7GMyjHB/s+3OLLTmSx4nwWrAwaiCAfb/l9LEQCQJegDT7r2iIEjWjORdZR
C+3vFYx3ExpetQe8eW4wWAJKKywVbdP3PSX6JEVASmGuBVCeg7Qxnfw6i8oLkG6acXv6oACaBTNN
a2YcpvK4AtLAabyzERQDvLLfOTjPI07iqM1L/rePHzIXViY4mKNH5wGmvTWAQNKOvxoYO6FRZF73
MIFtPMTKRSQ0DM5L4S36cLIFlZopP5C6YQzzZt8wFzZM4rlLbA0DenCo+3TIs+/FyjXSQvkL2Bxc
daK3XUrHAnXQ2k5YNA4O3Qc+NKR0qnNVJ7O1WGuccSfuiURKQyCMUHCHP/6+gzHZ5wRmsMlQdvqL
eijfUGmZlCU/2zYdBbvh+o5YYUs9+cYjDu89sKeVHP/p0jaUQPHYKeWmg1HDGsFSSNne6vmEBC85
Almj4vX1loF+a52lzthnDWSnfTH9lzq+KlK9NI29yx0FXTeH03XKGm/umwb0jDZLg+hLWsdWMh0b
8/5sIA079lvrFwmqE92tTThhX0FKXdVNmpAJXRJQ29fRlOhOQAzjzrX9s+YJUKp4dLqXq0SkqUVf
Hta4mwQhB6Mte8v4a65DqgW/qddAUcPZiYFSRGAFtMKsoOKjtGYhUiLFj2tLoE40bmI5qBa26GNS
BbHSOyZuRaLIM0G7ZYR47xyKcxOOLxtqB2yMQc3D03pvc8KNS2VssYZGWwN+Q5NGX93HMMruNTT+
aI1zfhM+s37QCmt3m92n/FPTqD3or1/e4EQPU8F0HfEWoLPWkYBG/p5whNv7nV2XSYJAp0Uk2oZe
pudplHX0VejykyFgXmfJKM5baYebylcidH5JCu43e7TD27WSMOqiJyz/mjdwU3gwOUoojua0KH4T
Z+hoqZPKOfNNypZFzrAMCsPx52zzXLsrQqlGpXehaoERGHSOOiizkZXSDTtyjKf6OiJOhoM6vOxo
gwlWKNrpVpAK6877VFVvVkauj8IETxzNDvbN0FfrGxKKFHay4OtY3vZcdBlCdSa+fw6OLwBIvJyn
rSPhLV+irTgli5sZmcKE3JXBhGiyDWX5JjDm0pds2LnZYf71jRynAV6ZlEv3cg3T2kQGMQlMmROT
LvGOUIRkFk6bq9uOxWzAuUTOIsvWEtjxAHivUzK4ruGNo3cr6H5WjxRtf9aOemkr5IXOTBuRZXEY
NWoVbQt/xqaN+w51MAa2X3nbNwTPlK5D81Cks3bxQIYSV8hqAMjGDKXBih+DVJVz3HEX8g2kH3sy
m+7/kV9G/dqqDKT2lSqcj0kBFUJ2YhqJrFGTmuIWSC4Ueqg9K8dIuwNI8vvqGZvD2+YSZPcuiteU
iCctdodpGU2dLrwP2oUQSdA2bQsdLZWW6LEJlSDmaAF7BSwdDQJgaSoHKGTsUl2k5ghrJayx+aiu
q9vbYATmwWCH66kQoIEybRidXBaN6BowJZetb4sIQRWeA2wXuwqqX5z/6XxczOvCtjVjj8BuZWHO
7A/dkFFC+x7SmFghJVcTw99u6QffVwOzFEh9VjWHYULz/+vwnjFmbQyLJm+vuYFU2uyz4saNoaYA
tCw2ti18dzhjy87QU6EZPHE6f7fZu16iDcffSYh9wk308HZUDpNWPNJlJ0U/12X7BTG52FXMhXLC
rIvbMsIh0V2P5JST2SqgyK9lvGhzX4Q1gbXTGk1WK4vwn8vsfni8dH7hu9lTeP8ccA1LbBuW/Psc
Ib/VKhmAiqSQcMg8r24cdEDF5IIHeekohCOK5SQJD9LcQvyuEZzUc8ugzFDJtiElMqFon61OnDJL
MfzjuxoXAloTm2gjEHJmZY64tW6NTxyuudlUAzCY4xlg9NKYdIHog0IZeklb0CEGNG4pnBewHNBg
IreAfbZNyXtDehHjqb36BrGdNvge9huxO+vg+Es/vEPq5BWWT4mY98dIdfJs9P53Ag/Eybn+Obe6
Rbdr5oKRSlvTSVlbdBuVcRjLT7EUuIWVTB8B7Ec9oBcOE08emwcfNtpXlnhpiaQovPKY20HI+qmo
ukFWJrEByuqw372sXOIKIE7sswEH4h/vy52adUO4CIKvQcJLtNlL2EBcu8rN+zfHtRhy8+tP7gai
11MsRnJ9wttM4b0nIyrOS7b5fXi2+Wowz+nBiVA7T1MVlRVZ2cwVBbWP1+5JUXzww6LYyIuMzvcT
AGy91aorFvgBPRXxaWB1Ed1R31Ekt6f4Y2r0tNn4Gd/8B5g8JxpchnVjhmmK6mwEIBDiD0Vq9bPH
GQTjT3vev4MP0axMobNSo8fF598fxc98so98cMzFjiZv1VeKt0m8KYdM73187CqP+QFW1rQL1w4U
k0AVQofR1GBDtSFcCWQfEzRQUMmIPGeC5NmmDGjOsEojdATnNQ2MML2k//KQRbCOyQejhDvILXy9
OxU2OxBS/oNyqMM+61OO7mZLrLZYmKjSui5iu7k1hV8ym/59ZFGsjDA4XAHz3pXDvrOcZxYnmrZd
DJVHwsv3c25lL7Ah4/dd/3yhSWUkMfCEDCCKaH/iYvnhAVN3J4KqkBARDQWi95NOQ/EwpIgo0vyb
Jupqw8X6AXOyhpyIAlJiRUeKZ+6qWvPZ3klNgVJkwtWZQWP1y389mvxwqjbLtmoblcEMObnlaDOB
qG6ptq0PeIy8IOpXi/+X6fon407r7WVpd1REvqatuo3DY3Zd081qWQQgKVXyAbP1uO4CnMnKeNb6
CRWmo/mrYW0tHhDxbApJufs02/VGS4PNNR0qYlGd3xFFS9ddfFwpVRwBeXAk4xXFhvpQ3Xk5Z8sD
MoSBO2RRKN/321sk31gThbrAEskYPdSytJRzgEnia+yO/wOmGG4iFVkwovRXGiZQMPbFPUVSK2sv
gT4RGDQDMGxc+zU5z/LBrj1aDmeRPfN/2XM/HeltZXTNzQr5LCNYV/e3uR7stk0LxQE9kfVeqXD7
TYpKEIZRXckgiL/65i8HKt19gifQHMMFT018pk682hmMZ4bFgla+5rd/SmOy+8SvBegDTh6guiAP
cJOFf4ZIlJuPmNQCnUJ/90FC8R+Bki2BgNE4A94IuQ+4QXg2sRR+pjaez14K4BiyjtCE9MwjbPm5
uYVfoizlZXEzQe51Fk9ZWPYLbMxL9I2pWDewiSzN5C+hU14q0W1HJnkfw9fDKhH1ovHbUFGiGhZI
oGvMgSa8mRju6isWfyudHQR8ht8LyleBwdFMfvKF3YSZrmAAZ5xI/9mvG9ay7G7yG4a1H8j8Cm3e
p5Jmdt9d7KrMeZaj+OeIM3PBczbbHZZGwR9sv1oZep9L2In00XcrR3D7rh5lGd3RZU4lNM4XjqJU
hUSvHP6fISYrEGBCRh/hYGx2uCILaUomrbTeBDjP02jMZclQjphczIPsj9O7+DpufJ4eDFO1pRcj
dyaqGthpNN+6/KJMtb93Cg4DFJV3IhGYLKpKadUVePk0Hb0LyhwpsVD4f3e5ddJPYUE8DH05/7IL
aTXumVuExc7EZhL0eg38BvMNoVC1sSGDGvlhv22TsuubmrthIZVR1nO0ZglO/3LrSwAIjlRqQOCM
2nAh7rb6iTkBPLNcpS6BE3inVB67Q/adaDbzRxEhPZUOezADEvJ2auUJWBfb1e/FRlgZw854CZd3
VcZHL3ggU1hx4Zb6jCs1p5Et2bOXekrENsxMOeCINV7WPbcFrKoeDMXHgHoJqg+i1ZX/SBC5Vhov
bT7LOTLIGFkz+UUaNK67HOusx7o3y9TlNFqZNGHPCQvoK+GutNvEA6Km0PVlmbuBRakkUORaV5Jm
Dv7QMPjMeM8e54f4yPluid7mIK2Hxf8d40dbu3fZgWToReWtucj/859ynQ1EC8MnIFARNqaCVpRl
hA+bUMHAwVWVbiRBNlIO2VQhlzoDD/PN+ShJjX78Wljt/lTyXovXWdwyNRaYh21H9MlTU7QMgyiD
3B5GMQbJSYTZWleDrzwOZmQ9xqNayOcV9dW+ylGGW78OpDMjjjAK5C3oKB27EU05MtoaSLVcUwEY
QEqg4ry0C2opAlBeFsxo7n0MHYAfzqElSkZ+ZIZ3RoreOqf/vaQD6VIDaW++pcr5Yeb9Bae9IZae
uN/zBIMD4j+w9ikQneKPibZfz/n82crIDc18RXarv5FswB8zYATzN8JWbwMsBjwB8PPVLE5fyaR8
AvW1ACALWj5O7IIJkX4WgkB4N/CLcL6WD19052HAMfAXjKaBXAP6S51DEp0xbZHRPMd9WDAltDdA
ETJS1pKM2+aDf5A9EJC41QRdhrKkn0Q8mphKBosGl3N09FLkRpNRc0qreMfl0fDlIr0KU5i8LZ3D
VWH52x7agqEb9ViRhHpi1WkYyXlgpJlDOaU1rnp/JYurj4lTSrIY54ANT0qgqBRfNOHEtgGCeYKY
rzglWDMbMNSXtFmFuw9pRvJaSCm+Tfl850KqprleKMriqhpAHdFxbBiYm3XfiLksuCQ1SpLf7fRc
owy6iOjH5YY5JJz+nmUQN2xvyyQIC0ZRTER5QS0Er6oMg69GqWnmdM/t+d+vTgnP60Q/HKsVvUeC
tMbiJ0PS87dmtTQLFdFjhlWv+MHn3wfEs6LXmBXqhNvwyV5jHJk+gZfamzUsjRfhkqXCbVfHWvCr
Zb7s3sNJ6VUYa738n1hwsk0L2Rkcj7AHfU9ouERoHlp+luKcYyBA34mhgSpnxKghM5Uj2osch2eo
33i93Tyy5HYYaByPTlr6WqAOmNIpPhRCXL70YIapi5gfyrjtqGx+Yl6ph9XFeiZTWId02BB57Ps0
CulKTSjyX+gJniSwPqjLTuzMGKnPngVcAxytFAdJ8YILO17FAOu/NCP6nJiz7rcc7E9uTVmhoVDN
e/eECaXFzQNCNwlYsWNVtf3ccAS5BDNeo+ZJ7Jdi8/TwB/7zdRfzzLV6HBaqfDdgNOvjappLT8f5
ljNK+ONMNI6BixMcLIFfcqHcl+0X9oKjOOansdenQ9/NtBGHyCdeEZ6aN/k/aUnu9Y++YzpH4voY
j3Q/moTaQMzTAMn6m5htJO4M1exfTzLTwlyyKS6tQUiUdBq9Da9+a80YsCDLz5OAuvUpFwzEqwhR
fQnXPLk5+pTunkqq3zDOx+yld6LOGaouO4cwgo6/4LWd27y5kfRL7G+O0cwIrtJr2bPphL8ctTcr
magYaIcRaBw6R69CotNOdfh44xNA3B+Pm4YLQE13Ysu4dBTnfiI0qYk+PgiYG97V+6/EZro+PKS1
jErExPchCh3RAiqaVSNZuv72SrVkfB4BMIvI98kuNTGPVAVQqxx4TRMyrWyq1vNDagubrhoG8auc
a3n1dtvpKg3hX3OMz7KakIkLeDAdDSPrqEyl6HslGhyLNnMR3YnwaU57uLf5RzxwhCPwMd/4ng7s
9/8MxZI49GpVMkMsE44dvTsvI0ooxZNMNGl6Rh5F4sBHfCqRyrk7bGPCXhM30Edxa01JoRkiHupk
OdWIAtXwWzBmucm8JuKPpr+ce4pBHH8YWyV+dnMAub4d+D+6fBbtiPnyHgmXvt6CnSrfp8D9qSpP
NqocnIzTFI8zaiCYuil27BcdztfYsr2FLVdrpzD+1Zme0WGT0J/qcmSd1c1csKP9zC/nIvUfJ+6g
PPPY2/CUkPItYmRTII6+JBy8oEcMhTfI41kOTUbqU/jpdVypBovBrWOxTPPU6NUGckDqSrE55NxD
jgi1gGDq9fWUCOEUMAo/71pRDvGsHMMgoqFm9jH531dcm9Qf2KPDGybWqfyFFbFbMyjmLWQRnqxE
R+sMYQw5ZHGebkkKyt+uBV01O/LzqwhFRG8ax9aWTpAXFfPa/qMV7ifz46PR3fGfC9g3Y+jref9f
RVAwZ4G90rcNWfGoFKSGXt0+rctnxO51kJH6dBZSXqCBiYblb4Qa7h+i1tm7kHFczvIbK6M+IAZJ
sOEkIIT+bvuvKVPlrtSxO1ejHGQz/eTr3y78COzU7xcKUwTkxQKFiCEJ0DjiP6t+etJJiFkhR3sL
UNKVuTQudSBfO7C8eHaRFoQpvXnf78wkMKxuHJx20J6y0QuggKZLgCLDS9Klg+mscsNAYDYtyUSF
7gBYH/IJxlx8WMsckrexOEe2wAonSmJBAdtnxs+7fZQUMfjxAWSFkK25BbRin1obWANObQcxEbUu
QTkhqzwKpw3coKuKOwsrQ2qHmxDjFtg57Snt0hDp11FmNr1WIy580DUfouZ9u4r/p/KkUbEXVPEI
P5rKzpys2p3zbW/YRIVTYD8ZF8sdSasEDTPU0pl71v84t9wJRDmgEBq9e/hUAYnkD0v4d+BD6psK
WpsG3X1rhe5IhQjS8d4XCuNBDXdhziJCtmrPBzasP4yC0utZlJGGqfy6NNI/H2uGmgaVO+d1xgzo
NY1riZaovj/qAGILkTADWo3kywaUAzGhkPfKo6nbvrlQwX1putOyzksSGFUYMjwQnHBA0xMVB824
zFbJch3j6qFjLxs09NgUsLJIuf3c09ZAwXKfwhnVBzxzi7lctj6xraaNhCSQsp4CLu7BVDRSSQc9
3XviI474itl/2YcqoAcgon5UbP+FBDTsGuYKR5vpyC3gvfE3d1XmemfI2O9/8+eiq128XSMPDzpY
Aa/mnBLU5ghqCO9RCuJlID1etvs76glG9kHNeWGgme+i9cNNRDFS/5HLb9yjNBDvX68SjV+9yQrF
YJOTv+ISheN6xOgovMY6orwkBml3kwxgGTmKqzT6qt8D4nErhMrh+cpaykTC/aHXOQjUxdSYHNzB
efGsB+sFKY6IBF8bNMI7EbluXW0y0yLcPeb2LYPw4zDoz9ewLV0z0J632lAjdwz6VHQzAiPIQtPT
LkmV/mWwX39nmhJYD16wNYL/S4ewgc9h9GHSoJIaJjvZq9DrmsybU1gsDJUwltDf/Vbsbo5TElQo
Agzm0nX9ngvVrtnYcM4aMvF+J1Om0IPdxyO6ourVjs8D1GK+YEgMB1g7/8RhZpfYooKU0PFFZ8Zy
yaJ3BLZHzMB3NVZoeaFC3jYjo4ER9n1/WqgkwEQiTwVV9uD8tkjp++YQfrzaK7M2SouPaprXxKif
7Qx3ERT3ucRbXLlLt6GtK8yP/+s/bEn2sMWdThL9Okj7wH9BaE6OzKKm0uHlRlOfvwUmD2Iq50sc
L/4lRrsqD8FB7BiL6v4Bd59WmTPl4VS/Km6sKxwQBe76YbLn/fFaOGo7coVV/bCa4QWCUNdYtPZg
6EopGWl73H5yM2GiREoGzAO4u2xgbk8gufazKqEGARohLvetMwIm4/X0AfW5XWNtAYC+dbgJMaPS
MHKs+4Rb6BRP87yl4GKBoeWGzaHWTyS3PUVrsvo53L6PazLCDksNajFR5NtduHZhi16dZllhmvmt
Ra+gfJIq755L1nSIxOCxiDBbqhqJWCU0gQ5vCwW4Gc1Xo0aB5mtSALaAkrOch6OilRqO5T4/hpSF
NjyGAV4AbxNJN0dMp6mpcsFBi44uWnCjOUYFmZd/drTYG8hUxc2EynIaXFoT07ooazD0Z9HYrrYx
WRB4lFqzEBxiuf9QqeNFtk01ipOm8jNePcdychpwAA6tobsRiKkf/2XpX0F9QaHtjJuYHvidbzZM
57e/w8o8jwTlhvsr9vg6QWSZ8IR0X/2FYdRy8Q3eesHpFzS06r6FAIYvDi7XNnsJCcQmPEXomABG
6MhoPqMye4hXhOqUnrGmEZglUlyh09gy2ouWuT9SoS8mnjqZVR8uno0D8uL+SY51Kv+BqUNBAPcM
EhG5Z7UhwCeR1cWtn7IH65iLc1pzdv9kA4Eeb9nqjz5cUV0+OOfy+GP8Yu3IPjd7IQbODqNbN1Nu
tyjuCKTC9ZFBCmc5OGR60oTjwtGIzgc60MyaXK0JsTfr1eN7dNrUD6c0P5I/u6jqbhCozIt6Qmxe
e7qggwdkFGGqJuv0i1CfrNy4RiR00mDqPWCqpGFuiT5CIBI0v6mVe9saZf0GKlNPXf03FaDYdJWj
jJ/AfCJbHONR7hTYC7Vv/l3AgYp6JVZsaXqXMK7OSOXk5gJZtoMhV9S2JD6gouWy2CWBOGB3P60g
fmUzKsV7HAs0RfzlPeQ+J/zxpSEt1YCWc8vftsLpB4OQtKm/6i82578R6gWdbKWXspaZTcjnyvRd
7cXS2gdcaLaVEBEppZHwDLPIhR9IAM4/zHCpO0TQ1fbipGYPS9/1kDd8mXyEb69MbNNRdlseEO0h
SVoHP3iNyLsjshlNV9C7x0q0sNwc1yT+AtjG36Xp0XRZDuQEyVvCHVlTgI5HAjFvvp3wCq03MvF7
8wH93YQQv83s8Bj2sXwPvaF0aQu1iagWJYJClRob70P2lxb4jhB4/hEixsVFe6DSDw9kj9r/cjoI
kLEw+khHp5wZn6sUjTI+6EmXA9hHEHOs5vzJ7uTBOPzu0Zncb7MKb9EPYyuhkeBDvW8uZXsa7ewy
Zkus8t39c1H8RakjyrrWDx97LQs2XlxPL02c8bXPArIQnrKjbi5LO0078YVHdWmVsJoYOphApPje
RaldD6n8/G2LDWrOfn4sXLqoJ0KmPW9w3K8/XmUVkN5DngyrlSaFVx9+MOZBw+aq0vB83I2ZS26T
xqMOTvPs5CB1DnuYj7AynK7XVOA10mv2hqJ/KKL2oFOw1mHM9r8Bh1gTgUwE2ouHCCJGJbTxYN57
N3zWgyzoe+xo9FiremA/8lY8wCqLob4Ea/y79S0RKKwtUqsJWRcpT4qIGe3DZ/DB6z8ZRYvjT0xQ
7jvG+n3Xf4Gy9sqEv3VprLh7Il1FgVk4qQVGDZyySXhbGKlfv0MmtT0N9HWm0v3fffir6k26WJee
MJEFWPdxjLH8QLGG4NT12ZG962Nkc3MVGus1kNpS6w3FfSk6us+07QafDoc0lQjiE8iLyhG7USUW
6vI0vbUfKT/x4nzmxQ+cM36a1RRZxXOVfEB9kpw/+gqlSO5P1YhRh1yK+yr1bUagvw64CWD4IExp
yL/NZVzOVeMEWpI34Ktmvot1mYxzzZ2DFZPh2596PMupfVqCGL5MfiAR4hDn9WvomUnF3D7Xhtjj
pmA6du2Qdex5jIvkp7mXPOSX1KF8CaSThfNEVZnsHyI7QAQmjnkYaLT4AFSzhXPphdBPALn9/13E
Rcb2+RtDLvfywiwHOg8iyOZ+vc3i6aZQ7UH/Pf96k6Ryu8C0toEfehm+OoVSn1ReS9m6euQXUwel
pBFalGQFj6F/B/vSzENk8wXitsPH9T+5fn+1JHSfvepiM8UQjPeEjDlXbntbxim07polVVcROJcE
uobVGjHvAVZbvC9pYqms4RAImSXCR2uKqDWz3I5GePCciP5AefOslpuP6DZQ9b5owxeqhGJn8AfV
j6zL2wM1PSBKsPovwGFQnqKR3BMafHh6jNfPxH97hri3AI//h7Ht6a32SoRA23/+s684kFGo6sQt
Xlp7ypuLLO4owjsYQu+FS415LPAlqMPejczhJ23XH8mH3EX/1VuNnRvyGcxgAQYXA1AdZKGLEhtM
uBuZBO2djQ6f1o497qo6r8ZO64+VSF/1VJHWTZBXmxJTtsW5f9HqxwM//xk9xs5SAE7B1ncAPs6p
ZGWkaXi/46AwA5jOdAJRFquyAeGpaLnkMH/nxbRBi9ZRFpfD9tU3v4Q8VblK1X1ecp6m0Q3xx4PK
1wrQNccBmlKpdub8mFF5gXIjqEFCVtD2NOe80AWb7gtkuChLL08Wr4R8grkeEHhg8iXXlRiZxQqP
rkHpKYwCApD4372LaTYPDlmoYqeqWCqD1btcpswge/1ZQTbrTIo8dVfq1MidipoetYm2ZAnaA4fP
8+GkNds7xDuL1lHLhz+rj/tUDay6dA1bg3Uo7ntb8EAAEDQE1c6CruRiMAIcfcdPxBMgghfnU78h
93KQvX0YZGleLi+TL8bqk4E4km28IUbuoAtmRH5BV6w/9eXsupY08bI3IDGtOAMKAIlvy/gXqAif
qOZX4a85FcCBXl4CDpBFL78OKVQsELcP3AajD4NuSx5vUyR8wE0hk8W2mtzAyyL4zhEL8lhvnJA+
2W1ozEr8dqSzXWrMpffW6LFmiZ+EEXo3ub0bRatGd7PkVGsasrfUM6/ybE6UBu5U4AwfaUEa8am9
EKXBNhQTfg797uhCyLK5/de69HeKq+nH6pOGQNxUzFDn0lSXS6FeqzdeoqMdxgV7eNN4SRVD5N2n
aBVr+I9o/RcJPQys1w+I2JKgLMN/xSgW1a6bSlIQUJkCL3fGWoGAuE26MBJ9ugQ7j480GBCb/dmH
r+YtQhXeEivxuoBpF634KFGNwkUabzQhQQVps/U9Zk/dlKBRBtXkjgUlihK7C/VRnvTNAz0X9xse
2XDsSu78VFnomNhBieTqCZD8XrpWKzTqyMgJO80thc/ygcrlQDItWHKLh7YvHBdZB1HsuiELHe0o
92eKDcqHf642hig69B0iBM326uKecGz0kHI+cMelaPlKX1plud4AUl24PjTXWderiFsbjElHtK9X
zxt2oaa0o1F2v0MUI2yV58wvF/aKOVg6GVZBFA6WtqFlIAJoD7Q04vNpGIsQ2IYeRMVEnnxg8OSA
h/+DS6eCDWCIuk3v4g8OjuKHrjAVNE6wmIaPiGtCFtPYDigCSrAn+PiwiUHLEJT9aSLZPhB1mjC8
UEFAdA6KQiSzVbQOLYn93hT+oLoQCe7QPvlGVS2tmHSg62t4sAJa6HsXCN7wmS08Hi1VPOAtboRY
KuVUEN3b9wZJBzlLid+HXk9t9L2zZkIQxGUm2fG1+quHnbtNTobBY4NkUTMSoLEbB50Kpk2M4VzY
kfeNUFDNnpnuYBFud2oyCxQKPKSPm3PecyqaQBCub8kzJOVlRpas5M3wEPxrF8xt1mXJtTSd9lc+
2l5BxLVQ5RjN8yQtwLsZgMJ99hyRyMELt1pwNN/YvDlyxYDqIWK0aWNOoZMiEd0er+rxN4ChEO8e
cUQXVm4FB4xVif1hRqCXKgUaIQJWkm+5G4UTlu4tMs/1yGy4TEmvm/czy3pfZoCgNeQUHYHP+Ar6
2POJUDXdnnYSyi1oX1qO1HZ42s8CVn1iDQdkmn2sTY1evaP6lfWNG9Aoe8mXbm5ErX8HuZ9TGdKb
ZcYg0NGPc3SwMCqK/Br5EAZwCKF0uwQyFrARU2sOMUUOSe+VlQtB5BtWjv/nbs075plVPxXHROI7
bICuGbTSCYeM1Grod4jcUTxCodTHV2B6jP3ZLOMWwf/Nm+x9335qFpPyiKvgCnmSmpqkYzifH5+1
D9c9kVg2WhEo/pvvKjp0Z338t4uLJRwVuXM+7jBo2CQsNBlpnuyVRPS4xbMJPR3ISK0+eXKZIKd1
r/d1GQdfXp83QdNglqnAJbFcESEXsrLvinLI8JntCtUNORCYDPLGAs6tJNNY0GPlFndsBXANx2/t
T+BWUuI3Yf31kHp0J9E3pkjUHkNoW8IRgwTApffLh0tZhQfydGUUggHdOwFBCf5qEuCJCnQDkET6
SI9w+C6u/Y7aHzbNBoeb1J4a/+tMVV+twEr///9jdC86otB9qRkaiRyiRy3wHv9ux78AHLDpa+fl
Bq7CkXUBwj21aRzt/znPOu/bzqHf69LrY11aGVMcIs/QS3bovDrzFGH01B8YD+h1DUoH/Xavc5JN
er95RJO0yLFs8n2hqG6O7ZzLufZiOb2aZ9tEJw5NDID6dLMrves364s41d1DCugaCPqC4KwC9oob
Sq8v6drbTZ1uWnq88NpHlJZ0515scAafDSv/HnKS6OSwjW9Z0ux0mQuuhpPYRpQBHUE9oRkkWsv/
5LRYJDUHMAGdDCkUcvsKiW303Nb3ueKhvgl4saRWOZZoG8lVHpsAM2+0Styfl3Ok1Us2A3R18II8
Ky7p04vjug/UvMM0x2J1CbPNeUKXhaHEkf/3IQtSLEbc8dDu9CSMwODJ3S49S33AX5JaCNjM5mis
HKkx6zIMsWeCWIFmV6cXWAChWt2veT03QJnLeahJF9NFppvBrzDv0dmtNZ4TInd+S5uTk29Wzeia
iyRluQjHsP2ARbuvW5hAZv5B+arjlhvDoxZy3fqkbJ1VRfDfhY32It94lpZguZEio6WmCcC9F98M
eglg1eSJgXV2PGPhgMC0xoOeUcIpqkH1CwfqBva9yOy8QBHbsghm0J2rE8H0dTml0YcsTuXAcGl9
aejKvA46ERzeiL4rkTQXbm0U+RAJOmbAbeiWct1Or+wl3mFHA2Y2unnIhUqnxKB8xa/VXaAbIJtI
Q+6W3xpetVXGLFQfKsOzjkyDJT76weL7hJv765384MBFE+/VnqNoQub4d2E3J4g4LQFtwMTnFIUt
ZoyL2ijJgpZ2J/eQxWbKKrM7iTeIiGRCXB6yDKIs/elxENZbMj6WQQmcrg1awmUfodrkTP0eSLBg
9lMPC9P61CDwrGwZpNfkGbc0Gr8SN4sMgnQfvEipNWkiP25tV4ajZEtA43e6qm3w/EzC79Z7BnJw
RjPfzuS/qlmt/SPuI7M3nZ7YY5cnxJfnGFhK1khuR35uHlr1r1CQlrUdcFajk9xy8VbJUgwkN9tl
rdlvOC7nHZn4gGWIEAnbrRUHD2tUK4MhOWnmbXSk8A3kROwgC8qanxDoK3vr8RjUn7RppASFlplM
0y3BGMGlhKiOB8adX6AD/uGJsKMO9hh0brTwXpO5Nz0AjPSz3zdxpQWuvx9MC0t6CRksJofdyYnN
hreaqR9IP1uQbnHc701Mjj1X1mwhj86+UthoqhAq2TTsIF945ig55GK/y+F01KzFp0pw7Wv3Fhf3
hs9sY1JLXguCq3ej2J6p4PtusUbcu82lomh02/xWVVozb+l8KU02Y0GAmaNMTbrv+Vo62nDZx47l
wgdjgTt5yupZXs1TguSn7qGebZiY2gdXYc8ljRoiSCDV4ePRw3FltyDVwffB/E0Tgx6RUbdRrI9q
dk+KIDzGMW/VWBtI1yZHCGPOGLZD4g21YG1L66mUjRZDznXOdvvCVCwrh5nAndqzMpx3407PeX69
GVEP59kGE43RX2+bLdPu+89WakP/c4PF3vmtFnfxEvFFwLYJ9vW0XySYHPxmUIHuR9tHUjriREPp
/4Scf+OkOv2+5c+X6UsAHIi+uJyYRO8KYyaSeqT3A0kogxUEckGIvYzHFjDZ/kXajBq04f5o0VdX
sWz2FQTkeEBf84d1dYyOnkaAeEAqnQz6ZfCCxuJSajgjmIjcQxc8vRgnn1nSD/JraUITxn6IGCRG
y/P13croUpFyGmnimX0V3caSqVtt98HSxWfp8asChEZafCkeH9SOT9y1qbpQFOY4XQGeyhGQB90g
SyhFPk/BPU3HrsKaapDrhSlGEY5mXfQ6H1UBQvommtK3Hsx0aWiu40KiE+O8zmR0xLJvbQ15jD1s
bBAYljCzzJZRoGlKXTrlr+aORkF9FFQXth/iQibziSHT2MdMUW40VGCZskBsEi5G3Xh7WwCtOdqn
8rEDrwv6veLOEQIGbuinXDQjRArZSerZr8urPC6xpW9nm45ZN1+JFHHNUYAC1LGfkfPW3qDbp70w
Q0ahUPcYxgtedpjtgm9nlTU4/A00EqUswvhgEJyzpgrgVycWTObRjO1E7NOohFivWMlma1cN+seY
S03TkhlswCfZe5Cn0TX3u4OiiYm4SsH7AVh2j1yiN1mfoLmYzz2/6VjYY6pVoJT+BqAIltY0GjSN
sFcq2L0opw93hFnQFFUNGXW3nMdRfdli7qai9OvZgUY7O1xFYYHUKCbpfrzhVJsqLl92Y3huKJE0
2T7RsN9JnXCFcayY3lDTd9KIk+CQRiHCexWnjFUB7dMcB7U3N9kvfeaXyWqlqtj6OvHoP/qZBKRr
DMCsEC54SGC9Mkls6BKbadLh/R68N5uyBehk+/MLv+2pOllbptJP6KDOc3lq8an4jbqJ1VQf4aT/
oOXCqbaRdy+JndkTfuLm4Gk74XhbnuA+cbshUNednvFI/BxiAJPterQkoq63gMJ+KzYVcOgZ5NWf
ZPV+n1u1lByrz4feZyTkhhzWdlVyf0F1ke1zwojkIlYhm6hA6x4YIDuGPD4hkmLStlxXgnPULE9G
yYFuzPz4F3V/+97DVP73Y6PaIvzPS0TL1fFhotEczoFL5Q9un+iail2EDQqWqBMay2kIhzoqvBF5
F8p1uiOEYmYpPwRrQcLJLhVkieSCsPkK0fMQEstig7k81DKr2fv6V0XtA4FgohOQOy262xk5Q6Uq
w0AiMWEyjUQdAEDluJB4G5IBSs+aSf4DmFd5VCpymNlBW54T1yi70bVuxWpQpKbBGdepskY87CKe
8ZXh3fkfX3HvpZj6F4rfCVfbdFNNMPfQMs91YXhCg1c3JcVhi0twEhJA7n6R/pJ5N02xhU7QHRL3
tLxblv2t+yJI9ZXaKS649PpJqhOwxdHPRJHbU65k9/8Et6jva+QhGNniRdGIVJVsJdxs8r3G0rr+
nuRgvKq9SrbziFwNEqG9cZ2s+nBK2Fa/GSlp9RB42ovPwGrygLTnZ9a88FA0qfU5XeP2WO0HZkR+
l3sDteFz5h5oOfitPc64oHzj+xUwHn9Gx9y+qJzbX5u0VgpE+A8C6TCrJ6amEksxMTy4e0qQDaTQ
2unuCSM2vOrTNm7MqYdZKKZB5h0RW/czZ6eX4qURyUUQPsMpP8+skWfJ+V7GQpWchYpQLasASvoy
6epfJ9ejXOECea5SAMTpbV6bI/jv+cI5LEnoPLJ+hoHWMlKCzCvreT+seyq0kIehHt2TSPAveRRf
I/LnFkONohDRtTFA0wiyPBpvQEftKbtuIK3lUqocVcu5FnlUGne91hCzQnFX97lcDrJ4ivxRnWfC
vpovPCf/3QeOh64QLWTSVHx5VdUwoiwxbMqT+ixQsx9vuzvd4ub0LImC2hXE5dtrj2cFlPZeEA2u
jhe2+Ba/KVKlhMr8LSVwyrKWfvWytQFEwMtALkedDiPrugdHMlefoaYMzFHdJ/fRTuSmr0v+P9q0
mzmKkc+koOh7X7p24FwnvFkspjNsBGvfwZKKR+e5qBl2O3vT1a5b50fMyNOtraNwMabB03XK5LLo
+HRpMXdYZ9EvUt3yHLaBvOofiamNvjqPhroYF6n2wyUBsWGw2giRv+BIJINHjAEFh8p1NVAk+krc
0MaqJMVYxhBxg028xFX1k7yQvNVva7TKjOwg1neQ/X2nEqEmw61jo6D4frnh2mEm/7nCxPTCgMcu
mzOirgokh2bqeA30ZM8gq/pBVj+UPQcBdirzwYtvJv3uiCzFtw5N9NIwaelNTd+RGtWu76noBmDE
+WqCmrRDxVWr/vRz/HjdJm9yRXwuPrczhdoH73kR4+Mt0+/ZaMZPgIigK+75vFcuZeQlcbNcytJx
Q4FJuwlGqYHOP9jg0c+k/4gpk5wJlbKIr9zndcW8BbrMZZ378q4JzdrPqz9CukBYhx+DOl3AyHg8
aX8VoIlb9MEcEN+XcPTm1d9nVErCHd4gsK+stw7hiYTRTAcIm+PmrpjV2ng7Usl3FYP47AZcusuL
nHWFvd62D1boNiLq9Ogg2FpT0Dux2DvmO/JvaEcXHy/+iRntXfvttnlBxuFr1M0QKygngQjhUOzH
S/KvzLCCkkc24SOmFmfMlI4fFzAjDZ9m+1J3qBww64TF8zeqn3pQfCQ8k5lP4O0FoXfvq6fJQXk8
t+CIuVX1nmeZXg7PC56iU62Esr3LWLqI+WWNHWgXZi7/Sx61rT6UOo2vK4DwmVKlsOm/ZNAILo5r
Y5FCuWxcrCCyOE2tH9OviHZH44oBtdRijFrploECqYep0upqYjva5sGjhR86m0EUfz7YFICxDJI7
f1FOI/6qQApozQRWrL8U2Daomu06onIKpRXE+HKVm0JxlDUILIqLJ5DJ4S5M59dx4rly2KaZ0pfn
TIvWpMvnmUrGQk1mjFnr5obTyYsed+4MPGVsJ8MoM4YCRUy3Pn8xcJPiW00ub0u1jMVnHTmUyM0U
SEqKybquhG3z2GZJw/NvCl24Ih9qi8Moc/6lWJGjvPIzDhVHCpiYxjMpopAIbEPSGkk3bxDDRZg+
VZu3KjAUDHAJ0LJXd9yzLO7je1JnkKx9OyczlIqWzKICRkVHwuPT/1198pFwCQBPD2FgAZvnl0Q5
BJFDfEhIu25tPj6GZnoB9KssGGU4/wh1xEYotOYv9N+GR/8eAr9ZFBlRPIRbZDQ+gC8n2H1timna
JwjGYwtzCBI1f0B4IAjH8EtnFl8O77/PUBnx/u0k6N1FeBuJkNqs9I33TjpeOv0x7pklTgUmWVwN
F27IYsUzplR+zQoMyOvTlHdCQaZixODXUiquwYpbCccfAtJhif+GyW+wnxUjPG046ACFbubXqOFK
tkHMXqxSh2zawoNr+3u3OJ8e4EDrsOjRJilSAWLLUfpGhFvQkIQ2E3s0lv1BdyQFB+DKsnW6jeIO
tmSq7nGyGB0Ew6W/EKwNIG52Z6Y+DmTiLaLsyKbr2NbG7AmZuoGDid0TwkW/SfSNT546h3ZlqlN4
U5oyox3GZ6rggSiPEoC63I6c6AsieGwV3T1B7t9JeU6yAwvzP6ehNuOaWobQsPhVqr6jvD1NE1Zb
Vt3oMtjhQ3879mWCPnx3aDm40Lpr1jGjJZ+zdklhIfFjVs3GsPbfLfMF6yY19Fk54m+5/BlzHnrf
RkXXOs4gqDYu8pKOl9+FeoYZgji+yvwagJdp63xHKZs9zG1vRiL7CyMV2YdOWvTI/Y1zePtpiLOL
AnC5bvTQ+cT3kCBb6Nhr+pOMktOGwTCndpmuaEdXrScykyAq8EcaXPDkdBOmIo7eqno6W5UFZAQr
nuEKZsSTT6wkKVXxR3UD8bP4Whb71eYOUnRgH95QLMfmm5HHYo+AJJ4MglHG5kgSob3uITIEA8ZD
qAh7JZQCFVFXl4PuQnnNuNveKFy4X3WE7EwtO7l5RQrHZptu08NCh/tWSFP/pYAwpG8+4R2/qZRu
3KULxOswCH5VZCE5VA1Q7okkeNSPmkccESQ/D1h3cX8X5IWX8s5WuHeBOkFRzEAA2cQM0NKciA5G
s3eOmEwRO809t6N0aym2/xucsbepBLb1jarK26fGIUVWbkg1+/NJ0MLTDz7lhTX8pvvNU9KQnFIg
p0LRn/b/CASUnSwCDPE7EOsHpyE7vROgHJDlL/5fpvYM/AJJcUk8O7/WOVzs/dj90L8d4jR+MMev
oCD1S1iu5N9U319oUKm3/IyFBlfI/3PlSeyv2C2mB8gDUK374WurXVTuFL5XLVap1sm7ocyNjFmI
rTB4yZIasgQMNzJreta95qYp70B7nVD/EZrnm7vSdzxPslmCdy7pOMFz4iJWX0+YUYPlZqC5t66M
uTxyBErssjdFDDEmSNXqB3bZneVrWtB2nk4H0CTuxcMnxrtddr0BahZ1EBH1my36aAim45r4rPTh
jUy2NAjaWoxyUBW0H7vCiQwcPb67KLQ2pdwyDKPLOlcu2SYLCy6sy8zI+Ar9UbIpSGHki57PI73h
24SW5Dn+67HYFThmOAMARVIS0gaO2VBW9eeKrYJCdQd6KTRR+/VDHSx7wwoaCU6PlDVWO6udVIWB
+pnAhS2FeSv5+ECVGqgtJdLr1LqihAoeCzdH8SrHtkIwksjcbGEyKTUImKX6ij8Mrk6z+7LK4FNY
Cm/396NOUW7vnevtYwnmp9ER0vB69+CbCI41PnS22ZrZcF0Kuk34btaXaumly2YYgzYNKUdmZGx6
MaMi5N3WO1CirJfItERjv8ykbJ5jyWlBVY/ITE5ZzKzTYggQwDIeBtOVscMjznQoeUCooqEmlNmn
5yNMy6PUqGeOjQYO6iFL/DsThpWQ5j6GMfedpOyYtS95vtSTN/4OE4kZMApO8XEWKkMv597o0gUR
gyC3sSbtb9kNDiqySgoXPHjjEnRp+lcJaWsdw74Jc1Bcf+QzCmusvLKfXogK7rjA3cXzrDUy6S2K
+aH9gVB5/4oVV8tuS8ruP1vVAeGFdVzurGxsXNUlrxYHFwH77JPZonqq4J625LiYpfoLCOhlMOXS
fcDKSY8NcCb30SCgh6ekcurBNiSI4g+b4HcP+bbiMjSBZ2A3BppyDlS7jGG1WWQyFfIc3ZKT1jAV
03aEpMIxcTs4GLNYp4bI7U1rVei7eTlwXsUaHVkABcanAs4P1xP63Sk17CF1zzEBi2ZyXNXM4D04
M5nBJEnZLh0d5iranudVUzKB+5z0VVUj1a5JupS2ITrWWv2RGLqoS3nvJA38xdp3oS2DAFkyQybx
gCOGWGqcFJ1Q/DYhVc/A4HbfjZiGHJ2B9ynIOYHK5LRajxNkom3iaP8rXqpSlhGMdxf6bvnYt7Sv
pUHCfX2q1qOHm0GtgOSNp9Jt1FZi/LHX7FoqF4fy50vbLpvzKFXAvx97K2t46z28U0x6bLBDl5mZ
IKq2TGqKyDKf/x1+1iq5ISGtkWgdqEd5718Gi6TxqwG0J2lgI7MHm6SceyfQ/5mqBzZGOqxwo+8n
F9LNL87KSF0zNDEShQL8yLI2k8i19spPYGWw4nQx++YwZZhoOFMlOs30Hzb/hkGg9TRowFD+PzyS
JEMUEL9Hjy2pMd9KRRzwTs2+DwKbjvRRALSbHJvQDJqZf6TpDgSnJhZuGf98hTo5oimdABKjyUaf
hDvTUpFp13xKII/it3ywM72tI3cwJBCl4hkKmVOcJegPlfPNk1xYayCFM2HxzWiZdv5wQzNVh1/Z
C5M4PA56CsQ5wLYuiEanMb5b35zzHF+IlvuZAnP7CjNuapjc3aScFM5y8bSogGrRa5DpnbWLStKN
QzMnF8R9d3c9bA/Z0KjJhlIldxSOged4MSH1aFXEffKYpDcUKws5ck+BZziQZsCFRoakvWb1QMd4
QjGu42kN22CuJ0WKvSsv8yn+LFCfflGqrf2SsresFH33ZfWsWg7iIYBcMbmQULmVJKCqUtxPgc4h
JpGKhVrk2DcqWdnoF9Sk6Zy/n5xldyKwZBCsrUylOeHxvV6xHPdyJ6kSLANq3Q8j/5ulRSljAKrt
HBlK9vosz2ptcNtYO5XunsPVQCz7kdG6WWqdI7z3zqX1zDDctY/kToKGyTSO6kEjr+dV7jqp66UE
XDzDzi5RwaJnf2P9m3Y+5Ic/OHWmLNDpX45j9lr1NlApC4IudcLQRnO7Jausn2PrIgKKfu/vV52y
eJ4eSw+6fpXfddInRs/ZhM8xjaEFxUUbPI1zG2tlhiyhwlG1X1w3LROfIzJz/R5TPZAFHbRVE+m5
MuSdovr/I9KKbCoCnstkcJFNgjwF/VxCLN3TP/HzvrR64BKc94LrwLcHhKyNcIUR76yqUZltg3+e
f29XW69K8ANGwCJsm1dnECSOaGrbAocqRVRs+fn+1hzqYcwKg1/m7u4tEkj0xskllC/oIVznuX6m
2EM4s71bHZmYD1CClo0XD0cgVmPzUYJLaXLUxyWk5CPfHTAk/dUa+k8iviJWgdrPdGWJTpji0gP0
W9IAsYtQZQoAK8ZTGcR1gfJKrgKyzweWrWPmzTDH6OwL9U02Ua+8cULu3WPJ2qiCZQ/JZJJyQ7Xj
/sas5qEA1r7o8+3QHGbGXSmdhy9XfvxpDrmjSvzhr6pwQ7bAWp5f+Yp/x/5jVklJ6ss89AxHJQV0
mhf2+z5baHg8MZw4OB6wjr6fStXgvY3ZIFa9arTOAF38V33xT1T7QrWy54k10oNwcnp2wHg15I41
WRD8Y4nY06+AgYe7mwobaFA3klGpC291HcL2S8o/jhPt9QE9hJY5vOLz71zwfI84IgM2IsREQkE0
9eR8SONTSqOihBtj8RAQckgoapywLhIcqotC0UdRXL7ensvjBISMsCGhxUQ1m4W0XSHe5ggazVQ8
TS2AK//8HUcRIDHpzgki5dohu1AQGo/AmlxxWW+XjX3Pu/aStNs5dGifMRj5gfiw+ozHeGZ99fbU
eaGPFulCxvLBh7MRc8fyyX+y+q09yR/kAwuYYVb7dg/dhZe+0A/+b4uins0UH0/xGu9Gt6eNnh3G
eAXlMevpeC80YpLDLrU3e8KZMRMu7wmM9FrYSK8/rDVbHk4ftYmYV4GvP0erjB9ze+nwc7FhcCVk
voLdZdgt49UHdvDpRhaS4QuBec6QbJ/2i6hY2uCjV1XRu0vd1mGFUU1QaBRyHMy2GgSz5vWtoy2M
TGyhz01LvTLZK53HUdV8NkDxt1dkK/hglZqjnWlCKYB/yqPkBD/vBS3wEtLoeYqhOkUgIReRJXJv
Q0Ad4KLQNJNlBdxDZ+AEnZfpxgpw25pDXg6S0J6weogLKqVFp5Y6ZNCr+TVOnhH4xw8EvbNbCTgy
U3Q6+5+3uLRXav+otperHvhcVZxgJWVQQTsgE4Ih/loGiZoFKoOXFfvSRu66mfnUkHOix2q0u/ft
ueIfExMVRkX2wIn6Kj0Jvc3Mjd1x6yD4klBSnDQW9Nc0AO4BcE+dL1AYePXiM19Sp+2mENJx5T69
dpGEcWk+uoqFVCu2/8ZJx1JUzevi7yeIJySfqCR+jyrvGxOi/8S5zPzOYIhD8I8epjfuFt2+C69Q
atXzpKfCPAvgTGxjdAQvktPOaFGD5yk3tJDOOoMIKmNgL+qSMmJHIDAuBWXz51LbVzRT1e5OZ38c
2g+yGgTY42+LVk+Rno7rzepHltiMOo2NP5QazChlQCFy3QqlpXPrK6LaNJUYibVrKanTmWUKGnrl
L7J/i1UgJt9/wsvYNtkivD5bePvAjOKkW255iO6g1sbkMxRb++ddNaA0RSHJxtZk+OIF8L2/1A+p
rtXLu9d6aLiHys6yo0+QQfiNMlx7l1hcVXamTTM0vC1OJ0M0m7UcDL4xqmnACgwh67cCJiQpW6UD
/PxVne7JtJjwqDayhQIb36eTkhl4TiekpN94vml1pAorOgxafhIh1Mmrjyl9Og65j05hwIkibVlb
DtVjpnwFE9oS1tzUaf+sTtLI+p7PEPdiFcOqeDwgJScDMkJbtQD496dMGr/U5irIYG3YkGaKt4lp
13zMeUWBBHoLGnvqH/bMLqCEmreM0U64E3pKxClgXGlFVXnkZjTFBRKxClX018c3yURJ8fzn3Kgn
qaghaEt/llNm8G1k9vfiVULg3IxScRGU9VEr1NwT0h9HAktFYxCIxmSsdouioBTzM/QMsHQEzXAA
MSAUfzvF8YssPaFpa4U5jejNCP8p6iY2ebbKWYMjSwt4puE3NucUqWyKWp/+E10YTyWmwapihk6S
mH7U+zXZ01kF8hedpdKDxDacj5mdoN251BBwCS2XDy5M7iI4V5QG7AO55m569ItzfMJAY8ZXCJr8
oq4CsIFGu2PvRVIuzTa7QoBxHUfcvZVtHmVqfe4qrdUQiyYS/Xnb7bVRNSfr5h9Chrr2oLnk38HJ
viBNb4GQpvvY18UxQbCaH/XAj+v8hDTCCAj37mJ+Znk7OCT+6gpSGqv55510SclssMuRw+ZssF9w
k6U6xdfNODvrdWYDDrvZ7B1uDjeif9EFwWEABPh++HzEcKYTmLOiaZQmPN10GwCcDBohpyKnmH8T
QB8T5gbiz+cR3rFiZS44t6vmQ8QxXw96UuIT8HClWFy1cYu7rU3M4J7okns+4f4XzuN7NTnmMzVa
xksd+pdN2267f79/bGa818uXdjWqKmAJmZOLs9D8KJZfEMCvL4YdSzG87Tnr6xZR3ab3BpD9kmeY
kYlNg//waoTy7E6i9FbdOoLrgJWarAMnf4f2uxPwkORKOA5hJucyqJku8qfD2BCYhIpy3t+f+3V/
wMorT+JjhqO6rgqpNp56zlBLl73Tz4NJldJ4nE3yqi0UimrwhApfc04vpf5lKrF5vKOPQV8czla5
ZpqXWDTkUKp0NsGeTHZlNPwx2Sp7d1+ZXH7sLjuTh3vFpTCXs6GsTAAQfzJ92PvSCak2nXhP2OEZ
/OJ/AZZ78Lix45Or5awmWdgO0jyh2BJvOHk4E4FoQ+IiLsLIgDM+Pa68xNwk4IpWWwDVHs9nxnih
LYgEJ+pBwkNl6l+60Y9WM5lFLjkFu7zlRdxfUOZrwteyK20Q9fVi8DNC/3py+YTUs5VWpuR6HlSt
Rk4YQXCj1YJVKvwtJ7FljtVKcBfx6JMigFv3JjlQteDWQFmaNbD1RK2mfk78R00SerMag7DJpzDA
4DLrsz0+N9IlH2Wm2188nvV9wnWgAchTAK5JLTWJ/7GLqGGaglq4xhYi4DFN7TVWOZ+BHZ/DFy7n
z1BheLim5RVszkPVuxeqcOIut2wrfoa7+bfUzuaS+nEkqSv9bc+Y4Z26qG0kfnXpcHrC+trr8GLp
rYqzZbTn21radvEamg9G6XdGRP7GFFNOXddKtusT9xrlvv1Pbnuf5u8MYkdcBYlh5IOZ+BRw5mZN
9eb3Vtkdf00B7fAFeX0V2EcM/c00appUkBJLpzvwO/8be/XysyasYjHdu1NBVglJ0imNZZeY6juV
PbG9IX4Iy1lIhtuFsLC+g8bck5Efg3mzMiMclZrNAJ0d5Z0xgEaJlcPzUV9ppo+Y+ISPPSCTOn3L
hBUDDi3locvVkCOLMc0/fVxDjGd/DG0AmkicQT6uVoQnh0sZWY4zIlG89DzjW7WpMNkcbp6Ajd2L
Vm0zEtWPFMmsGiz8svS9BRmBSAI4x4j90KdOUTCNCmhJWDIIBBsPsEyl8eeKjzNrcZBQrwgLSgwm
TeJguS13f+ri0STko+iRarlXSXDSh2RGtKV/tvcqOOBkIgSnUmkaxduidXNA6KDIt6eIQlAuwZc9
aBNSoqDbTQY7urwPFlbrde3iRzw5v2YDdb4re8xA6JN5NnX8TTmGKNFEK3gCEp6JJYe/PXx804aU
KDuscsUC/82P5Qaf3m1KDhNPh7LBamodMZ6o6ONd1xWqAEyrVKNdW693d+5FJq7xl6gIeR8AHynY
TyOy0Z8p5JG1zBw35yw4Tk18BBEuiVqCxHWY4b8E6rQb2A22Als7q8J/chxSCEvaxtvD2Louamoi
eJlsl0aHRqdI7+LIU4NxEW1BsvyFjCGwk+Efq1Gk1fSIqnoSS5JKBx18401qCQdYM39O884uQ0jW
Mkwu5LtrD+sFE6deNMZS8ht6AkHcSfOxGu6iDGCyydDjN70Dn+IdVJn89/L1U7/zPEbPgG52xLIq
Ef3Mlegv8mzg/BiNShdAdu9j8fGwxKVNQtovpLhbIJxMdPAMvZDKgLsohCqvtfw5SMH9FQ4kR1Er
+yu4E2qI1Ft4F986FtCZirp3Bz4VuAIlcfWvBrBS9j2L3MBdJ6OCzVhSiOEuqk3nJ399aRfv+isR
1c13CSXqWzIT428Mj3tpj5tVtwnv3O3ax9YhHm80SUgtDl9CnAmk1FFPDjRZN6rkhDrNaI0LqdCp
Lv3Iv5FIelKEKLt2xxm5fZLmqAmrkKvIEBCeL6TpTcuysvJ483oT/VE34U5j7UUC/aK7/iA0MnPD
ZzbO1WykDeddTn7qiG0xDe7bu1mlxv6ZNVxp4Q7dLXyuXoHFTECHdrYozk/vWOXF381+G0VJqI8Y
PIGPzaNRaFvEv0TMZLIzSRnf8fiT3zLOj9GOjzUkomI552qSMD6BiMTnncfj9UOlJqljftSsrOGm
vi+P9qw/xI/DnqZ+QjZzQmDBw2Oqs4+ldjn+zTk2n6BXSj/Xg3YEQ9YnZRsJOWKi8uZ7nKQ+RkHj
npev/3zcCpjdXQfcmQLJkP0cZjGilxUjgg8ZeND6TmttVDawkkrdlolLIOc+NU3wDF1VWvWZANCu
BgsrWmbEaVGqi3MZWSOe9zwC7eGlt1Ybltyd1NmJ5ybiI30kfGRwYENuDHM5guerTOWg4//ornbS
MvLx8frjJjJPwJJxDDShlTSGJOtYI59GvrciuIE5KeDPpD+wLEgW9DlLs6qRIMMDxjgm6ugDf3p1
dVMJDINusUaSEl+aCDggZFIomt5RbYclPmhtxDBpcGPKAFID3l60/LDmzgemlWSqnUg6r2vtZxut
hq31EksgJTaoFfdBaH7htpOMCuEZyXyxT+ktvIVy9lYDoS5xxDbL2NIIvtVXneCS4bs3X3sCFcQM
pdnjm+Y7+gxkuT96uc9FeAmXpMGzPZuWAPfySDxSxaY967U/VFXEJNJwj0LwxWX7erjnE1VQsccC
rUC4nUZNZmYq5SlkQVMvROOJ7OPJL4HknNUdLs4KYUqsDShGu2IpyodpLMg7/7wRc1LyimSnxXoh
jo+36ASss93bhOj02UofTI/YjOg+nCpMfq/cZbQeuH/IISsPza94ys5Xue9NyxQgLD5fur9grbRw
sxQJhP8QPrSbkAx79HlHYX73cWdLxwqyN/GaJhWTIZxNl1Y/wqt8+GcNR4UEKBjst3CV1XSrOqTp
DF7yfiFs5GXjudosqFraARLbPPiEY9YdUpeEJ1FYByvR+PV8IxLEhS0J87FkgLp+4kv3B1P8DT9y
1m0IK0b6EVRGMpxZrKUd+5nwc5uT9vY6O6wFfK5Iz6jMkKn3FKpEcHZu7CWwTD99ejdy3fDNlz+u
w8PwgIzA7gfGiwgvqnXG1jrwNoW5F2/23B6CafkUsmOaWY5j2vY3XofJOXfYQ8tv5KFO4waSrKhD
10G7w2+Mwl6hqfz1+lOghfsQgYuWPXjcQysiPTT6XZa9OS609kDDy/M9F4FM3dgySkmceDUE9uTh
DJXZy32NVOLKbCBEo5pkC3qDY8n79jLmiNAjcl5OsLKlMQvnyVgiMHDUAwJmwwWl60ZqLPNYkyAN
NU7yLKGMkJP/RRvlJeoI8MqzhZR+7IZsZD8XfIu+zS5u5rcrOzUHR5zZduNHNpDHy3AWDJHWBR++
Pa2d4RzdT8LNannK8xYlMIKUYgItJ7slJEXpg+md3tzDaK0aRXu6fg2K8krwiwZfR7xr48t2dAnr
I4TFMvD4pWN5s73PIsOmNCei3Ve8WQDr9n1KdMsyMs8aezwcH09wh39RUw3ubX5krzDRiXtm7cRQ
cGuY6r9m4vKFj3xsX2OuMBjtJiFo4J4LxHq9zzziZ0THKBE99PXYPlb4O/hdznaUp0BF16/jG81Q
dO58uI6W/vkd3h4hIBd0fQXRT1KO+a6dRlwRMzAlAAhh9H06iXdGiRsrmAvs4yaphrD5lfzL6GZH
Usd5oSWiRd6xZABdc2YABnhd//Mv51AOYkkl83yt1ziDTmJtbOKtq5KKEoS248oNQx5RiglRzRFO
cJa8y0++m09axz2o038WVOKhRwRRtDoSe0nTSee2GbrjipVqIjL3T6EOHyx8l0xEnWwSd/9p/Y2b
GgeY3bfdo89uzzv39S5FDhffFAiN9NMjIkWXLXzyvB7kRu3vGRYNrnVjvVzVRGmXEec+b1zLOJ0F
5Ahxgc9z9TfqBgN/ZtHj1dPPwrjUFa7h0phgHnnJSFsDCc3MpqqKwD0Phw73lWUfPSECo2MTRRCb
reO+g1cboCigyMqAqHl73rOMfz2NakKMo7xXtCv0VmVYcG5r9ExPWb+5Iyt39QI0F87hPKi/esFR
37+NDXWpgM1/E2qFqhsk/PM1d7y5O9KvDrEgQjFq77oJf5BZYbJc2dFEDz/PEPweOebNRpG9ovii
tT+BbH5EIc098wWedpAt1Go5X/MdK8USXifZsIUMwOe+Oj5gpSF0BhKbeGgKl6ocml6P1oMv1bEa
5OCOQC17nZLPc0ruXSqOui5//WJxV8SqkLdUdD5hyRnuZpGk5Wp7eU+qV1UWQxRJznKICMFFiGiJ
5jSdQloMCsurbasaHHuRMH+kIAvFDNMoEMRI4XGd0zpaeOgQdBOBVY2Irw5aynJQHj8PzW+iO2T5
T6aY8DBN3lvpBmdGSNUsHv3ZPkbOXhq/myDfo7Zr2NmgZawYdYAPvUsNHRcvmpAw5J6mkzbpAthf
HLs14XVToKEpEixNQ5if+YxOzaTYkirf5mrnCeP9FUT9f6AKQjnAVrJnkSETX8gAvXU8PEp2lcaz
1/3CzRhKrEz9eYYgin0TaaFEPkoffYV4fK37WCXW+HyS+Sh2vAyjqq+IrOyUj4w9IeSyiECQwP2W
DC6U5Xl8VX+fIWoavSJ/ALb/SZe+JOPFUpk382ar0hzpDkF5dp62GKOnq29ZDUYvwacnMVgxEC+3
jRqcjrCwFn/4RdYGQhCSFRSoe6b6nAm29/VTo+aOTr9U/4m5pB87pEnCQAG24LE7uoLXlXeWorIz
h87DSgqK2/7pU42QG1gxpaE4u1NfvpGh66gAb1/pzYT/RTA2RkdwjopxovYvdipTXUQIH6dqt/90
ZCdLSfljXH8XvKPmpO/j/GYVm3+CMRAfYeTAlklyRpsfL1crYFA4p1eBM29e4pBBBIbdH28LQyxX
t0Qmc/FiPsMtpbORhC84KwRw9sE0gj/As/UGIuDCkhdWPbO5SVhsNwRq8cwAvtlKEPY9wecVf8O2
o2tgTNagkLMvTbZRF91uZ9XD//3CozlKpg9iKYdGxM8/3u+k9Hh91neWgzRHMkJ48QQF/aka+yd5
ULnpg6q0S7pS0zhphZEjeEozk4T9h9rVju5Z0YlkNFgLNznY0zkjGSxFumTSgsMmYxZ0IJ/t2GTC
0yX9cMb4whMADHHQou0Z8hLzA7XOX5Bok+/fdhqtcwU+HiAnWd0MuWZm4uI8Nj4SrHFpj7kCu7t0
1HAHmm0I5f7q9wSXyusg2jNEjPpDKWn5RVAtyBZHk+2i18QgoZGyVhBQ6EJoDdQaUmz86YaiqMQB
f6qTeVPrQghjsw9xMutMbW3qEeHph0wxJ+T30gNll4xislR7N2dVkzFMhQf3OShMnH7G6CzqSuVW
yNRLNkr+CUnTS4XbjGgMKvuCttmAj1PvumlaNmu/T4y1AgvkLw6bRQX8Iw8nSSIynAVRUi4vyDKr
Xo0hWsEWZuvOKQr5+jtidU9r+IbFgldvbsV37hGcVTVU9J9pcX8cp6l7XCuH6ik6f5/faq4mWAdF
T8563asgElFJ7agsY8XfyAe0Xe7X31MzWqGlo+PtfiYYvqRFkKJ9zO7KU+NKePH6Gk6fCyUtRJQs
JnEH0L4KSigNBgwTfvS2y8xMTyjABrhAewwaL/jbWUX5lB/K2YhYAE39chLUVrK2vJMhCpYVjdzR
k5F63HERfI/NnWxHtith0+IefIH2WBApjVVA8L3GUSxtsruoH17q4kEnMlm5E3161y/8qifKW77c
QZDHL4oeT7PXIVrotLwJj2PqHACQCORBpH51+OVc1fV0uo9MgE1SUzb/YtilJKQ7XUHyCuIdLTR2
sBB2n+X7+IAfO+3Fti7rs19KY3GIs3bpkBiipL1Oav7kHL6kDSpgWEVyUCZoFmtrKCTTi6q4uKKj
1dqQduoYsTJIOa2GCeBmUZYldANKwJdx4YNIwB8UnIqorK5H4MAs4hFraD4UIOIjOAepqkQNr3fs
nOQfkjUcRXmaMFQTSTGyKgq1PFY5MZUn1Wk79qbpZnZFaRDdp6zkAHchMh1pXFkmaHAKeg2YddNx
eMa/jpj+SNiPhPrO7vE3V98XT40wFhkTSt6SeitI5vDcjXYR7KwRpwnOxsRTLdoTiCSvAkEDVyRt
1K8lB3aeQmvi4C8DaqyWwNy+KysGW+esPe052qerq/ZN4TCEyPkhSclgvFSlLchLVBcocogodT7O
EO7dwdFnQv6vd6uN+E1gmXMy6y469osJ0slQKI3eSy6ff5y4oJ2sOvW+CVl9lE1nCukL01uMnmbY
glzGearHGOajoIJQ26hGcrQgjdk4Qij4uxmDaklb9ORiB/u6CzyVlUNHiJeu+TkBpj7431tcyS9Z
59XD6PX5uqGnIL+PZ07cv5iDT5Pe92KaqbpbxlYmBOsOjWGvStsInk7djvBROMvnz2G6zHoSuzlm
0sbKHjJIAF/ptJT4Egay4MRSa9jqhHGClYjk80+ITadnQzQWyYWcBfWAK3M5m2S1egsB3ekCCimg
U4eMYyhl2ji1g1OdOsqh3dtQNLgO2uhszJaMttnQrjqGAcs43TIZIFSo+OY7bKw4o3bpwn4favPP
RNies/4GHuAkU3jpR2h5BueHSYLZMta7zqa0MhBjd6NNDDn0WmiuVdZc363G881Hjrf3qwcLx4+r
ZixAlz3rL2Eilec8wRlKtSO4vMZ2/biPJEu8Fc/ePAjjHCzcdVDIyXLeuTfSJs4cR0yjqfI9juCV
P8vMA00oYOoCocwhqrBAdq/lVXr59fsus3oVkX4Ga97ilapdguXvzR7uhayHxBaaaht74NILRAbT
WQ2r6z/DH4cFkpbX8UU5TU/9sHfPprueZmr7K2vLu5TeSc9NcOqSEvh7SpfP6MEa6Yl/j/mnDYzT
kaEvzt+4ZhWrWRCMGqqd9cj/mgsXgPAZKPETk48lvf+Qkgm8v2nN1PLc3+WbeIhuzXOBHdeSydSd
YCLooWJGMRLZOpKgsKFlNOL/0p+cgFk5DmTp9v3ZcqfCHf5QunrVauh+E+SHDzhHtPSPya4Di1N/
pD62/e5JoFg3ugdzyxv13UcrahL1S5qP3zN+Oz+CPEMdj1+2G4Vibk+tegcPoM4BXPAyNPx6RVO0
hLdsqku4bNQbG1QiEqH2BuMnuHtm9IjsePZFX+njPgJupqN+mTQwLOP/cTzx+B/d3BxaWPEunrNr
QESwQ3n7nd8E/P2uGN0eONm832lAOwq162JUtkACngBfufn/irPaVZVg2Uu7Kt6PB4yutPLhXb2K
4jwX3sPmxMdRbzTctKz51M2+COw/FyyGQKbzKbpw+8oDSafImn8Oq2R0BHnXLziWQkjIvrOQS0H3
fJFbrTognX4c2+2B/yPXcTgcw9Nqty+jZApbJaG/Sf9DNTydqESizsehfSt5shoSB8gxWLQZYPOe
caLWIr9Blq1EMypokfgALk+6xeGlLhSbmFUVMC2oS3iRzvBR0BaFzPg0wL4y/srF6E4GvnzfF8tM
3w8A6Hcji06ufeF7duWek2NAhCcmI/LWzNnLUOd1b+pq/QoEwdViDa3Tq0wWQR59fyOUg0IZncFg
d0NuqXT02Ur0lGETR9o1B7jn6Nq+Hb+SeHePyykIOkRLUFIgsaVXVjmyDPgHcpoA3UCb0MV5rIuq
mFkqftirT9uhYRIGkpHG4htZW8t8LIbBlqHcLCc8NjpvO9WfzPxrw5I3ikkb5+w3EG9OwFyXAxq7
ddGDrUNTuPTSVdLoqivWqffu9Grs1sr9aJKHuvqcLkfUsO0meREBBxJCjivlXFFDtohpdVBpjuv6
vc8QiwrLYr8CGIxL4NnbnPWFfv6g6N0le0/qSu75fWyIVQcNKoh9RsAb0b8DqqGoVQaPxKCK7VKV
s/9PV6JMvX0YTKoif4oPidriqfPLGq0WInMYf6utOSI8SlCFUX1zuuK2LX6+bmzQFDbuuZWYlVeb
xgLx32dIRaxAGPn7Qa/PzrsNH8b50L8AUxt8tUW4g5OXw70TafYVVxCurq1AR2MmsnbrU/ItdEc/
wiEhffLQtWXqoYY0apU7qiMQvlKVr3gUNcoMNXH+ZIWZRpp/h6z+nTbrggj3R+qMk7nyO9a+UcB/
tm5PtK9GLXYE9sfG/98C8dmYucZKT50hf6o2Pp9IoflzSr/AhFLVD0KDronK3ZuW/ge75YP9xyFY
LUMkSLldFmsaJEkY/IRmB7fYLedJnWbZyZ39ZNmh4RCnDMuqMKTHYgjW3EYGC36iV+OGGFzh4QLJ
krKOjP8llOw5e8qM4+dILoVbCDG5yvENqomAS737JRZcE6AGvcsiydyDD+RHC30bx3XVSi1vBDGg
Eyw0xZ4sC08QGqM/zc0R7qwxAsbR/E2y8nSFftIyo1EW7ieBNivALcuBCzAjKXt2cUg6MT2Ny7/Z
D1Gl1iPBoV5Z7pwCKF6m9HgK7Z0VaDkvedlSqgswvBUDNpW4Gv00ivGNkp2pm80MYiwDtKpa5yma
7OKluiszd5msMS3iBIM4KARHKwBM6kumngWP1cCNCYNCtmiTWWFQDQyODuPu2ezmfXp8BPCIW9ZO
QEnZZzgNZwGs3A7JQSCRJr5gR2A9v+DS5fEDMhGSL0rMPbSbVu7rGs/Uo3o4SPTyWnxc2o0XWPgG
plaNccrWPr+OeCbv0tKW+Tn8ijRUAS7heTt644a9P4CtmuWZOflCPMKm/a5umwFoUXBtmuqkX5Z+
86Fk1UckOIw5gD4QqjBbvvMLYHkNaOGeJoj87QXVsJUvWJjKK26L4K8fu5tfoTs8/0NbMOl5u35v
UYS5D+UdhbS16x9SWeJhxWDT29nZAMBdemmcXslK5ZiqhHx5voICwZrG1cVpBx0fvqG6nLV/is4n
iyTbNUD4G2MvUW0xTij+Sz25smofsmLQBSpfqDFscCsd+vN34ZAZBmAOFXGORa0UQCAZXFVdfY+k
O/POOqYav+tWi0V7hlLETd59kBNW/N1XqYl7HxagX/zKbGaVmGaseNnj9AGlmN8dN9RHQAG3PB//
MRbW1w8VSlw6NhQmDiqLhbpeAcoS/hj6QK8uBe27U9Hmi20jNXpQ6348rWOOr4guMtKR8L4xhlIL
PvHWaC1uyKtEyE3v+AW7K6KIyb1Ljum9GP/OBbu4d8Za6zOFrKeJLG2Br/MubC/HZjyDWVuiPfnE
FTr7NvtCJRLlz+DqtyOpWzkeIhQq6jVwmxVkpQBS6+SBxm7qePB84uRpQX2UNpx4Bi/w+OYhZLGR
App7sx+KTzCEJ3wH4tScyNDwIjgYVFmXIaAUQf2itxS6rSj6K7SWnphoerdXjTns4oVYvXznuwQY
6CMlDR5JWZie2cgmEVWzhq3t/mEfjbw0PsFTv2uAd9ESujlmzBPVCPN2IqijfJKIJv/IjbXOWh4p
nSICS6uTMz1rLg9D6bnxkabQl4mBxRk5vUk6XFw66uToSZFIIki8nG/NnlRFG4NOyBm6f0l+6YNX
g9FdpddT6TqTxHICW+7GS71anW6rz2Oz3Iw3nI1oXHV5ZWXygPlIGRsY4IVEy4kvDdf3syimeaqr
80qPmgfoZIfQvBPcM+eQj6p8Rng4i076HmE84SpNkExYlTZAgGKO6MWigtfIjI9xR8S/un912b4D
iliVkfQSgT/lnLOzHMkOaOiKIIttj+BDD0eDf7hNJ+fpcT8VK2ucGafqTdv730kwIBqCJl3iGM2J
e7eBNvxNuvSiy92gPj4bm5xg7rOnzoYVILZqV3ksEtxTcfa8vpzCNXgCuTYU5mDTf5Iyooc4Q48d
aJ31aixzJZYGe4M764lGdat/xjIIZKhqkonqhCRIQo74hh0svBnVGhyeIlOKgE63WvWZUB52MzCT
n12kxzq65lCBdXiUM/RRoOUJpWmKu0DweXY0YuqmggFTMMtJf1vbBSgytSiWsh73r83BMh2EMQ04
uaIuxeVAsH0pPhFAWpyynoUeHUYAf6v6QV9jveoGuYaTk2N5TAxz52ymzWyna+eV83yXKf5Omt6Y
46gI5ImOYhfi+UIOlaEFYrYMrTCMv5a2YbMLk7Kt8Hg1FQXKUZr/8qAbj7RNznzcnTC+xttpJ83j
hyd6npYLBJrrTcjnmType7BOwVPQUI6+Y3lHOQ5hSX0w5atzImS3VOEQmNviLlfa9LZRCVuRiYXQ
Z7ZWbOXignlLo0JxZtqHtyMUGYdf9vXvKK7cbGPQzPMM2l8QLh7nwBPiGj/5lus6o2S1CDTZQq+r
hCXvOnAp/9y/uEMuNlVfdX3HhTjhFRvswx/KdFdjBCVvJ+PLaqkRzPTjKJzyJ++TPeimlCg3Yj9j
k5ayvSM4I1dxuw4FkwS5LFEEZQY5f6H14oxlEUPwJAQHNDSN1GQ32mabc4gl2R2+wU4WKwVDTs3m
zLM7NDCPa8ozDjmtRc2JO5MpZXZd3BZJXXyHkuOc8IRjwrVi/1aBs30WA8ePNOxw1obhXq9ZGRIu
4vNo4UzxhVKzOJwwj7UN4NLLBn+U4uPpS94KSV0S/HmzA+lpQwVRg546hKEwPSVtSCpNGrdqw2N+
ZgWL4LZCeLb1JJVyFu1BfRFPwyWdMtiLEr0zC8IPi2WYvNbw9+XRplEB610T4xycgOv9tsCqfUKb
0gZcounqL33aDez3JMDMajKud1TbO+XnIPePLxKOCueX2sX7qUIOnxdVq+0SrhCdYCXmgcEcAKBx
m+1vQIudqCXvLfHoLZsJoHsG1MOMgnbs2l6J9qQj9M/5wzjiXslnSxYKaCIDFRVmw65MjtfPs2tq
DQyZUR8oNh1xRhTEFf1oG/9PNNsR5JgMpIvVyx9VeVLcCWm7H2CTi2onoaGzQ40W4381d5FdvEnm
Y/PhlVaOj4uYyZt0AqIiUNC7NEL445Jh7sKLyWEWOmzT87Kke1kmG5aWsmNKq8pRocNVfnzfGJ1Q
VgPBlceWuL6Iili/MDWRcHrRXGRDiUURaMJjXhoR5/aUaYrkfUOQqHKqA5EjmqfGwpIICZS4Vhsj
5Oj2HvG5YPAR6Qgbrd+d9cMa5ml4jLgY1j1PCHPHT3VEzIH50Z1fHLmN0kFfdO+5HoZnaeXme1kM
EYPTI3WaViNsYur6Mjc6uOxLockOvicWsjORdv7h8t27AqUEETmkeZvOnmhxt+F4RkDkMI+XxuLX
sbCIqYGkhq2/OtQyhtpFygztdvzj6wcickO3wu5fuVNfzdKiM+XysEr9k2qT+zu8574Y1M4t6UvT
VzftBA3wxmbi92Opzw9BfhMiqsflr07jV5C0b/G4Y5a2Yza9M4O4OjXk3R6iAujvrQpoj/iwp3vS
hG9749NwEltGw8Hs2cZ72k6qB9sHJ8UQT4AKryeN3akv3yp+wf6vl1NlUUet6KnFXnW4c+mdVgH9
34fpdDCcITKvpgjOyhKCHZLkJWvQNNYuAKC1X57LK/SLezkzZGp3/iDi6IQw6Y4IDdKneiAleTCK
LMleK9eicbt41IgfnHlnDQTXomksKJrzubmYSiS4l5lGXvqP2aNn/hp+j7wa71z3XhoIgkHxn2Uq
G8Sw08p6wyM2RDn/sLOuz7D5uDkKtTrDeKBULFRHreuXztc8j4K/c/L22qmL5wXUfOO777XRZFBB
I95ZDmJy1ArmUqzx9vopmd496uhoIRTMgT9zIVC0R6zqry+2QPbxbikk5SvjYhuHLdslo06VxIcV
+sjj6m2SJkY5Y1pSgicaCHhEambTJ1Qbb3hqWWMN1amAx4mvKzjn7uR1wK/jXpmWITpMh+cxzPu7
DgQf4/XmfrlMTnmlguaqsW3GmLK47Lq9bectls8Vgkdzxf4CadpK1gm41aalxEa7+aSiAzJ5Lfpo
UvwwQGKt5n+GJvxlpmIVTsCIUWLNL44NEYF/iQElsGoTfHzcgTuVRyC14A1YZf8XJuTTMOY5oPz5
rNiMefv3evBw1I/QFZmLC9fJQDXRiOvHIFpjxoqWW1dSYx78ezwOy+P/97QA97YDLWh+Ia/fTsLv
/2UT9vF1YIvw9TJNKlyv736R5HnnYV4she+5AiURVpL1CAfoKGL+/XLPgooxLiWiSC16eVA1F8Vm
pywad4iwW0laPjVijBLWEplnPQspVGvl69Q7/7V/tld+94NYsNJseKrab9Uyw0IQf1Hpp7sPaWBJ
iHqW7c+2VU1P8l5M2XdmY7FC9+8iZxllY8TafXFY9Oq37haso9BSoPNl4jpJElZGNoUFzrJo7gR7
IDJXysrs45hVtiF8rw2yaE/0XMUaeQiwaIskrE7DqLQBjKz4p8cVm5D+dFbNvWbabEGqbtDFeVIV
HGhRTVcvLOcyXbzGVCZpFCf2bhDQjsDqI6XUOdIX4aSP1OXYCCOCX3CB3EiEjumYyb0lkeGd2F8f
kwAzbPp/NbFz+9YgfkgStdTaRPGS6vXxqWfiarQomg5W0lOLplXyVStAUCrnnn/1ogEyQHMFefRc
lizcZLSH18ZimUSO48d4kKaKlsU2RtRZGZc8/oeVzTNMeODCWIrLhlQSJ+m2zF4RyrbePX5R9FVN
I02XQ2v3lAuVuncE3BSF6eqAajkeqXiOVRcL8vBOjDSfS65hVuURSGhpL6etV9tjgQUnkqxxyYdW
CoCXSip8v/QwJiyKuGIdomAS4PDOY0Z9LSufwcUn4bicIcImH2Ai/lLHEgxZXZ/DxxbbepdfUVH7
s/wnlROgCD/6qyhMY3cqbOx35g++gNfQjdO8mzlYOjhjWgoiHuHTAuqbUYK/htruJ87zXAo1f9wF
mx7rIdje2vrDgcrSyAGwFm9dtJa+qXgEh19Y8d2KjI9DOasdrGWeExLupNL807UkDeTx57lhngiQ
h4q1zvlv/Kp1A6ivNiJI8OZq622sd9NP674IofcOZ0jpYJ3cnTQzESFEoOS7ODPI1MaNTRkqLJqA
4WUpBHyXpILjwbYchEY6zpxFyY5ms8OQ2OWtwabIZzpWCFViGDnfMLwQcegWYlZ2jz/z9hIddjnQ
b859QGdor5451GMhqNVDtvaJGRYOG30OQOGB/oLJtU3KNmk/fnK2ln+gUVAuXg8FPYNwFoYdW89y
2TzKfkBivtmdDL3gV6R+rxtjk2F27RPmSCQcU/QZnA9BV5kinIV8nnZNw038ZYmQa2yrahiifmpO
AeLqterowo/pT57rE0A6Ce4Glx58tiJEZIWZUmRXuyCM7qdjWsPdIn75KpmJjMZxKno1Mnf9sRpO
iZ2UszxcGRj1/QLjYfYUlRbIo5DWVtEiEWqZQyhrXTGNDmkR7lD4Q7qzWr274Rr4Uwj2oHDuwnHi
32K9Tw6JLBZ9jq28gLgAu/QbDpmwoUXm/hmlu/jCl+o7UP/KWM7r4bZ5Jhi3/h/Gow44Kn88w4qq
Zoc1rQyLIH/+FtZDLohyRH75Hnansnba+j3AtnQtYqOfQA5pKO7LrsAejxLFGU7DkibwUEvQqYVa
eM+2anBXZpotHCI+2Xyw4iRwRUuaRY+xCUHNEK/KapM7HfAjk7ftQZrKynj5mqgtJBsrTfkFP8Um
CWCAcuFMY6Ke5xkV5Re0Zp7MV2WO3vnn+AX+iOYeNXInP2HawWAo2AGKMMci+87BYFJ66rciWD5W
eizpXyw/eHVdOxj0bwHsWmMP28dx3eG4Qn+S/hgfufF2YF7bERg1G5cj37pr05SePvzAWw40Unu2
w+6NEOGJThVThiABF5I7f7NzFwNXo0VWK8vvRyOND4yxewuZ9RoO1mjCkeZrXjpA2EMc6fOfMSAK
mdf8bX/fITrcuDoAl1CzDw3sE46+Tc1I1hke/w6bc52DmfnQLwOuCQrG0+jWhqnX9b9T91s2EL0N
0r8pAVvWAHPaxdQIL8fTLoxdZA5cf12dvaUfLwf0DsNMczuCbSUjMA0PChzcxy/klLnZwRSaaPs/
FlvZMTh7Zc+TQs6Cj/HMh01xj5+D8zXZhhV3Ob/mSdl8I+5hfQznyN3MbmPGubVYP95YtAZe1TxD
I65q1ha1sw+igaTHvDX0pjV+lWmOsG70qYTMv2xyS/XILTG+JvktboBvz0LcfKpzfJICLnkDa9a1
1w+JLJq5sIH9VQGhREBNYGEXqumG5GvxhaFZSSD9xcD09t7r+8r3V6VeyCzEBVhKVmBW3uRmIlAT
cNXdcowMVV9aFh+8110XGjFlo42dKdairvmMy7lk2Pfc5YWEY2i276N7gc/emPwk6G7FJ7Kqbwk9
9LUouWZoCRx/KjfL6JHJYzC4y73pAkzWsL+Tur/iHozQt3QvnP50D4enhGOjVUkqbQr9UJ5vOSj/
UjPZhY3cTrv73/s16ACWULt0elQdSW3mUbeSO8VygE5vwN27l6rUw/8UZ9dpDLZVIi1uhC6dAt6k
uSzR4BJ5WTATax6gDpKJ8hKa/C/81WE/lqGwTvrdb2dmBoD2QHWZRefqMGktBpHi25xO6VU3UG2b
cfwLH5WdUH7yJ2BA8GASb6Vk7JM9m2C/cXZx540Rubv42XKwrBifP5Zw+D3dixxaenuWFycLKy22
hQx8Vtiho70Rx8c8ldEzvBd4vfVu51jIQcqfFdMvMv/bDUwRwaiHv7pmEWvqvbpCfV9p0ug6vd3d
KnG+qmA7CQWzcaq/1VufWPfaSwfBH542O9D6ZBI1h7FlJYgrQ683AR7J0Q/7KOlAgOy5C5USaJB7
V7TqvE0mYBMQ90pQ6dOOdzJ3rTXMRTYGbhxCNq4ZNU96oKsjHwtVNxp+9rRuSLHfNchQCO/BMaWF
6mb0bTzyf+kBPqC7gGkqeUegGzPiTVgsPBEE8FDly1nPwodVlFF7VwKbM9wXMQ9+l3Av2vBOrT1J
6KJEiw9Rl6g6NN1GYQr2/3/nLIsWJL39bpXNUeUAu18ieMAMePlHlNSk/ZhD/IeNF4v4N52v23on
pR5mYcnlT7F3T+Ib7MtvXyZqw7viofQbnP1a4IvSRwlardJ2R5tPzwzypd7+ATcYNxrv7tHdy2oW
TZzZvtQqGfJNCuzYi+jIp8Qenn6uV/JpEE/0OGz0ZBock/fl6BRIFKfhQmzFTo6+RdHJcfIf2HVA
2dYkAVdcBQngT/MbZmpXKWEx6pcVSXskh249Q8WIv07szDh5uciFD4zMgG9+DtBPczQcyV6gSvp/
1Okugu6LEQ0v1tgTSUQRFV4xs8nEa34jY5OECGtfieWV4WKabQ5gecQrh3Kl/k2O9VmLVCy4k/Nr
KMzHlg8JPE/QSNjgUl+IcYzzYSiuV3kV0CiTm+WdfMl7kpHyYX8t63kiU2p2Gici2FOZAZDmfnzr
3sUFma98lOca5vKVOKWHTlcoWMea4hfUlhjeP7cSFr6GJ/Ey3KRNv9PymqH10VhqxXFNTWKiRk4o
yRim7ujUxDn4w+luKz5fmWFKCHu5Z90INh5WpNGr+vDB2GpjT+8obbbQvwk4qFcXC32vwIwcTcYy
R/r/+/o/trK2y6v1ZXDHsa/PZFnnhM3c1bf+JwX/nWFJlbLx6+pri87ICuCPAFVj3piReO4h4mwp
qoNW7UkexyeCJXLSf+HH7LbJeG0gPX9rgsaqdG2qq0DRYnUfKC4ZU9BQjVsTr/dx2Z8Kv6b5ELTL
T+Ax7a7A63nSaB3JdEWot7SIdBSfN03Gxsy5A6V61RpPNtvEd/cyxLS3sV09gYDPv2MywvKySErU
8QMyN+9JcBWj4qM02xXehzf7jHOGoY9xrhhCWI3s42cq14D1ViRPKx3RTk7GfuTl9ZAlk9YgC119
5xRcV08E8zBOeJqcbj7bhq9hhoOPKi8LrGI77ONhdrHzTMRSuM7f3s4zhZMTW6U9QJU4qyYhsqxs
AZlvNn5BOAhdG39cYBkePp7uqqsw+ZCFy2/8IrkeXShPlvcsE5tHqMGQnaUGgvaPqpDIsIlqCrmQ
yg3N4BUGKECuluVPq+4C2INcRXp6kyYHwOYFx9oZjXkg+Z2UBMTVNyvEBIgNlDdDawXKYykMUxGh
KofXuOg1AEPowzsXVkv/DiMZaBQkRBGNq7r0pIShKd05k0AsE6uvLe3HPUtDFwQPMH92LnSdRvaT
N5bO0IG9FRLAPg6nOkh4Ux1RWUS+e/1DOTFlKgMxmKXrzGKsWQt0iaFx8t+gbbRF3wl7gI37aSI0
a6conuYbOP46zWiClp+0rgjsTuZNYvIRIX4naQa+EM8TdQIS+iqhDvbfeYVVt+DAvWvIQaF12YhE
bHPgKhaxhdQEPH9DwO4BBJzjYm0FyTMQ1zfYiqG9GK3Am/rpDXJOf7S0xLHmH8f8aom23bm6JRBD
pjeYnHNwLRRwGbqINhtlMD8GSODfmn/MwJgjmMsTtN2vNuLqvpzl1sT0VZDL6EP2x4x+yjIfSqb6
ESTWM6XHooyQGquXTuWQ60KljQqsPie6BhgjZlSEhNXZmkCudSrP/dbeM5+0gVNJ19B7aMPjTlWM
cuFU6meImhx/cdFVUTauBhvy6sF2x1d8Emwx2nVbOjqJ2oWeuc977Ef/LwKBKmCoJpxdGOe88crS
DnLwjCLCbi6S5v14QWjhQ6n2Vs835cDDpT2ehLnC7rQtDRyMOuEfZOyRZDu1ZHSAKrCc5y1rZd7B
Ey8dqAMdixvjlVbZKttVITGN3OB1stqdaMywUNZ82liy+lmiPnlm3QqmCUmHy6s1ouslLOpBDrHG
KIzdNfPBVVWT7C7laNQJPKwhIzBxMVSXPctFIClPSTS/8Dz5NAkTYFf9Eaux+GblvQhlOeC+WG/z
L4z0/GJ2GfRYTbUnDft4UdOm9K5KQEL7w8q4K0wVknUp+vepqtoTunIy/rWZBySQnvso4ymRPurL
jEO2lM5IcFA4hSvhW80cJKt/p6yiE2Fr8vGVxGvvjk3Hr4gOv92PFxHj17Jguvs1hcgdb9r09KCy
J2ZaAmhYBylUZxRb2otgEyEimXm4Gs4szZBICmgxKFdrKHTfOXOcKyq5fez7dlv5d95cpd6eIwk4
Ooxx2OqsdPcuNerZkV7qWJATq/TpIAfQEedCKNaNWJuNFzm8IgsI0H6Rah5bR9+VfDGPF8KtwFOU
/F0r5D5v7UuYrSP650hyT2P9vXSEygNxIr03mhKmgvpjIOKDxwgwcocvCh5AWUgiBxKS/qDFsJjH
xVvxFSdN3hppDO3mad9pAWMJaegCOL6fF7utVKTZZuExWC/SH+d7eaXdGAXxE2guNlC8oF3+M1gi
A9rQZHBz/WS03GfafDf0lFuOH3oylaoWbq9oNgJC7qzo5jXLD7Sx/g5G3FEwFIcJP725RfKlBiJZ
BO0Wu0WU9KNsZgIb2HayMer/I75fbpP927qRzbnEzsBMy4a0qqslGGteavWCZ60IjX16o5rXXQ+c
3PNz/WVPnYJD3Si2R02IWSj1bTKrQu0Y6s1DGrGjVEP737V3xPUzIEmakWXMziH/jTI1m3ZBPPmk
6J4P7ZNyefezYcV/hy+/D3BNONrkMgu2gC+UOvfLvsPnA5etfijDj3hQ6YzWYE4RzdCV4gXXkhyo
AOeYsstiJc6yfdGjSfxqEPkYrk1TgitvivQQ1Hot5ovK++h3bICIFaVV5QzHk7WrTenW1KAZeOF+
F1t6aY6I48o6psiDldfT97QZD2GvjpV8bFhXLjMOxQoTm04ZpClbPjTNKFuF/qsVb32lAQiQ5asO
4NyXHCYBXWZpoaNo8SiQ63QPNDvmv5xpnpm0ruHwuPEk1jA17cXP0tl7jD/iVSQslaQD1Ccgd3d/
9yTL2em5R5Tijd3Rhj1piQOLNRXS97xcFx7Da4vN8RwKJ7ujbsOW9LoeA18BRWxMqMewi5tlqXJx
qUAgMj6q5ERkStDpMblI4KMict8JIaXyUDF+zI2dhyrjOj1wS50hrHVZ3Ns3j08ClncK/xCFLfOe
faFlaSpg8XqaymQdonLVQmp/ucPg1BKbdU2ruN0uy2qyU2hHWl7B3IfPqTALwPDa4CK9BY4OEZOh
cEZD/ZVdCDGls0tmqYwg7RpmkA2PGLixABWZnjMZsGC5PH4UhLvkJR8FqU/8fSkl3ZFArJbN3mbU
jcAsjg4sbbkDBLJlRft0Wuj1fJSa8b/BQ+5xYiEsQHWZeZpLsgfQdwfHH7YUnFduRQAfqbPmiGBw
oUok2KtQRA1PlV+f3m4Pkizh5kXNW7rQGHjtal5gu3POzogG9eToi42w0oa4dOgqwQckPBO+dazS
x1HwrjZJsL0tHFfk5NRIcVFbhGDDbLoANa7RQgXK3cC6Kz0AwAAvLJvH0i5ln9P3WFuTemPKjUIi
Mk+0r+9ffDfA/M7MamdncYtNdNxjX2fgscrJkFwaBu4HqgR4zrjgrj27aONO/bDHkHrykonJQV1M
EqyQwvQf0wCliEryLie3O714DKkKYhXsVj0dmfxDDrQ2L200KXMW21/ccDsDUJntGQVGxS3dG05S
4x682lP5NpJdEr79sWRzmx3rpiSclmHS8/qO/IjEkBsN6P9B2fl8h/IWjaKhQ2zjSGVpFoJUceal
1QwT8uFxC4c7g2Ioe3d6273aQQi7Kphe9GThKC29cNtmdJnBXVPrse3eeFV06dO7yxNjcU0sdJ0F
Rh3KtXyJ+Iu3lHOEsQF7vyVnx4Pkg7PHA20taXcM3jEKnzANZK3Ujf0JhQzAFM4N9VHq0GqqCS/f
oI1AyxJjEqcd5jdBq2pjqx18S71/VOwQ1nHZ/xACqcPme+XoafgRGVqZkbeMmvJKs8HoDTf8vjiH
4XL/MZlvGyw4F30cv8VJDlpBaBCRcIqkrCmUSeyft5TiLMeZwkpeg9AvorYcmC3koXJCUf7W3kFB
8Hwak7ZDv71v3H+E0LeLedHH+9Shg3SpgPDyJA3HgxKMTUcZBe2gp/pO8pmQlvnBwsY4wi6RdfgZ
Y7x+9uykqxvKUrH1jQUIG5gl3C56VFKSgJKX/SxpmeAJZFSViMXWyS2f+1v+tqYypnmQDrs6K+KB
ky9Q+0Y3U+t3a91W1hjJZS5bd6FYG+CdS6m4JfmhyG5sVCMHV4tSM/CLUC8sHK9V69ImzqjBVd1c
Qlrob5leoPXYvS9WFHqNYYn51Ijy1J3H4xAJ1xAu3m3zi0h6ITnI8ZU1jMeqsDKaYTA0RzOe4S0q
aup8MfGlWMJCUnH0JBex0Xyqw1t3MMV9/Nm9Y5GGFNlE3yXDAA+6UvX4ujB+Oj1eD9A8aJ+tqYxi
+LYlLDLREJPGmaoCoYpUhEqPFgtjGsDoJ+U/7fxB5iIBvUlihnV2Kh0+YJ2zMmlS2tWMrKLh2A7Q
IUNMAZA0t1FzrV2RPFv1hpBLbFbJXd+Jus2rmwCBjGLmuTiRzzxlHxmoq9Y5UKZ8R61EBHmtg9hs
h+RaagjjNXaRzSi1vVu+nzlLmqfpWYhnJ61EzxVeg6JZYLC5WByHPK+2zUwRtMc8KLMml50u1kB+
k+fw2f4AzwHPSS9UhoW8+93PV6Zg0ayIGA1qCt+M4VJwhCtH7aLVRFm9K3/uHCOyFxHQeLzrSilP
r8/H8/7J1Iqu2cE0rfF8BtTGMoZLvhLf3ePrxanHjFWb3BXVkAGbymsuinODRC2xoyn/2ajSyVlR
tLkQxnlRl2Kwenwkh9+XPguRmj1P6u4kEKrSPTJSsN+Yqonfpi9/aulgJWasisZWwOPNZ3BfTEsI
gM5cyer/YiEzf88PSw2/5ZgIZQKJtOHuVTIPHMqhBGNAS9eTgvPf9O2rnH+Gypo7AMKb8sjUERON
Srs/44YAlix3ZdBh7cfSfVkxxM9uBL02lL9C5QI8OBBQ3ahcpUnZsKIx1nBp/wIkGVbPIpv61owG
bmePdvuwr+zMlDD6apxGdBvKNxe5xdt251UzRY2peu94OwfqZTFHdGzP4sJRiOsGaLPHkffa7cqH
zqnrd0mQ9O4PcmAmAdz+kGVz5X4tBOwOAZ8j/5wQS0f6NQsIk7sqC4d7fyJGxz9FEHmUFq9N9Nw6
9rgtZrDUS8nDludolyu61FicjtVdyW8ur/f8EXXcZK0Kh1JE9Uh/+NnsqLeaALgSIhaW8db3uMbt
QsFOwud/D7PFTiM+juA4y+2bpR+gsk5Am0dZ+zsayNeqBllHeNc0zyvQB49ig4djk5uiSdhKvwHH
K1+zVrIM3w4N/bYtvBLOmqi6vuvLaCT8yF3yeu5r4GrjhURxGGj7AkT7uXF1kL5QqUCTvWIP8Yz5
OYsJaU1NNuFU1gK8kaIlsrOmYJijWvcgCxinuxSwfg1Zw3U3VI5HzBCgEK+Iey1T58BrPvTs9lbj
hSVF9Ts5CYSTgpvT5M75OmKyrPTwFMZx15qevr60w5evWzYBCZCUfuxhf2lFkSowLvm2j20fcBYI
1mhgDEvXvwRryVca4LAVSlG2TblPbJ+Y9QxKpFMoUfRFW5Zz8sb8YkSWjNDaT9Bc300rQMPGOXMS
d4t2lklBXawwm2ryh0FlDJFU6WdgWLJTE57PwFHqgIK2EySUHLdFYkwPvf4VIa2KH0ODdVIb/geN
foQd7tVYqenyfpL8g13bGtN3J9d+4mffl6tMWzAWoIc6Z+w+wChGpzg9NYEAF+PVHuvoQ8RtH8le
meIVxTWs4jYl5A4ueiRe/oD3tu9BOLeRrFgfwUpcboIrFeMZvy1QSHruItHHfeJK2/0aWfpIzVrB
Q/dat0aaXYlW9RTE9nm23tPdYPzb4U3ji9B+6shzTcoXN7/RbSdFat27sqJyC1g++vNVBzd9acQx
nqeHMOPvSBNE7nLzDelSpvxXjArjBqGp3f9Q4OvlJ/s9kU/WnmpVT+Xjntmv/EYJo4AJcjhtQNmX
w0jj30ErTG15CYA96ZMP7zmowlOEFIvVQpu3OT60yShmqd9cTokNHVZ1vKDYhBLnBrKGgCd7H8RO
70UwoP8I/zcPRUWkBr2yCWyxtyFD68cT0rYe6Fxwkm67e/aAcN6IamtuYjq4DPORjiqfD1JPFGUP
EwccP0ruiwDSKEFaj/SlZtP0iV5dFPnk5ANT9U2EZ4raaIydK37ywFgr/X7n9juLaLixM27PFwNO
pEOsh3h0MmgFXW35hwaLv4gmB3pTxypnuxJT2xOU6mZBZ6ep6UebTCqZsl5IokNMCgQUnk1iXsLn
mhlzmOGycaOBhiGc7LzAXjfF1Nwlxrwy7hxzykKhzGaqgk3jGTWYW+bargcEKz9QUTI+W4mSKi2a
S0ymFEetlhe0cq4dpCON7bjGVFhgbpvxZw2J4Dx8u1OEd+7dp3BoYBJhrH5d480dHuotPP6sJ43p
ENZ8ilnw7HQnqbvFDe/EJe7gZ9NLyLSwmenvGCn3gZudokCApvB91Kqk+wXaRDzFCx2/z6Fae/Q/
AbUs4heic0rUpPOF4tlPZrWvN/tA4g3EntNUUDQqFLpUnw8nFhkH+0mSim1ZG+baPjqLXd/Rxn1B
hYc/bj2j77j31G9QDvPkyP90FPQ3iND92JIUJ1CA6Jou29Fxa+r0mO3fet1RE44e+yRZuA2cMtxT
i8IorWoa3e9/4KTxbcTazQWHsFwuUT3CHuEGEfKj6wRx34C5CzbeUUuIwGPNFQCpCriRmRSPp7Au
T0N/qVOJoC2dzqRrs1Y/mZsWjgQG2AI0AkZgj+OP2fpf3mvo+5TYs9toM/SXfwqql7JqxLVP4UF+
4IYap5a55qxNyHiR/VjnGDNEKfVWlddyKvu15lpJvtppKu6ZCzqIL8owyvjIVB0jdX2xP6bRl7Wl
gOSc7/9CxW/uDStvNn62AWWmU7qNqmCp3qvgCj27wDaFv+SXmNJKHT9xFBKau0F0m6jcCr0SK2np
TM/laMShTWzzT/Eca/xpjkCWHky6HYwGP/PYHGDX9MQ4r39bbM2f8y1NgkL3kPBAwavlBJ9xLLec
lEtmE2LXbR0qlXm4B2lh4rhSYk6EnVpBNLrgE0TsL0Awzlesm0X3Kahe0R3qvakMPCpwu0l+kuJ+
C0wciPvgVdTFvm7duLdcISObGHdybIP+uI0QEoBo374GF6ZRsdWgfRCq0CeW2NN1BGqzRdVOy+TB
8wn8egqc5JXInOAOsSXmp4wN7VZgcr+Q4cZId/XzE3pOqQqvYOWY/vUKBvcrvrdq9rTlGoYeHeAj
4wsDmUIpbHVIJ2lW3zbiUXnIqyM9O1MmFcTeRsPeRy4CSVOfamkj9mW1Tsz8IiA3tWQvlPcYCkvu
b5OD1HyQ/KesfVDnp9JIE2c4zbjbN8hISeZ4V5DSf0yPxPn/TWTgSVPPIumud2BMonrI82m7Sob4
IfnFjbsfb9UK0xrlPqpHTgKh/g5Zh5a32TAHc4QxxzwJBbXXI9GJ1StNVKauRlSIGHSqBlhmLax3
3hCQ6PyLagEnOXo//EXs8ULMDILtzxeCSUpP0WwxgnIhJrRISlEj7p2gRBR9jFX9VWXUrmmZbVPy
vedkUgcBEiUrA/Ulylik2ISUET5xZnjT2vflmoJBQHnfEUm/gEgJbt39AYnQXiykAxCvm2YVSdmg
b3KFaElA9O/pe1gL+lqoGVtbQ8uqWoYiFtRs2JJNHvjAId+/4JOlCjyQcYTHV+I95GuMvTSSrGEf
ygzNMU3SrLNIJruE83brmmXHMPRMmUVtqh8wUlSdJbjW29vyZTX6Ew7ZdYyRasLgn/55RMySu6eK
yRlrZzwV6LrL/sVCvfh0ARDtBK6y8+SsWUGPDB4Ko8cgwtH2Bt0AS//BqgaTVpAJn2xnsw2+UZbn
+bnW42cT5FsZ3ds5RdWrzhsakP7DIWB5fbYQRsd0Ze+xLA11zlOHrxd7phxDITquggqoSYQ9vpaz
7bTeAV6wfl+czDlFCJXShezCu6WTC0jcuLWkRL1cPb3Sb8N3cQ681SirW51ZsA/eYpeJQk0X7tuL
zDMROeT50a2lWhqSHKzGWHff0SSqCG5Hh20jGTuW0bNHgm6/IAUmtAhcsPkiEEP3mLuhSOFLUSDs
A+IZHeu/JY4KRn61I7dO2+Doj2m8GF0Pob9X4+3qsaWOJ3+ulQyI5j06/OOB7TObgbV6nMc5V7b7
r/GBce1snANZ9w1OsI62/LaaX+nhgA1/ADBF4kxIB2SV2MfLGF9F4UgrY7KAFZi9htNr/VlhIC5l
WTr72BQDeuEY3XEBCRdGlI+h1DgGkLhvwZVvKzeh9BYz81ySmIrz0PpOaj7i0ApXdo7Oa85B1LUE
gLPsNvGBnL96s89NlDand+KnNnE6EgpHQ5GZA4yNt+azBKkcinkbd3vAYAYANw5K528pG5/yijom
HkUynXHxl86mb9iLwjKmux3IKYmuigJ6hSq3lQJz8/a14DHxsREwe/bOt+DWmpXAnCTDY2f9IlCb
5zvsnIEamQPfZeA6z10fjx+hTtpuWeY8OsOpBjBj5SS7+KMY3Mp/NIZv/WzCP80h+lthnzzVzDkK
UdrHRQ994OpBgRLj35wTbbXrCOLkpP6DvYqdjd7m8WzdRX/OXHRA5mVVTKIlQ849eCihYYg+4VpM
2nIFN0qbjobkhFaS7bwaLXt3IqF08VC0TTSgBocbGVg8+Sef3Gy/9XSw6uWODHeNx0E/LW2Tx6B5
Tu9kEq7BRr+ACGNFbZsDIzCmAH6Oaou53k4Fqz5CoO0JfnxaXoE1BQXwnwwS135H6XgNA9bB9rCg
9fZFjoDtQr2fgk8T/x+RflnfqsaXgN40TZmFDqs3/3f0W2cqoHTy8WlXBA4o6U5k+1RkFrUGUev0
dc6dSmvL45Wx9tVVZYok/9QWCv+vpSOVSIDUlEaFwYVezax+andkVn9WqNM8nIbHfcYorMKQbVrd
O7RBkGNHdd6lFEzTw2KZuMoDQrvAWgLaXKzCUggo4SvX+0ZhyQYfk7P3khXFzSP0mUkPe1PLxlzJ
XRoueeOcIyNO+TYhXwbXDxB+/6x5qOBaMnS8cHb8Ik5iDHoP9LBRcDYMXv00o3wA27+Xm+iYMB0s
VHf0G9vcWjnvEB/lNwQhTluUJKFfK360hBHgPaV7/BsGQ2hOdRlJtNzES0B/V6JjlWVo/ojIyjMM
pcAuZqJasqZRAbyf5rDWY+JAPadpKbMaEQEF9ynFKXpKQkGo/N7UCfcYmLtl4LdMx+fRDVPL17RO
+lbmIFyA5hGAAHWj3sq8am+nGBFOanJsyJ8KUxxo2eNTY0C4RUpS4vNplOZs60MkYp9gyeyiIX7K
A/b/YiuQiLScZnVZ+IREj5KNNZanRW3ZbtNH0I3dFf9C1Bs6ZeQXhzc6aTV73YsEctj+FRc/VwOp
a5F0yyplNVwQr4URICJ4VXhiy9uaS8VY4xj3sgG9IjJUsFIkYB4cPKuX6yxto24TIvVIxPitB5oL
B3z3PJvcXal19QrR4tUPgMEW9b53L5LJoJVc+3YU3wSnmv/8sA7EUyW/AxOYXuY5O/dZbC12614N
UdbktQPW5plc9qtXjrWJqTl1HusbQowVOg+hEDMGECH7XlxB8ZZHkqe+CVIVo1Yqgwr6CQyPVogs
/+pWwTlglLnw0jCNoScraKSVIWt/KC5cXJbJs+9zxUrQ8qKnQqaQqjPJHE5H6nBIRjRzvSy3L9F4
T+bSk8M7CNPTlHW/ysI5euSQg6gtmjWHz9e6xfqIFtDmYVs2kytXAe7Loku6fKIrEYxeCSZYYR/N
sxyOeie7JCI0FaO4+ONuVQetNEHkZYIMtc8IFPj/tjyGaS99oTlY15BOhh578/a849NkMHnZ1Q5i
48Um4i6EoDm+EjwwzUGjLV7sIKnnGPjwRsSqo54u9voT2dGeb5+i1h4Ft8xwDma3XkFeBEpD4L5o
mYuI28R4KW0gltSyIvBBSOpaEnFyrHf0AqwkhIcjOQ2fwkOChFfNdyU5LL96D2jBgIwoaNwJjXCf
m7XCnSJNz2WxTdvaLUpTjvA8Q24WjBO6mVtjM3jCkIRPeMlrI/ey6mhG+irKTdfPROaXt+8zUEkQ
0SIZgWBU/nDh6zfKu7HaAhFu+ZAN2ssTiJpt3dWtFvkvauFhEEU74J36UvSIq+60ghOXBqqvjgze
gdari5bZYX+cHmMR5u9avgVCelpAWGbNvoXeDXXwgXzLXEZjxn2MH0t7ZOqz6iLahzRXihZRzXKs
2RmBLGZ5MhOZjqEeZ38EzpzBHrpWIZQzdQvbdnLpSybCi4V0c50ZA5U9cjK+QSf0DKSsEpwepg7g
ZbOzuVc1S7VqI1RonGhCzDO5od9BvV7XX03m5pOAR1ZbfVwinYJtLh+DxgXFl+1ep590zNdFdXFp
s3fd/M9kyBeuPOP1Xs3wU27LhS63n3pekl+YbsiIDwPwb5oEyJU6XCotNKpTUkC4y/W2CP4qF3S3
QFNhKyS7EyQVU2m79Y2FW8IioNH12b3LTVQrflO7f74VByn+HdyCES4/pIJEB8Kb26MKZ0qZ96dV
0rNOa1FeG2ov2FzdzSjJNpfD5tlbLzd1vX2SNcUcpnWYgmtAQdK/m/izhjb4lf6JCXD4a0kymVZF
Sn5xrYitLUSlR/9dLUpMq/ngHMMJMm1OYbHNoh9SwALMfir2wqrBpG9OwpOKCAIo+XSASayhMtRs
0MZMQTXVPEKWx3fYwpqAw3AeCGn1YZRuLzbcANwWymG4V5qThlEWg05iCW0aSawXiHbR+SGanIve
wfcEkrgqQCGFdM4C5JfqB3gtm7hR2K8gFqZNpBbA5ya/QfEZeAdZgwVEVcQ2UO3EbEXIThKljrb4
L82y1m3zs0o3o/rTDV4LZPaRUCz4RixJmq/uRj79MP6w0gWYd5IXQbSa1XYL4mOSa4gNsRwapa6X
BFNBCVbMAgPSdaprofbTh6U0kuXZ8yBod+4CRzNrRYbnPIqYH8I2+n5JHOaKhUq3t3CUjQ62TrkT
E5tBzYg7JK09wsihjicjQcrh13wBa+JcH6XPPUzb9nHJvOdGCe0BLPcZcXaeVMdHeXAyH2g8MUQC
NkKYEav1uckYOs3zAKiQxxGdFNFFX4DUR5xFLEFH6QoPosA9D8p68YWrn/rlDLQXzBu9zSIw4Z2C
sqIyJ+ayR6hOLQFZDBFmFxP5BGXQR1GkN2chOcpIVf4b2jXyzzuKFEITKiQAUvlA3IU+nkSblmIg
YhEcOv0RHBHl6is2paU9QkgYJABwbCzlMO8wilYMC7fLu4CwseJjcviRY/1IXi+6LodojFK4qU21
PpJzQPPukJye3KXyeHyhnENbtK7j0fdXSNdYJfB7ZWIfNhT3MpFvx6qa8d8sIPsO5QzjvGcsQyeF
iBw9iMOLC3gfhTyOk1g1cBile98AfU6PSRsnh9HPWBGEaSZ/ryaJOSB+ODUaE9jbJAcFHVMw8kXt
nQ6S6WcpNibk/UU9q7Colny3dOnqulKmwNcy2LND/flZAtZfx0FymBOw/gizdd9YgtnPYbjr3qRR
eU988TZbvsWtuto+0ERVrgBvGqVVTb3cnmYbXmfxhUQFAmR1ut5jv4HJlhbWeRl5R2yEzU1iktj6
ItBAWdG5JYRgsU7FJsh+L+hiAC31u13H+uAOunkAifyuhdYKQ/SZNJsCrpOCGJL3ikk+z6EriqGi
cRxUFIyZqmHtfzD63gQXuykGhYprHp4CM1MUQ0V+dAisFOnQcqFt0Ny7mmLfQYRsPX9f+bzfqfmx
jn6MBs/3RAHGiKEagIn2vF6/c2rzfny5pVVTdPYPL0Ybsjsfmdn/BuzT+//uz3cAICbJwf1aQddh
MRpVoS1eCGW83siMlqu7PmrSyyT68BeIPlHHl7NGPN8gAi2g0STiZ95IAVzkAsNQrGZy5VGDbyTs
wsunyNHqMacv1EDr+8+ioVs4yE+aMvJSmNc/VKY+GCr7O0VSAMblTUPycBWqodCagfb83GQ2P7yy
s4WXMtK6vf0v9NXv6yQHn8cWydAlCEzUAFVAZ8F2X+8N5vdw4AOHZ9NyvBbe6LZ+8wNnGifEDf5J
/Zrc7uH21Zd7tGHQhnWNaHl/wb7HVyZjrwadfZoP0PC2OL6E+5HQJXSm2R/4tErRUrjwsaMbdowq
R4StaV2e+yq7P2ZSb0BeFP8i0j0RmtGX33z1fIvMdXxeZ5zdQZ3CkIASj1n2kSh/FKaIwvXH7hU7
J/mQm2KJvVPV+sErhwNcBN6Np8X2prEZaPgKDFNr7EgppH6Q1h1fu8km0JIFJTnttZbjyTAIvYAw
mchBfCvGbuX3JixCu9IiSdvXew5EdpgshFoT1P+KWSDZo3Uqhw8Lv0D7CZyV0e5Gyc+QlT9lh+jL
4eA6dF/RTLuZJ5NuYH2c4h5UR4Y/s2poONJjmtQFcYcYAlC1t0p9LF4gvH0XwQpEvD6dIHHQkz8+
u2qw+TCNHObvGv+JN8mIItsjuExFCud2IgQVgT6Bi5OKUUhCg4UqYvMWj9Q+MFOa37wmAo6727hq
8OotC1s1f2yqbqi9hhWtPI1LXd/N/gag8fJb34GI7uziRo+KGz1iPnYRjW0nnuee1PL+LTWxwFP9
apja5rxyt7xmeDdUBMq8oT52uJyHntRbTQsD/JQiYiPu4rdfBjjDtFKFO0kv40ZUM0cJg83ckrqi
Aj9WeT6cNPPJbqA/+vVO+T0lg25mv6uXEHNWUtuE8/7H2Gb5ZxtnDwqgFeNvCCBPNXTJ3mo1zs4T
uubZaczv9Nn73MCrQeVl7klHvNGYYuIaQIks1m0na5pHw32/QEk12A/uaiggn2RVGZy4YvsvQ281
ZEL2zKHi557pgbcbv0Tehx9VOO/4B0l2VdVjzZbnAKNrupRBnm8OhBlor3wQhRA9wFBqZmRIHcxp
dIJ92wxHl4af63cqqYuGhNJ2Yj9dQg7yVZTh2e8fS1lyIzgAlPDk4Qxwq7h0RVjY/zLkVVHN0h/U
+x3qFX0c4c5GznHw1MRBUKzlLB9GZdXtrl+4p4E5zf1nWXafTNR5Em8nxzAPO7djCdHV1fgnqY+o
WuFDl2ZjOyJ80b+se0QJ01XmUGX29KRzs6vIROOhL0iXPNgB5Fpsv/LSTX36k+bq7rlK5JqVku1x
mpSb69YJKO1wZLA6KdIQ5G9VQkhHiAmJvOSJWyo7gTgtYYzNHF+6uRcO0uU6FL91Tr4dR+1kWcLY
+z45I4Faoj/2oxy42alCNeONHAdP4vkObqJbpZhQa320DYXq72IeKeqduutR2CkabciK4lgBzQay
SAJHL1aSDX3dIz6GD4DbGYiD3Sr03nfMeEtB1kU5ALHTvv52A0hJvcuXcPIhIMMrduzCwlEro1+L
5FeUpyUBt8l/jeSq60sWysXfdBQgtEixozC/RWWHM2eOu7C1DoXGwf1T8a1iDAXzhbMxvS3HJW2t
24CzyURMjNY8rt8bA0hT0uIP+Fz4bHlC3gBlQl+wKKWmXFfrR9dzS+uN6g92CQjI+0I1X4LH3KPO
wp11ERtIyby54U1lN9A/W3UkMIOMNfgRTosxQKfZy/jPDTDkl/gax2tcFh0TblgFej/yohgWwWGV
ZBBrbYJ9bd0PshLjV9qC1dIaGZDR5YbDr6IedgZVs5slIm5Q9ZnniMEo9sYRlcSC/V1LkoZw+38o
/zI/CReNrSr4iTjqI6mJehvArLxidjG7ypkaDVDU9WvyB9uFxYnmYcq8RtyHFBBJ/aI4AUrQFBmk
fkt+D2PUtPb/57w4pLTs108pJaPXR7t7v0jOiJB94A3T+e3IjPeOq9t3qdkBm4VkByXfkj1ieyy+
x1kZwO+KSAf4kzB4aX5z8wPl+I8EnJGzW+iLa3+J9cIMA9/NvVzsLSwZQ2DWC+6IuKRf2/RI3wzx
sZvSHucatflInVeUvh23z2cKc5jUn9tj3fdzmVxOPE8JkUi4dTPgA0o6njgrfDpcbG5FB3U3lgFI
YlsMCf4b/7uvwIw6fLqHpLhMR5i+8RUpA6cwXPifus3H5q8jLyjU8Ubq3jJQO/L0k32Rm8uMt9js
4dZTxGWk//0I4pSeArm9UxQzvW8KD6sWUmYAz6hJGCRsVyKdhJSTdw9doVI0sWYWtidyY+uvRt8N
LtXZ/Kof3LXpCK1o8kC6/F6TpW5xhNqX3kwGGddlY8ONQRfwv0XUF4qvB8chPc/spIqVAKLwqsU4
CqahAJyi1hUmyhk7tTH5jfvAd0h7l54HeR5OgdUtpwbXmHI5HfZKeDTK5xXCImjT59/4bp7sHZD3
ZdntyBit2a/oGQzvpvEgc6koNS/hzaVE7MFH3UabhU24WE1/FwyTYW4nSx5tPkS9nzhpmimfWBqe
MO/mF0/AkQq76oqsTX/zR9ibMvXKDc8Yy8OkMHhrWohQbYhmechkjpv5UZ2eJL0LAC6Y+rN94fpK
vhnCKvJb122Zs3euJ37nQwJNXrDPEOoHTn92xyc2p+L+v+hmCAwMePhIJgcUMxCpo10F54sKwQt5
10fqSOI8+xg/1a0QZ4rvF3HVpcGAspON8AabBfoQqAU3djmgdRT0ysxMW73q41ROilRgJSZpESxY
CIbBfEw1zS7fP+VbnnyUicEm6EeTqFBGQq0fnz8AtBf+XiDY70Tk+lSCb60zg3lF0L6GA61hn1AS
Mo9fE051rmfKPjOoakD8GgDQqLxGep0aovKLRabvd068HOgecYkRaZU17mKMRKLc1pJYciFeZ3zj
qH40gnUr6WKY3AX1Jn+Kh0QLHmV+A/ONh+yPBUbxgiUW720X/xwFpCkjaxJCbxidLeB97zpmiVeZ
GqFRzo3o8bdyU9pK+SOMVoKPJ9eVEjTiQ1Tmwlm1ryB0QdEX9RgmGwZMx1j+UC483l2F+fWosJkP
i9G5Aed1NMKLH2top/dMwOmsBBA0Lkz4NQ/dk4R6SCDpCNFYFQ3lNs4ahSJ1lx7QOyIzjacGgfHx
nkp9oq276R978GcHmNuXVzkSR7tJJbE/RdRhr+4V4ZEzAgNMorsLJfwMykFqlrfrlzLPlFRChR5i
wpK6tNFXrp/twR7/HYv2qmTNruFVXdGjB6qsoMe2naptmj2nyRTteMJMvI5lkNwLiZnSoFT515MN
sbYDFM2tf0RiQLyEW2XMrmEiVs8zrn7SZRTVuhxMnKD20X11o5c0/PSpzh2qLSoyUNmfzC5PZRPN
6aNzgLcIJwScO0nrv0OLjNxwV+wazZRsyxFISyRERg8bWAwWozQ0z1Pr3vvJStmt9SglzfTFvsIR
HQxDE9LX4NvIGeMrxxO8/3xKGXcBMhlXPyGJaihqdkNdjs6YJDWwXUUlSqgxtPAzqelyQOQkbN9a
/Uh2/PXERnp9EHqyVmwFpCse4zWH7PjJ0w8+915QAxxPSCh2hLmBWbP7D97fFpyRtl81oAWgnEfV
jjmvEARSuFn5Z/Y5340cdEejQqfsTrRk5StIJC8zFTbW9XnLZVbWzAItUS4ZfW5AN89pjQ5YhWVC
l4fJ33dajhalhTgtvYgOd5SngAg0OMw3RfhjvyjyEvPUWq8VJluWiQNWyqG0iNcqmDp8/4kH2QVc
n2EtYmAaiLwmCwHX4p2q7A+XowYkAUM2vEI2XKobNNSiWskg35C5aolSMyPKOe5oWtHJTYjjJGAf
q3UxN9Jxx+YkzvTlTgRDaJTkv1EXDxivpiDVjwCLc1bNoPv7nk3TCKCXDKWuv8uaFlqGUIzbrQVv
IOz7BWQs3zaIkICSYGHHBueyLXgNCt4zVtlVh/aaX3yQDrlL/91sPK/SdqEI96sbjTKy5Rys7x7M
opMAU4snpttVxoWSUraYzWTnx0y5AqykzUf+UrlCsM0vfPPUX75zH47hch97pTMdtHK7qq819/sO
slrlKp6NXZ6XTNanxqW7Qpn/OgL4q/lN8H/T3D2N4bUBLN2rN+wSj2k2DKTSK8MX0H7lcXJtlOQe
kMnZljYI74h4tcViAXRhDuDZHPtH/eE2PkjsvvOxgAyhc17SNX5aCnPCb60dqvxnSmySMkCE2hSz
y32BjvOTNumPcq1C/B/38BvlxMTWM41PeYiqjqEQKfL8LTlG8bo8ge/NXHK8Y3HJfbXhzOX3QPBh
2eMd5tWCHqg+vCC75TTmD8+k4mPaBYnqzD9loN20Pc7jmu4moFN0yJAU1FNoiiqAGRapwmjBnUwt
j/nM6xCw9/Vgj4d/jgIqn+VCkvgkGpFI0RAIOnL4/gDNTCNEMpazQ5pwAE73+Cn1n+6NYamVme7a
PXJrXS2Ls3a4gLHU4l1wp5ujR0aYNaKDMGcusBOOwjZGaKNK4HY39vEzwY/3HY2aUDG7qC0lR9T9
HYsohT2crgKtsFrDUxM1Mqaps1JX6Ac2uv/bb+LHn08xL6XmfdTL8NBZ6q+67A3nbb1yoAO385Oi
VKnEtlwQ8F8g5/YXCAS/+7uHFWA1EmYpl0R0xsykaHxOpVoPhoW0WIBlqGEHs4WFvOD5hxR0X8Zv
dQYXz/w0YFAjweo3vw4j94ZeUYrD1TVUxplm9Tvmy4YgL1Fhe5cB0RPF7SuxQnOisMgCZ1Iik4GM
TsI9zfO62JxBveYlNELXQm8xWbIoG2tTDij2M4ir7K4nKhr97ulD24zjVVaAzGHcPOn715kjCviq
q30Be8LTEzJaOiNq+xMU8F/SMylXX/sNJasKTwtutjZ8M1ggYCPA5q5AeNi8jOeG40D5/G9TFrfD
YIrldlQoeBpCu6gZUXWRAgRiqcp1K32fSmghfuJBOGXKSYSHh7iR+AHHgYjcBZtdi58TigijnYvR
l0R53qaw4FM1mdQMGdhMSA/tiMdq7FmtqgkGzA9VC5um44Ilv2hPTnxbXQHeMVb39QPdlvsSuc4U
NILY8Hh4v2WXMJQQKwXmSwIu7HmfHQ5LFVjCwu6UIW3+7wc1Pcd95h6fI9ARvP4JcHFXteY0nTVn
Utjw20wRFCX8+6l8PJ5ex63Z3aAIxlJzOEoUh4ygXaRVVLwTTGSJeWAcxGQdlahZNLapckHqRVY9
YYjoBcA3X1rogEBzT2rIO5QjO3PUZVInENfhClT3VyBVKmYrxOm+E2F9q++dZIoPgviX5Qaw7s+Z
S52gFq79wt9r7e+sZHtHMNXQnl3dE3h87DsptKGFaXCE2PqQM3Po3vnOZkujCSUxwP+EaQ4vAN2g
p7uY7Ear4E0pm3TZaeBhDxwBlVsN5wkE1EXcBjnKUQ4HsVUI+kZ1uIQYIm0OC7eHKStMUeSw8LPn
5NDTxi//BJ/tCR8SowrLkqsapdR1eaEMG82Uw9QskBm5msNbEnoqd8iPiaDpcuFz1lHNLiFFcyK3
JnbUyq5oNwr1He0EV0rO/hyXFb4u4cU6EWXGHtx1FQpaSbkaiEbqJPiMZlTGv/8Y1/eBOJnqM6jI
vGkoQkN9vqTlGB3WXUy6VcN42S0TnpDXJxRpf55ZZ0ow4OQbwOp4vgctHV22s78qzGwAqnYJvkSa
xsP68ScHwyENOApWb7R5DPbeTt4JY0cFm3Z8RJ2uywfqMOH++8FGO5G21Rq+tFA9pYgICQJDUhN1
cFIrgV4c6CKHXQrTbsCyLhNhVlSPdffgHuqD1q6hxnPO4g9spiWxYz9qQajKLoeCGy2Gr4VQfnfE
twuL4QdtPIHYuSlp8Fo+E2UFkKlsOttLntN4UTzfv9zlojnpDBwUKUIM3phFd23dxKrQzbP4rLeg
6m/Kpt0eJSKN0XTi5Nk7LofJf6+poxUrY0zlIB2NT/bkWap9R87ki26OqQPvo469/ElzkBIwFJZC
/7dir871ei5YHGPKeyxmEWUg2p9FaqqzQO61pOmPGQWMf4gLv4ridkAXgJeEfzUxVrnqUClGw+Fo
1dGUOajTq3S/hQ3J9eFIlwqGBKM8PjjQuVy80CV1YEq8sEaIRAR9XXhWIFj2MXvaxnAh8u8Rdk0n
7i7/Br5X7k17tgRdjKuPYAs+tquesH8QmYaAkvAHYvYNKomDDpmm08FGW6CcOacxssvtXUm6bBoi
ABo8wvE815yz0KqBRsZm42AJWadX04n9ubKyuC0EKMueclwMe/le7VzjejmG5/YukFQ9rfQeocNK
KfePSU1xBM9UxbO3LsqRUfID6q6UWbv+oghZr3lndusX2njpFe3zRJgdwCvj/0bkBJvyXUinEiJ0
4amCfSxjTkd6qC6nKHOcpYphe8z//76AbGsMP24e0y03Y97UKbr9avNw1GP2N2zXSVdrD2RKL+i+
WU+skBC8dTo8eGi/mmMQBldPDmcXONtYSOAATzf9OMvvADwnJrR8ufDm6xm3VcXWdv7Tuggkn2cb
ys9DFanSLInJIzoL1zPnk7SwSoz49tdVW5DkaJF7WpTVE2+4XY/LryBzOThVnVPelnzeTCxlbQb8
JkMvSqpKseHvp2VBVTf7K+EM3qMM33HnvHvgDz7wemJSrcUX5CMHmi7+bcW8yk3Uj7uoU+VU582K
f5GxRlMNlBEX3hcGjU0S9XoISu1VyUXuqp+2XK4W81TEC6Kv3GtIuSb/KewdBHq2scofsYlGA/sc
yfdw4hzQeFJ90pOV1/BeOAaoCNGO1r2o8QINqlioOBoNB16BVxO8iY0gLYWL85kHkU4AgInlPD+S
JNz/5ER7vyqPRuUNFQKjXh69xg8R9kI7Mcx8fCtKlcfSXrucgn+EF52C6Q1+MMnvjQxp1dUb4Uoz
yHTdHsfs+QdptDpLrMtdrRhZerLjJ74pGv8Zc3OoXMhb7h6Exm6haUK4XaTl4inagE/6EqJ9W69p
37NVB9JnRYWmbnXBX3Qp8sQBcV1CafsLys1XPnUz3y9EutAC0Un9m2w34pRb/N9QBa7Qv7dJOTjE
yne44yOe+mmW/vPWV0ECR6oyHFl9sn23NrBpSYse2S9mTX2Z+9avB988Ar2Lr5HMRC/23K97RGyZ
NsVAG3lTE5gq4HHPB4c5iveHjcjux5CcXk5WeXC7FyLhaJHDtm93119MRyjIyDcsYTRohP4+fz07
03RCEmzfmsrTEFbJ2e4yqt96f8cK8cuDQbRa2gHXCJS9vHzSVDb0eRokvzoZJpFA48snjY11O3Oe
lFF0jRXW96nkvLzsXIwX3ITYyLOzJjGqQJVrZvY9vBZrykeGpGZJ10d+cH68wkLIdeM0XQ6XjEmz
ODmUe9gjtq1SHdPNAongtmDWYwrnM2APiGji9mikhYWuJIhJmGaUwmUq7dmuqjD0gPegVw59ehQq
/AXZS130idMX/zqsCT5w4ZNvPogyAkeaBcsNVzq1ae8w95gy3Yragi6rWjbsv1cubAXcSPELjMAA
eCyXE/FxOxS+WSL968AtEAecmG4STgqvpWGmgJJtgY63JELg/DvvCZeTKegOfs7Bl67L/zi2a8z+
r47tHIaylKXK7E4RjhMRJxFc8meWJzGdD+Luahonu6HaNaxiHeQT0V5Qlq3Tv4AM2TioV/+eQ6WF
DbQTDGLAKsZJjeTnbxn2JDJfU1pgJ56WD8qsUILM2WHjgjGwwUfohVYpMZVNTP+eiTqtaaybI9AG
PA/5nct3kkk5uzot/34IelUjL/3qKf1H4iNnKYu5uWsxjI3A8ZwMuw9Z3vwk0H2ZMP6DIITDQvIq
HR3LGEGubbsZTF3bZf4UPxnUy6JqrujrJVooAmEALtPnq0SsZE2YzTI97JH7NCfkqZFerZhBizEZ
W+F09eBCwa3wsekyy0wMqVZFrRrvKDghopmj/TYEZ/t3qHbho6VIt0aAyOd5QxgGTPmzhHcDKBQh
2TUc10iwJwMRNcHibWvidHbNOUogOHNquvCtItH8rN4/QfOb6hHbJtXeqVEbM9564jS1i9k5j+CE
wLh85WUN9IhT6vj+eqKedPFl6TDmTx2OfM9VXBzrQhWOG2twC7LPG8mRFIT/8SoRTG2vG4XDvVm3
0ypHei+XUWNbwLfEqIpvJfMLWpyVEqixopD7W1v4Lg8zXRwDPqFZME2JjvnzQkZc01iLkEK6R8TT
kRWXlNjOjAujWLvHmu5RTdrrCsyseP/XOAAVJAXQA+9vWxWQjGU1aCAMdAflhF0FK6JCAtB66As8
jcYGz9qKbYTGqmr8h/CPWkmTgfG83+Mb0jsB/Srs5PT0SUc1VW8vTYBw7JbUNaNOnRo5IYDqADCg
ddnG8s4QDaWXvc6Ch+Pt1TUwC2ahQ2JXYqxD6X55F0i2Qz3L9yXFLXSgrCI/XDqsRRt2TahrEAHU
rQJV7fs9gzV5r6V/szaC6K5RVqnzVFGVqXySYdge62oYzJBjrn6hEilx6SnyMwqiZNQX3BO/iGkj
XY91+b6Xoy3nAbjAh6WKOKEjzfp8ORBA2NmV/rCX34EXtKTSzHR81eptM9u9afqK7foBSLXutZQK
QyNbxIqlqSP3wEFCwrZmqB84dGGLzcoAWNAbTg253jA2KNQ+9AkE8ZnGHlWa6uOFeP7xyx5b9TbF
tt+SnnihjvG9CbFhzKzuq8NEjsdaVvlub6YZGBf0W77X8vo43tF5eXhZxHgDGsTgO5LyNMQuyBSZ
RnJ3cOGO+LiLBZ5iJHshQZApFNJzU3Wp/16OG1Xpkga9ZKgsW5qFT8U8pxPuovQaOFZulJce0+UU
LBcinBsAP5hBCy5EQz85HFI0V1kRiXPTYBsGAT/Kg/dGBC/Z8U0+D25DvzCO5Zz5KVevc/VQ8wX3
VkpEyPcRaZ8FCxTL4yUrEifLOP1fSt6N0fZq7nVA6eXfAErs5qS9cj+09IsZZV4Rkp2M9Gw/l55f
L0X2ZGcBoJYC9LPLNWnVgcFJIRquxuDWnXvMzGcXJpeeOO2qTl8K3LmpJE2xgUQgEgiLA1Kdj5LJ
WKgUXr8qAGcZzpmnyYxzjL5/GtEC4R1UZWwpxJtfbuYpqkvESBgGpo2v0nihp41LPBF4ESSi5sYz
FIr/jXWSk7MzEalNNxe/qTTovM3o6DFpIgY/SaBAmaaZMLLfA5WlR057gDAeWf2qnp9uu+Y4LO12
yRPDya3ujnkRzRBLYe7IwA5f1ALR9bg0JngPqjQ37X2QSQTf/k11O7Ph/RncbgIvVsJXQjMJCq/u
jKsnwK7dVSSbByIYUV1Mg+IqBL2asOyvKf+IaXf0NxPrfdCcgw7uuMnyocvs1v20HMUM+f6OWsd0
o3F02JqRclQeGmwGCP7gFXwaGcH0MNCCGF0biGbooVH+WGlsQLJ7o3NFFBVeZNluCfOqCbv9vEzZ
PutUDtfrgOEqo/qYdNroJd848mLDRPN9FkYg8DVRi+j64DlSJZB/6MCg64yuKAO6GDLfaqUSBvmc
/9C1vMf4tbUdr1R9j/p9JqzQCNEYT1xEmR6ipQfuXZ0dy4paIk4OUvX2ikOljwrI5HpvunAGyryS
CP/3xcxJUEsooCHhcwOCD80U57T/u4dgrl/NTq3P//hP/GdPKkTjK2Ue7K68HMzMSORnjgG2zLFV
QsX13vqMm+VSr0xadnN8UkWSxfpH9apq9Kc72z7x5eNrPWZFygAWNGmP/0DbPikdfczPofzU0Vif
SaEH6VEgKBKuAcsfKwWLVWlZXM4d75OjRvGbu9aJ6WnJMa/O3xVZEYW+Ms91n67QBuIXgmZGE36r
aKvpeJEuGq4DXw+Z2fexLNiKLbeEy2nbR8O7OWFBvkhrzb850dYEBgC8RaqtsiddC4h6/8fP1I2K
Vwp8GXbTEA8JzdOVtFn3TP4SC0H424V0qmaHl5eR1M8GZeteALYrS1VtV9h0M+1KKAW4sB4Y68ZK
1gfqKN4ifx3K/C6AoOP/nMkb8PKO13HTDtoF9U131MrzgH+brUgk3UR8vP3IQmJ9gTIbeR+B3Dlu
4dVMXtWBi9gv1+p9bcF568YQRGpIaezXoTn/oNHc+lrLczMQHOp1OINPUGyP93qVLwh4PHtIcAKG
5gwRnL6+/sPjjXevf2p9RPdEmX0mESx6N2Bn98tVo0QromMwRLhz8KlK968cGLfDNBdG4cdLbPWK
s+/Dug/yVwAf1Ofueh5rS6/5NxpATiMwMZrKiJF/O01EyD45bKuqByrWjLwrXiL6qjnf5E9gJLje
sLSuz5JhcpaawmqJDzFqymB/LVrD2yCUsRNMnOYTlkaTcwYes2iLuxsalQvXbpgVTyQ0OOE5eeWD
Syq2cMuWwx8XPfUmxf45JSbFs+Nqefh37g6T7qOC91KOekCEP+9p3ONNpm50XgL6eNy27h4cm64y
CwKux9mD6o9LM/OYdioQgJU4Q9SvciwssJKzSMCvlmrzMmnH4Zpa5gz+IT7LAV2Rgfvw8t7Qos2i
P0NF0HGYsbGKycaG4E0NR2VlcRcar4ZAxpRdXehlu3tjnMpRgj8rjy/xBBCSq0Ms/WOH7mcxHiNu
JStt1hvQzXzat2E/mMTaYi/MJ37lacasMNptrKm+GUM+KJhBJFzt0GW6iJhrPpMwMNvbfgX7ZnQo
ojAb6JeoPmfKQfAzARO/YWxNt6b1V8eGwFx5cHe9Hv++9EzupmrI7bQtXIQf2JmZ9OqQS78/ro94
o/NKzCJjkJyzt6ILm0ChlXoLpP2VYGWNdkPuXhiRUa5cNqbc/3BzCCSvCvfqY2qSbWbqC+qSmT9l
DNm0My03l5D4HPjOu6+fLPpCWhWpk2W+zFTV2sjwc/dNpxr134e/Ycub5DI4pAJzZ3rQ/mN6bseA
D5z8js3ElBeOur0/nHg4T6YQAf7NgYUMJCsEiMZjOC1z7odQP6vUKEEvYyQ+hVuqpFCq2jJT4igg
W6fvNeZXWjUyLqUDgd4oHWbofrmyRpwtlqi7T3Y2q4up3tgKjhPA+tkri3IppM/pY/Fuv9CpK9cX
vCmPW17pNmkgNR52acYkLMSd2g8oOQdJfh2YYS/5gKpCyYqe1oVwgDejuyxNlqcNFhX23Lad32EE
hLgMDB3+OuYyYkp0UvT06nao123fTaAByQgqweU69QDXxpliOT0vqUVyZZziSJct66/jVZOYucbp
EMt3P5gGv2HoO/yTcoEfz6D5kkR+qseki0cxsnRAnf2OdcfrLtqGIsOX3jy/cwv2dyLDcT2dECtl
Ztn183awWJUyhOz7P89MqzLKpQI4Ucb9XPfTOWDg1AydlUXcXDVmHm0Uvn0Y03d42AAmBJsQvw3p
Zt28PgJlo3LmDIsm/Pe+nCfhBt6tSNOBlC5xZi4PbpmF6cVVnDm+7oZ3yj/3qNCXQg5iFxe8j1T8
Ep7jRBqMQHwfuxCIJbdEZ3FI3sDU/3JXAe/g7i0DgIhrL+8utbH5rDINgRPWUySI5Xl5hzwwsjNY
PA9jz32dMQ/k434KSuTz5PylWz80r7v3Oacwsnt2DeD5ossrJF+WarDiB+mtPZUL7K9NUuoZ8Kzp
98BgxtXu6bYvlDcvqgUcuUH/RW7qwwaxWYFH7VdZB0TkJ9eyXK7lBDFa/O2duU6CkIcNUeO7MTDm
S3yNvys2QmVMVbInEB9HdYxzJzsn/YbkkXbB4W/IoSO6U2iHpzjA8wkbllwNPCu5Gmq87D+jTb2P
e9zasY1dYEWPFnFweCS5lnGBZqSOXmIgmY2UC36CI8tjM+fM1NENXxbqy0qbhQtMewPUmcbIDZ10
kS8ws+/Wnsd7CDdjcyDhmZ9GiPTLwXE3Pp37F2x4fU+5yQNsyEQ3/aQgg3CBXOwHcpe5cR+LaPnx
obujmIFYEHykBcFAeBU40AKWUlXHFpiEk3eBrwi0cTKYK15U8kkCbdrNklW0nvvmlHHCFTeqbF6J
EoQxlTbu2b4PUuDWsBqouHEfIOOR8g+rmxoRmWcuvZ10r5OI2WVmFHLbbYFbfux0xTn9koFA1Fnl
mqUuL9xHR3nF27MGRJ/ucBr/lxsaVtcS+uPbDYk+bgzbDIU8eqUsNE1RwMBbyf5+5My3Gp4S0Fpz
KC2CEwp3dhRvCQNBMx8LPqmOTB03Oq+vMx0EnbZAM2nfh9jBFkz9PIQYuR0yS7OZtiBN/2VMsbgq
AEOUtM5kvBPqK0I3evMjJdy93lXtkqpbo2RUXL+Km+fATXA/F7ekQuzjyNiybb7EhnhvyxxrazJw
kRA8z4fFeEfpgwgCeEzEr8TQkkjxK7X58ia5lMcqw5CGDhW9f57v7uMiQ9YHXbyulHnwJ9NAbFPC
0eWMh/VmY1Q69ru7EGWAmwYMEvy7mJEUkRhw32aC9m1MyShOJX44k6kR1bEQZkkAFVxvPPzRQznU
RRepBK3yShVM4Sntw4DoEHuesZHxUcnEjC1O7VVRdpQza24RN9WatGLPq7u5QDnHA0BCKe595NDS
ARRKsa0UBUPwsjzNuq4OPWQANG5ZfqPPaouOK4Zh/nJbo8qxGQ8nPNTR2E7D8nTcZlcp1aVOnDDG
6QP39u0IbC1Vi6qT7zwZRcfssUJjBa4D0WdzhfXIxi8RVNwjYWZvDRXbER6KnAmkRqOZboLRawTU
Se3g29M76YDxFucGrElHD4v7At1znamGvC+QWPfGkQjF2od1yh07ZZrrR9Kghdp9MtMW2c6CiKSj
18eLQgvhO2MaUhMCkeQjDR5xJA/h5FAyGSHqfOeuZcFXG9p2jFvKcotSy63Qv244AKfHzrdA1hLy
TeQTjQc9vHGpYKEIvlXaB5CcQXsZXO5vN0Snv5KUpOr3KGsivpp4nraGnXEuXT87QnGE3x5tLB13
cVG77ssCVshMY/zznCU6kXX1YQOxJfk9xGJLSviXEZ36xOD6wCMp6uO7TFxjOJm4iDOlmEWUxeNE
d9UpuSiO7lnOGLYoM+RioZfYsIzwHnA8IppHOmGlqDKE1XU6Xvvk2HPLuWqNl3tCXTTJuSb4xNW3
rYVL0K4vWmQA0nyoDfVQsI3ryhbh9IkdxnyxEj4GCndVgaZAklhavCmGtJ7czeV1agIZGnJccDpa
EYNsO04QPg4BFXcelfXk0MGxoiyrx76Qv5daYQ3PtQqi9l7jKGNGfi09wpQ4vXb8iDek6yf6AT9v
HXvO2sJVna4USdD1BCpn3QMpxonr/SV1bfvdLF1MRoExa9EcwptAFsK0ThJcCiTQKVSVCR+Ff/+j
tXwaqCH9dH49XdPt2S84l+DAcfINspHuUxyugYHV2elK6XjvVUmOOl8upP31xQQV4n3cs8utiOBv
zaPzOhHPCm6zlGPeNXhUmKfc7N/7HAljl7ViWcwB/jJAKBYOgtwlugen0vPg7fpnznqNVSIiXYVR
0CguHj5i2Bp0lm9oOzldO+WXwxoNExkWga0lXOwbHmSRE+rFX5BtN7+CnanNNu7ThpTaLZ22OnP7
iacgKgZsU3SV9s4EwwHtflems7hgaO932jp2RsVRkAo5W5HF3fmraicED6X0T/cjEAXoHibbB+2e
P2J2SUw7hXbZydLL9X133E6PfE3AJs1kRAgd83+LkrsKwwM4Qgn2GnqANsGd8kh1LgK+4qZEDbTD
y6TCGhQKwM/6bqtF7HM40i567L7kKsJ94TySiY2zjBEAe/ODm9H4U3TIyxyGZA8YpLElrc4UmGcY
0gAye8nD3XxwxcAWNNvRSpEk4+6fODW7PBQhqClVeJrS47hBq8cMPMlAaRk9PH+gMqe7kj+OMkUM
Lo6zKbqqr40GOtExXxuDBrYoB/gs3DqI0eKX9uUS6Yfb1dgFCThIgChgBr3NCloY15NfiMX7woTb
vSLF78KiXrUQ52lSW/p122jIYHYOK2XtQC0O/qx+vQIxvqwITSpLVX7t37O9GhIKG2syL/B8jOUW
sHDdLJCxlK5JjLnPREFawEgNEkhE+NVjBCZ0VtdJLTJQaCrPLy0CsbnevAZ7xciWuMzYBITpT93o
o2WMK9EkFNc2u3JEKKk9TI9zocOn0G24VFD+NiEQly34AYdZnGsVMW/9ngBZJpnekyX/EUNSS2+e
PfxM48odL9Rq3KZ5NJBsiJN0axDvXOqOZTw4kPDjdHSvhNSHc7kaVh2zCytbCanrBnCCiD5E1bHZ
EUbjFVFqxSvd1ZSIRGIYMlnodZBWVgymU8aP0dR0cn/WAzyIOp4/pcSF+qbqebsXrn+LSvzTR210
3MjVKNiiUOgL8GEYVwq/9hNcex6MIjXaqol6XuDq10ym1Ly4zsJBpdnrthXR4fBPqnGFklMJWSt5
9sq7AyfT3OqbIsoO9AfTVlgiZdtcu667mB37fPFRNVlvS6ayfvts89YMAfIvoRyYektbM0jqv3Gc
CUlEwLW9rSaseEXq8kEnf9c+EXXMQ0cDC/zaSK9jWdETLjJLsdhaRpdF+9WeV3Th3mpY6IGzhcOb
7GbzgQNh5GYuKX+K1xS3HHksnFa2oKVEIgsOutW3AiEAhzS1+XPFD/6aS8t6ZLXc7/evVqtONPoj
6aeD91dH8chxbDu6UOMFYSCKfY2mDQEeH76jReTfuSGEXxqOzl+uprxflOUSpEV/nPF/HMvp/d9z
jeF/ytnR0jJ/Zw9C1xQ1KG4MYp+0Tn8EihK5JLXh4kQTItNafyY/zUlirrroEYVvZAt17jfx2h/0
xrHVkIEAF91SmunIorwDySD//4STBsMtc86J7Xxbrb6zHUAtbqnJdDDBZ0WAQZzMoHkUHg/lod2y
rSCV8CVMbDTY5vUO2Kd3rW8ZR3eH7i+Qjnu/e+H+Biio4ET+tFzU09eFnNPi+HmiJ9J9319bkc8S
8uO/VWQeb0sQm5wDZ1RgxIejLedYeTej7UMsiXmr7/YQac1Y7U458dXPfXwD1f22doj0ly0aAHlX
WpGyVxQIyjHMOQs6gnxy5hc9es2ODtI4erOULoRpN9ID/IbQR1XoYJ25eCZ0BPwt7CDpTgsI3/Xu
5StdI6iBqa/UvZXGUH5SwscNV7rGE4v1TX8watxrNBYpPblF/JpQ9maGQLSHUO6H1tgyD0KCOPxQ
/qmHBqdnAwwrm6z4OHfHvG3zsgrnhul7ivvFmNSQ7we9X1kw0ZYmMJAZzGP9KFXj/T1SoEv3e5Ei
wrw8bRPGbWEz8uSeswvPsKD9UmhV023VGkPI2m+mrdWC3s69z7l7rpebwlb/VFt3pu9R6/62y7tl
wrkud1H8gMvxkBO99LS1mYzLUjYbIqU14E548jaoka8W88eT0QamDnaDqF5lVdUmhs7C9VREgYzI
F2DITqoG+CU9e1hDvRMU1srxUvaoFioYzr9ymuxyGlKr1pR85gI+MhTYTcm8nqAQr7H61QZ7ZsC/
WgU79E0SD27TdGerRoSPCfgwuE9NFqCUaBsRv4WXAeQymtaAkd8j2qYIepzGSw38aFTfnEouy472
R6iH17/0oaUvfv3X3dvIfxuVAs+kC5eSL5TQPNI9LHi2oXOw9BJ6tj2Tu9bHr8Hv+iNKUEH0f3+v
tK8vS7W0ttqe1545HLt81o5WDzATA6zxSnvW2gGqCOAVQ3wh3qKptGTOfZOY3VTsoYGDO1cESCYS
5LOR5SaXS21i1GMp08+EcDs7GL9cTWb57v0QowQBnZHha8isOUqCLPDcpl/5mvL5MbV+MeopDkQA
lXJQVY+NQQpdT4PKWrpmW8KrxaaYtbH92IiUFWUMwcxXAhKQKfIfum9gyGLp4bR9JDxY8Fi5gbos
liTt3cQWjgwAV5jFKxe8ZnDnOIMnBjc63Z/H9jXcZh+9v/OGc1pQMIV3pmbKRY4s1NBWKsxaYq3W
0eC8m85g/3Ay1c895mI9tzoJvucYJq3/F33BtCgrSRUxFAAA/6Z6SSsQDNcJN9eO8KaEEc1OMdd8
1EPs6biRvAgaQnhkeGwYMNvu6K1qLq2I1pPmUNHiTI9hhiSsd/luDyrcb15GMR5bSlRxWTkoqvig
N3L/erSzybGI8eE8m7B1s4Y3E1TO9qd04lST2DpFXWWbIlpGSrgGyjipR28SfHFOfDjgu2giYJWz
iKIaiMhClPfwShxh/2tFfKwQcEpsTW+o3jGXHbxEkBFbejYTalibGPNOIvf1l8eRPI7Nrl0NqD90
R555XjSjmtlu7cd9PY0uEctkZj83XGcsWbLQ7mg7eOKmxo9VHX/glt2Zag4A7HLEyRkEYcCge8V6
MJMDIpuYod/6alN9AyzFg4SO6+FAGjwZGEnErr9FFmNvuSmRMYocD119N3EkXqXbD5TeGim7iKnl
VSiTHB/6B1KwLLeDrx++F/MHXylE6recKrg0IlDV9T20EvlzNr6ilhGwMEfQIJMrJIz2Q6ir5xuR
J6GfNbnBQaRX8sgJbaiZcAh375Ifun3sBoFAHs5tM5d3IFKq2SSDLrljSGkQrSJd+uM8JIZaR2F8
T54/Y3SCLdbsmVnkKeybL9rfzh2tOwjRZslKtRWDM2WvLVfXVoOxhs0lJjTpg8qZY9/RPAesyfOx
13DIzJ0nnLcskX3OoUnnWGZ4vbp/t+nrl6WNYEqn1leC+iL4X1bP5qQePyEkE9r8f2ntetuCE3NO
doWY25vFKqpmeHHkJUFYR9dQjiYzjt7EapaZ30Ae+6pMAi0gSdP0m7ZXOJpN939P+hq5OFetJxpy
TvMteHrJyjVyhFJW79i3mkB+hwn+bpZiNsi6E6TcvNnVz7xI2S57xGllglHgFuJAjZA98nJ8hp72
HK3GbG6RMcoXXWBqPKJhJMlk6p3X3/i8QvH33cvxJI25tu8XTOikV0urijjXSD9CMM4+hL2xzF+0
+wGmuLqdGVtpIPJRT4D1s98nbgUYdc2ZkvrNJ21JXK+it9IP4SdHxgA3yC/mKXWLKwZTt3vsHUeE
BcFpn88KV8OTTMR6O4rKFEny3OFp3Mv8qC7xE05bRV1Wb57O3URcDB+tzvwQGJ2sC0sq1da+9beU
F97egAwaD0ymEc3ohvAFjF/WkeGr2xiVU9IhPctZCLFwtpkW5Bn7xXrXbjwsTxLNjBSoxLqHGtaM
tfmG9bz4mCxY3mfJ7DuXk29HiD1DFohVYinj1lpxSXo0KL/A3vqf9m3q/VKyxG9QpOGqhJTkSIER
NqFOgeY3RuWhxBUce3bh/4F8Td+3Wf6rgPGjTdAorW66zKNEQdSckgPCeLvjeF2tJHwWAeczIcUS
CWlxXevEP1TdsFrWmw6mc1Pii5hKtDHrkZKaRw0N/+yi/o4if3vxP422b4kuQAilbcVDKZfuYRO+
uaEAU+z4HMGqONwMjqQM31whVG4XYPz56KeoId5URs2oTNu3OpXqxUh/kxQj4lPZX7P0FgrIuEmW
FTBx5a0aspR8StOskW3kN7AI8+fd3BeXEJN0femHr65YdFayF8AnbHcEr1Bt5YeKmXJxyDtptfkx
P/yUduO9lzQlf4WSRyIxdrzp+uGBywx2SwUzlj9jHMZXBQvDXCKT5J8R6jOXIr43P+CZsgjuNeco
HV3ieaz/6M02ImPU7hsh05fOOF2m9t67PpF8jdzxbmaTxKxP6UGwCXZB65fcerJtpi7JhP0o4oCD
Nph3vXWtNniurCKfXMrAvM5i12b3ZL9xy5LbhhiAziJecD36Uk53/Krpin+yJ9oLidDteNk8BTrw
6nJbQyMP5kVUtX/gulGIAw40pLk64GX9KaNm3fiu2X2F3ZnKa2NUIBu6xpBwSY3u1oQ7bCjgzw9K
1SprKJm6ooA/PyYlkSX8jIeISZe1LITquja5/GJSoLREXi+g+fu8pUkIwATtOWRQPVxVAB5JNPiU
X09+qOaQNvuBpHZuFFW7jBK/j5jQ2CVQZ621oqJTh8TM/5VhTJfZ1hAEXIPvkX+kerv+WjZbgLj2
PqcnWIlso4bySORaeHoxMI53E1hoiSa9Q0LtlAOcUI9JTklgBu/dXVc+XdbHGc52MuZtYw4z3eqE
5018f7bMN2b/abGT5vDfs2lNx15Ja+WSY7D0Fk4X2FVI6Zh5IjW+f5u5XUCWNmpkiNGlGEMRlXZw
bXyS0QqX1B2OiwcZkp+YstJZJ9ifzLrc6RTL5mO0NP4VaqX0jJWVNi8RozIY72+gmG4vnQ/EB9q5
IR4ygyfJiMhmVpzJ/y7/PbCKDeVG3NvM5P9xcVy82WDj8FrdTgqT0wUG2Cv+llk9nzB7Xwa6yoTa
wVFL8BLP1KzVNXuvgsmDdvwuzZVRK2xBxMJGemiQcuLWfRp8npbe5pYvOoY6C4DtYQ3FKrjFDlfr
1gCtie1JYIL6KLhA1Z9uya0rc5/O0BKqgfx5hmKvBbZLNYGWWE7YmTpfuARRz67NNedbCQBHdzHT
y80I2D1K7UC5/hy8DiryUk0zHC2nvTPVx2nHwa5W3L8QFBe6M2ti/QC771PcqAiHrWaTgjp2vIJ3
Fj0Rf0/3CmfhQhPl/JD16YjAML7dbebxjeT25KE/5kwfLVsU5DEMNtECLp0GTJ+F+tz9vT0Mel1f
mV7WKZALi4Dj7B5rmcf2onHGmAOz2dlq39VjOGFJckzuJg9GG19rbdyktp1fYlfwleRj5ZoeoJNq
rBBxSCzAUkWrDKJmClxXe5QQRVDVIJUdkZTfyQnzSX0ai2drscaYHyzvYLj2rISslKiChkt9gHxj
NlvFV6lhmZYLSCvasxQCTHXSFVSaJPvykRUyiq9Tvp7TNtAHO8JN+fxywbqxj7aA245i1Wb6gC9z
jWCx3s1af0IWNRtLDducfMIjyZygjYDLMU/lGz78QBM6Qyji75SfkaNdZ5IBSEhBo1EMqZIM5Kh8
fyS1XtYPnFSMYs8tNGOoeN5uJQGsR8meSf5GlYdjZArvbgOa+G+gfHu9NQ40nfCq+AOMOjyFzIOq
Ip7Fk4Yw24zz/65wSrfNSqw0TGliSiGBuvejapxse0WAx+pck+HqlD+dxAdd1xkl108gmBOmGFct
vwIQNqTWho2sYRKZg8OIUvc5jqaR8Uh0nXGn+WaQwNwPmpMQTlUtEy4j/cXqMWKzk1Vfl7xXQt1U
FhxGFqrxPc1tB27viTSIJCkgZVrlCXUrBaBD1h37l6v0NroiqvJErk1glzJ77V256y7E+QO7A03L
rmmxSWulwZ+1QVg9pOSao6gZTvJkG+k24Mqt3Ce0fsxG+U7QblLuOZgEoyvu/kah//cxJSvHFGXn
AL9RS7e2r4f1l9GDWzsry6hmBKbzZkByMaI7iHMsKDRnNe5bMrStWJXW2yWfFgyi67gbfLwYHyTS
cbZZJJRJIr5Yt8bKuIEjRA1pULMrkBpNJl/Dy1pLcnbvwmfjdcM46nuQwJQuV5sKp2YnuuYq8tve
HaUJcnQKuhRnMZYJ4uRvSHnVINfxhjoFxydooG5yhhT/usw/u3r+CGkmb8d3IcBj79xPbXdWXpbV
xGDinZxL6FDd/vmRfScXrdASkLc6eXbX8pm6uNg5Yxgwx4ocmgKoeQuTLFZ4ahSY1/D3Jp4BegGc
kTAoleccNwxtpmmDplhYdRj1zem3WynI4AecB43NsKt1+oy7aBdmHk7Zbw1yuYzX4zkx4CWmjH3l
W5wwhvPPhoic0GnoSFhik768ngXguj4DshptpJN7hPXDgeI7LcUAnIBnMlYIbbaPlX41CwO2PrTO
7q2oSqCipH3Rehugk0Tb2ccL6+2N/iv8D8CAwWVjSRIdmLMb3iy8IWr4PtvgqztsSfl29tnGziQp
SCP4jCJ19V7j0uGn7dm+MBvWsXoYoDQMhl3Y3IuWg4uE0H2HNxVC8mcKgLg0IXavJlrS8aafDFbY
Zo9WQvJD+AVeBHI62ogpB+98TuGfFH0vO9gnZtiQYTJlAaD1aSg9p1MVruvbmDAnoHOfxEIoJmRT
gPIa41kzHbGMx4Sacnk6BVrt4HxRBga5oy8JOrhrhNQvUp3/pYSb4pD+CgzqED+roPSIqQHLxIW+
b4D7FhNZxYxoG6NFl0t63U/0u5G+TYv+6bJJ3SSI5RyAJ/FQh5wFQufFjSfos3QXur6ia/fEJxtS
xXfeqcCzZUziQPk6LDH2yxJKnG9AAqPnEHn2UPhoXCYUGprCBirEga06VvpXREYcvM1xt65r07Em
iBPzZaa39AcugHB6FMG2DS904mYj9IFRJOFtSyp4b77UwVs7x+VwOa5SiyqOvLz9aZ8mCOT1knw6
MmCyE3rk7A2ORaQQX9gXkwC8EFVlLeUZ440perEArIFiS5V2KrNDXDBAFgt1vpgsPQCbuqY7vukN
WyeRX+Vh8bbnEH6+cFaLL+gQ6TIFaZqojLX0FbiIaPHBT1z54mhSbQc2w2tez4s+eeNOJiow84i3
sUgtyBPYwJ74gsB9TNBRQVtrqgUN0GAqR/4pk2Zy1IPqjnQQAzgHIZwxnqjOI0ABuxvlVbAgZc9u
efnVQxaFsKQpBUbY10shmUrIKgKmG42y5YpxVo/O4b8aRHOhiR/sMot84QgOpnPuBuiTx81ptJ3H
w3hUHYyPvMDkkvkG8Lc11qDZRvo3UU68TZJ3ImcTZUF5RARSJuXTn2pQl5qtw0wobhLTzxf8UfRt
WivX1+u2mKQ9zoAmsH/hb8Lp4C9oQlH/XpJiLEufcoE3sS3uuCQPkWwBFxLT0C32VcFCLRAmPyu9
vzD4lCVZRXJ2lNsigPh0cegM/GqA1wjjtYd+PIjmHrhs/ehTz0Z5d6HeM4qWfOo0QQBwaL3hh5+f
r8dyFnyIxMBnVjI61/3T6c+hn+gCBpvrbArF8dKlZXvHJEvL1pmDvF3DRN/nF6xD/Uf5/2WumZSh
LsjslTllXZWxbzqUWQUYpve+xFD8a+SSueoYtszaaeMGdoSZpMwqmy1r3AqjtdYa5Kk2kIl9+IsH
PdZzYqKV5IbjoqH/GLd85T6P1kuNWtrUfVU/WHaEPM7o6XmEyPfUWH/KyGE171lRGHPavUPNMSvg
CF2DIwi1IFfWCWtJW9wYUrcQms3NA/7OlrZ6/Jk61H4zxAMhP3uXBU4cWAy7XdDeKmvkmyQ0VhKq
sDNwnlPw2Tw1fWxu5b0GL0xlW6t5JoUDTWXWWHzLVWHhppgFKbDVizihFs5k3thGukvo/nGsIpss
nSTaFfe7SPYiqUBacEwUou+tcYZCVFK0VUSvPk3+Hw+Ep95eMi0aTt9XAT8ER4xxDOIOdbytse8j
/rVsUpyFlGCrtFV9gJyrgGgvfQCEwnMSgIhAtGzMi5rtUmrtVAGT3TxRLOvuwu0HS9x61GtsBHPK
AhY+9wk/zJMSsCNKidfWVNbNwKwVgHmGPXm2lJtSicb9GWfW1TIK6qxtcGUQOLuNVKf8MyeO3iTx
7o7ojGb216TRqebMVDm+uGcEUgpBmZFarYr/Wlp7Obe3Jhad4higcH8xAq62xU8PjZQBHSVpmHKJ
fBwjWdyMfe6s2Yjol50gu5U7j+evt4g+6pjqC7OU/pdMK1HV8jxbdAv6sRHnV7zyqlVs4LGVbYK8
wDKSDi3VksrjY8F8lFJWZlJ1hDsrCT1rVsgkUL8UY/OhWHBo/2WyAz2BrswJNDMfYSQ98aLunnxo
7kOaX3hmyxoTNmnLS1VZ4SpLYrfYMLyEcHYw2bQQn8igo05vowKmDOjjlNCIcekHcgvSaaCgGW5k
b6c2nudo6GN7nyXlV95SbxliLARo97gzlvjLf7rwUAj/Ph8yd2GuJk6Nxe+qpS1TO3sWzrwrKTtS
O+1A/M9pMJoAbiNGesS071uNTM3sjzHGPPm40/7iTjt1AUO3WD5SKkPPVQZRtossMRCNJIoRlQeR
UJU/n1+BS5nykM+t3V3O69bhx8WOnaK7fm4PExUGU2+EbEFUjgxInOpMx5owdtjFFS4KJZSqQVgn
ABQII/DC98r6b/Y+N9+ONZi68AHt9VTTASp5y69H8k3AoyMBchd0hzVCtzud1khe5UUQYQIbyzhQ
pzLi40gqywZ9qtJajQOPVie2r6VBclYpUZV/Wt+JK6ZcBlpk4syI9z3YMWsfiZSGlwJ3/Y2JBvLo
liya8jdees4FdQP7MFACCO/DBh1jD9cdFhg8/RXKVmoJWJRQcOn2SVrjSbQJBn2gEiMjrrl3PAA+
owfsQAT3RlC5Bu09VmIQ1bQlaEh7lvfp2hPC7cPzCmPovNLSts5kJjHhAJsotaRWBxTWGHeoQS9H
dgVhwqYLcKw8FK3gdA2RRk+FqjmOuXxFEPk5GoQXPTLSS5PGGAFrflv8mTm06/tridCiZ3PguOSE
3fPaPCiIXoM0OLJKZ1RTzScRv3Ws0dJbcdniDavJa0JunBytOLoALsrtOCeslkcmU6x5ArzOLRTj
0RB8aSVQhY2pHFBKuBxZTwG/XVL7L8c56i/EwejIL8h7ElDHYUL3MeDr9y35uQmXiE25dBqYYlmt
NtIh94D3ejo76bWvTMs7nP6+78KEKJ7FHAfJKmc7nGXVk9rjr9Vp41k2npgAJWkDpnAtHy/ZPB0l
IrRBd+thduUjVyvm55dL9TNFSc6ErPtyYQTIsD7c8NeNxc834B2BCjg+WNqcwlOXOTBwhyg5wmXM
1vcw4L6x/6N9xtraJeaH/0uMjV3AvDdB6/X+slPb+9UiCaChfoU6Y+5I23wy9YQVIFRJoDwh/Jmg
00wERVrz7WQffkihdNbQBHGKIEYxeLf8RRfU2ynbAGh4zlEkCvN0rPs36yBDnGEwDvnirXoycGJ1
gUvO4xr2tt8uoQcpB7USmnUaEwgI9bR/ph0uOvw7EtkMrx7t44DG5cCFEFmWy5OGG7trFPsW6SeY
Ag2C2eNAkDX3qYrWPQPy8CfjQZM6gyyPJfnWBuiKvd0Coe9rTltEcniu1pH8zNwq3PBdaW4YDRAg
kV8Kd7XQp3NQ2f7Q14/Ud3GNk2WtazjsPOLIb15G2L3D8bvNCOvEOZnQGRQFVn3vMzmmQSMvQmZk
vGJ6PJzH7kw5FmNsJcreIpEM5H6HKQpjhy6PdCAUNzL9NBmgL8NMQIzy/BeIrmyMbMrvpWBh0CFj
3yh3yKUXvdr0DIduDeaQ88V4Ep0HmCivjWPxAENQtxHVSKWFdN/qEDlQgWykHuMhrpIufbnoY5i5
KRbDmYXqkTJmIlkarCfgSVnRffq5iucD9jC2Ph6bp1COKctZX1YiabAjt0NaoDVOCuOioWqgagJi
q0nSxtCMykrLyhgkZy/QnaJB6FRXnoXGy5Uxu/Bvu6Xp1pJaZNm22dnyFfdDbeBu6f+SbImW1Gbb
/0iGo5vakwzd7GsCt+p3Pv+kfybG27Jhee4jzCw9PJMouSrnW3uJMQ4WZ7SM0EBu7APX8it2PLVh
hxtCZniIw6PRny6DV/ppfV1flirUn31gMT/Q6prZIxRszBnMWZP+/f2ORFZT+4zx4Bk/D81baIp9
IzFpEnEDlElpLytLcy5f1wEKZwiHxv4k47flf+BM2tli72HcZvQOSZAPz1TCzjoXVgfKIq6JVK9b
KbTsdffCFmHwW7kyH2qtLXHUn3LLNVU/BpdJLy4+SNOBxzZcZYkk68bfhGX6ButsnzoQj+5IAO2G
yvE2ql8bZT0s3Vi6t6/PJa24HYf/4M/AaiHQY0XK9maDmEVR4544NZwxMVKFwQqWQ17QtloyWZ5z
2F2XQTvFhQ/6VmXjhLyMmh7SjFprE6hwcMCKgmeYYST9YA0AHntXg8RUIdFUBlbWfHOCu+X3ogIp
92dd+d7aA5mecAXR1p3AltdR2JQ1NGzIncbTWBMjQ1dhfMiZTVkznMWlfZ4EcLvlAJJPfD7S6vLM
g9ek6tDWe+KQ9SQdfcf1mC5FsbEDF8a5S5ZYf0DjNt7TGKjueYYsQE3xEYE9/zhlTtK0w1ZCWBLW
1+sFwe0Q2rdez0ZLfGRvmDDBqOqB+S4oALxaQf/a++NQYOGYIxF0hVYiXwSOO11yEflZCszZc1Gk
BdhFngFYWokFPEHgvPFja+kANPwqm2edImwJbNzg4FQRfnRtjYIi9oxFvIC4mfeKYqjStSBuWAHY
6HqYRtA9GB+BEI+MeYrjfh3GFv+m60WFoCwX+mymq8Dj47Z+nX8dB0z3+rPIDCfDYOmKr81desTk
aRN51p+OHC8T7B+AXKDv+tgeUZvPKAlV0v8qOEzHUGaadW6tMwTfQ+KeL7Z7IF4g6BusOqUMnvMF
tRWQHFhrIyiozOenE1wBGW13xf2tFRM2LyTiE3xczhKOuBFGw7Mx8/tZ2gYdp/4V9o99TSEHAJVV
FB0uGOKDI16yCHbSSInWiutDeIFXSQtFcoE2j+AK/dD/G0dndfXMaGREq6ZXGVyzsUWqjnv/BM4G
KslPjKAYR60j1wvSI40ClVb3GpeOnKQ2Vtq6srdAfuG7eCrVLhb47hbPiv1cgwSrduRFCrgMR/Aq
EitBkB3hmBMun4QTOZe+ObUKFwqJHC+uvYSKS3EHNzXLLe94NvHG5XJEEke/bPIpmNADJQtE/Z//
g9s3rgNw+9Im2dRz+v/6AZu+xlCWEaI+VytbuLEb4i/ZnlHVhnETmJZjIIkraV67Rff7nKpOI3xv
a6xL8fWdTMzxxK8eO3IM3BdHfD3lmjy3sJGYgYudsi+DT5tIlcUw2siWNKWtUl4do9QEEYjyiPVm
WauMRdZRXJvSYQZBXPeF/xM1Fkrw/E/svQ+fmRyh5TmW/56yHyNo5W+03hHNizSWtXnKRoqlJWQu
E1yXQmDGcQhGc5lj5+OkJNUqsaMaI/RkkE0mQYETea10GeX/Yt9yI+05oy6VcaSnzTNR+juMlWbe
z4RaKJhrkDbGmpgFobwwgC5JLa8lNb12OVJApvr6WrCEiGwXfqmX5BPFKA1A36ObvKFbKiDlqTQv
sETCDXF6dohNHCGh+zYIr/0pObu5g9v3Viub/G3Q8ARM4I62gF4PM0ccTH+t0RuTDhZPkxmMaxcJ
UJ5yjnOCKkNOEW50McX5zQsESiLDs6Mp3HJ8tzzw6EN68yi0A3cxl2bSNJkDRMc9tsptES8f7gND
AUOaJSqsP94tfoJ8cbwAHIx3UsMH5L7NkUKU8sY9X+KtuYUIwEtevOeFdAoMQb9+ui110/let5Zt
mTq36oDMvlu0VwEWgmuxw1nmzV7Z4btjFjprbyPgxm7eXnH+wwSaHX+mBcytOm/rukyv3zXqbwYb
pPhij/EqBt8KVdY9z2T9gj0eDVa4W71pM+y5hgjmbwED1p4g1+uN4S95OgDKckaZ3DkjyUoQqkBS
/UPirH0K2YurhzGde/YfIhUkCr59HEcXA2JkRZTmGSWwDURlosBe0lMPY3RG2dBoRhBvzXmHWCOi
fdSFGxH/f+flV9BtSGVvK5hyCxhU+of42s+LKDGScLvX9zfxt44nFSNhcBFiTwvFhVLoUhhDGB/B
puTGO88i52CPk50SyAInWaHy0sQaGN3qMhb1doKBRD1lH1EOUotrmzr7C+qyfpKsvxb7GWHW+QmD
wLN9kEf3LPUs+CLEZdbgGSUYab83FU66VrxgrOVVWvQeAAlLXmh+AjxGsO/uNnk4MysqI7zO2H+i
ghgSzN4KlqzNYhEVF8fef+dfVz6CE2f6m4Q4MoHuSH662hzelvSgWaJqyAFr0H8nO9JN1WuqamRc
C1jZq8JocPzFzYJJj3dXkBo82eq+1YY1cRWIdhkUSQZFp/hik2eti8RRNQuRWSB9drH2tlVSUs4u
7coAJTrAgc8nI9wLWXFyNrtUcXSQyTLuzB5CWWWPvkhyTdhJ1cL6w63WxZVciqNWMxqKp+fiUiOk
TBOlnOrOj+OKC1WfQcdojUbF9sLirejohiEnrBxc5XcQWomqnBQwR89FfDfXf6UZGUr8Kg6RHMaE
zRzUCYjjkzWRKbKzzG82iObC/9YJ0F34Esk4yzFiFWcqIja/OmIvMN+tbxifjm/Ao53xLAgQSQDg
Y9R8uEZqr+lnS1G9ACBH0zFEBlEl1DxAVDkmYlvMccVjnTXQNlO76OOpkrDeBXAQKoIL/cPumEBq
x7ZxaB+J4rI2mxNa2CDaVEmHBFWlzMZIdPzGHLi3LCCjiJ9JMr4eq7qfjdUSLiEr2g1+geihlDwI
LdD+wXwoXLrvNp0NdDeUKBFMLuIYwMmuAb6bg/mtTyFcWJPZCA7q+UKWMND1REhlsgLMAiKSflbF
ctrVrhMdRQvOoVRjPuVPtEIvyxjOl4u5rJ9rpjd9dvWVclHJGRz44Ke6iKqMAcJi4a73fmYNcj+F
LZpvzNoI7wwKErA+dANadp17SY6RegNmu1/5l0CNVHLJl0gMjgFXk3hZmYl95xj2Dg4kdji0V6F8
Vbq+a0R2DLsS4KLtI6b0szbSLpuX0FsjTZWUFLWoAtYXahTt7anQ0/hD9qk8o47ih8Ka8SDlPCGX
dvHWU7QSUpH+MXmS0CJIigwnSqB9hgya3KQNA0SS8+7fTPZoI5h3D8xZSsVZJ251TmDC0ubO2t7w
P5guGQQ0I94jQFbVIV6E+cWnvRZcTNH6zjh6J6iaS9CS0ks9TSzDDNHKq6yC11/ho/5G6XCFBkfH
QUDMFDCzqqE/GeCz/RggJIYPb8exjrXgRcuZJmoI60RHKkv9PhxOGS4UN2C2dOmG7BWuGQ/2j4kI
M0TV8+oFr0Ym3nIUG/s0/3vNcTMpcgsBcgVk8/6vjlbmr7Ucnd1mCUE3xiOVWCMvrUzeVpo6PUpD
bn3igo6sKZPBQ4a1eJFeEtuWpm3qBYvBIrCU5eqJteQSPTEdCLXPpE6tl34fihJiwHyTGk6LteZ6
gsp8PgR/fBTqeEkGgmkevZ1pzyFIj5z9OdtuT5FWbTYQA5Bn91SF9IwKgh6cEhFLPfkVVw7iq5x0
M1U9nvWKlr/xXlkl89oIp+gOkYptqiMm/D9dL+Tt/QYIcaDgNaCSjiYWwWOLQiGeQn9WHPbnbgk6
tyrq114j6OWBSKKMXLiCVOymwFnxBul4RGrJqqIUPiG7Mlzyjgi8FpgoVKMFKt1ufhjxs/3RRCIq
59EQLWZEVr1bzyEBq62V47d1IoRNo11NjZZZqrLQjmEsH8Li0fnF3MwjYQ7oP+uSGq+0jYI3eseD
cr4OBxSzGPOfkVHOXnPcHpHX8ncoM9Zq3nlq+chJ3Y9fiw+6OW7oRugb9RhCMj6r097P3YUAh64v
bZ74DaRJ4TWuZ/eoRueV+Ge/UIk676yCKBJN0f/Wpl/WlRMgv7orPL413dbkqG/FKflm3oOFKuo6
lEgc/ZWDn1ELSrQgSAID7Sa8nIg7bhNqffFa615/+o3uG4RYgH6NEkY/yUHJROL8qwVyxfLZVSK3
VZWWNmhGjfhApuRCMxt/jHWQqI+EC+IhiVBYUlxQwZ7HnLeFwm3ZROj2R12bsjvlc/XYVFwKspkR
dZwS6wFPdywAUQqOidLhcxHsj60FUF8BEV8ZqAOy6/T2sY4zXamAleaNWBQQugeKxQVdeABcq5P1
fD7Ae1h25Ha/DzOyIGTAyuboU6/I1psWvGiKTyJYEpsaH0r3I7vP1uLY/4q3X+L5QOEJIKwGGt1B
MdVBUOQew1AmwP0wIFvM+/O6zNGqLNpiPEz6jemOwfFHJ7pSacYduCmjqJrcXFZVntDaEbBZVC9p
Z1fOxj+Wyk6wFdzS8tyD3lyz5s3rd/ohM8S/P7TPYieb5yQTYwMcOsRudCbi97+T5OhsIU6IEHH+
3r3EOdkRNnGlhEacmAYU31Juzd6b5AxIbxuvJlZMNYd1Lw5rTLoU29ZAaKztv9ZqJsOjP+OSnULP
VwcORiGBIyJt0tlxAg3Ty1tAK1rf3BM0uYjcDrBcdaQW0wlC9ZbQJYXs6rj4MEDciIcOzqC7Kv4n
ZSlMObDloj7g5xI+ucZZkguQu2heU5Bk1EsO6iqFfMHVyLnRYHJPxd1p+wDZrhKxVfoppN66ib4Y
cmJn4gyms+EJh7aRJv3VTmrfK+QpejCL0/TJAdgUw587zzDD1T1Zd7xV0Ozklxhe/RwtFjPaZAfV
crUmSzVfBKcQSKs6FUDZ0V0wLt10qeCcwsC7i9mPaGC056RTQyVlLtTCUlsAttH+tEhZA68ZLaCS
lVhedxv+N1ywxyg2zE9BFMRT92/8fW3XlLVtCERK59LPTuKH7bn8P0t/uVPMOWX0fqZqpduKE5Db
bWURRejIu+xAjPiiuHW+wj84IfL6yPCC0E7CblB+EprpVIj49ZlCdsOxVXOGhcahV0gH8qyGab1j
g+fqEnXTheeBPY+Z71qPqCedX/IC42GgNnojM8oO9gtDU7rhRbMjffU5LHjrMF3JvSz+Hqop/xcz
he7obVjv6h7cazi4HbXOxEswZVzv/b5lolRGCcWs2cZSeOJNVVq92DKT5OcdIwydC/9K9Zg6z4Ty
T38GjJbbwE2OZkOB/5Vr1AvIkMtjavJEGSUtiQ3kKCdYFv4pePJtQdand2A6aOEJ4//bMwN4AoXH
f50jAFsvTBw3ZN4ikJyYnCGDTJ9Sca/iq9aXutPLTzeuUQN897b6rSVlf43PydlsSVs6pVq6Lwds
hSRAABERPH71cBfFvfW+Qffs7J+J4ATZ6XIBTeu65jRx2GP1sThPAMQLiGk4K65p68Bj1YvdCzsG
hXHNF3+RS3IeT/juQHPCo2Oxe7MDDAmGT5yC9/AnKmm/SynMEexXDWtiwN77lKu8Fp7w5/fuQfFC
Un/YbCNCAphOthF4xYWZNua2jFZxJgtcg1YbLTOObi+R7Jtu9CJQLD23i7wYsS2gqq2SeVa8EZdA
EGYAOChK3zEtUtfKlBa9ud87Py4tJrsGxKLGriD2IwWxcJjwgnNU8wRScK1xqZ6fY8pkILopx50/
F8E2SmwZ03EAv1UIZXchfaWzMIgMg2pguf7Wll/TCCtpbG6VDkxHpWfxIupXTsHL378jHiSCE6e1
ldvTF0t1gcOnb3ixuYn0RWLbNwWfvl/Lz86K062Dzusqzf/aDEEZ/rjzSlxp78bEppVj/2FO9z5M
XSxmI2phdqsye/KEcNK2cbC5g1sZKnGyZDUBdJdEPhvWiSc5RrhIIBZCkNwReGwHwKgEBQqfI8+e
bfnlhAEFzDwDU4WH2HA9JSjoPJu3VOh01zPAXl7vwTkpTyBzfwjRq8QhiXNMXzxLtK/JFf1jFkCe
KJtf3dJUQ5fWEHXCaF1WVtpDaOXcbf/PA0nr4YErg2r98zC3aJEzZ2MJk4Wg4iPy1/Zd+48seDba
dRpWv3QcKh5slisEgvDArY98VQ1C7+h5F/5cVeFxe5OfR1RVJkUNrL6py1V7gypHXaPMOHiv24kh
6/FyJOs+ftX0RBYaVarlxPZVR45C1YJSGDJOi13cxrCb775G1Hs5DRRixH+MDDnXzt0af7bNBChc
sgCcdgnbsIqbuWS+uqEc7rdfBoqX6furnjpny7h5o4VwK0myrH8Eg8Xew4DVJLObKtUmUv73RTWk
O/WJ5ndK9yGSnoDezf1LN50knP6mtja33grQKVCUxFHWWQNjDwZ3zosNj0+5A/V8aYFSjKbvc1Cq
4XfroMGBYWosZBr+yGMz6TfZLQNlQlo5scLB1uQ9uuuVuNuug3dN1NcfIV5fIeEwFOS5SWK+Zsp/
Xg7X0NM/Cc2tCzLWCgFRL1DfzB8UqevAcB0mM2DhZL8tbWex8WM6XuosRvbvSVYKYRlHRMgsrCq5
GlS+K2yDa51Uzn3sqFepaj4Ks+lTXE71YRq8nXVXC6cjhMOnPsa/ZqSEG9rwnNtbyxFhCN2ILcQe
KqQKWwTeNRipFL75wPcwKnpKWujRycBx1MtRg9fuGfnO5ks8BLizSQYsuzK1PFLpfRZBwuzampDm
jcY3/46cc+gl4fe5FLHmxDw0Wkpo8tF/B4z5l1cyKf1pa5f0rQ46M6AVgYD6uoMlWWISL67aG/t5
0bfPlQpraXe9V/4751lu7s0kg6663r+n2BvE52jFupcTiOF6N8xxhGB+5EeahGfGenot/FvEtZxc
wrYkkRD858vIPQoNDSXEziH41uJVkB3f22JBeNPdIe/PK90Pnxe5dRCeklcW6EAr9r3jC/LFVSHS
qauqmwTXn5rCg3/kZ/Ocf31/xSqwhZ05SFdOP3oKCclr1SxT8hXd80fb/LYYPJZnfFSmkUZqG1DJ
3NPpUBkxnAib2Eqw9XizG04gTNqqdAZ/30oyXlolIwgjf+fiU+KrdDaxWuyekpByOPwDV38oIarf
BIgh8SGdV7/NA4PTF8qNWdcEaKiM7YjOifpZ/coVp7+OHZo2Hgrz84Tetoo+6PjLP+/0pgHI3Niz
aYeD9uMolJBnmXFc7yqqCaiJ2zxGRxZ1KQHb+sbPfjMidlL2zbg3cr2i8BvzfUhU57qppv0FPjrM
E9O9p4XE+rneH5Hd2UPJQeQsbRXT9b5kEoP7K6pRv6h8cwkPpN4rKSyqU0b5QRb9rQ7QjBGaCCZE
ZdkLDKb8ekprkMcDQejdinwF3kxP20G3FYjUxx0zXE1/WARvE8ARlmtzBvvuFsTFpVEA+EA7NRmr
fCVSZTHXerwwctqomeTb2JS47G7vxmY/xxb8ckm+KkTXYTZ8UJ1W1OTiDyrzbHfhpWts9v5KFe7k
97uYpGBsC2kPljiUpC2xBm5NqWTUkDdHWgwsS04de0NnqijMJ9p9G3HdoXnSdghLG7jiQiVQrU9T
Zdw5+Mz5NfFA+5tDRsCGWrJ0+PSA3JDoNkFBVxcJlbzDTcOAWtRhi8huhaaNp7waHeVjPEdE9b1Y
lNtQ6XVVAUq7N1JiCrEraJQ3nymN+93YXSGvRO7LYtiU91gsAacoVv4bJQ5dcFELvx1zMozckGvd
jM0qR8n3GE2foT8n0o5jUCAs+wWCo89k+H5ENvvVX1WCSezClHZ+7tJsiYEqedbBLBTNrdAeqFb/
jW6dYzf5Sr1BKBJimyqWqmO61FPHPabCWR+iDvSwFrJXz98JDfWeBYxmCT1hqpssli71uBy+y3qh
BqQwW7WPKOCWijOtGhS3bBq+xxuk3i0j2PfszwzVx4WGVJZJ4S2Luo9Ml4AF1bgNpjP2hcH3dH0s
VXtEykovyIhhMqSVjV3dclD+oNQvowg307mCxciECr4xRzku/TYgTDhhQovXkjP5VzyaYSfyWjXz
hGSxx/CDHfQnQKARMCCEYou1PuY/xdqrTCT15zO9d4DvmqCXgTQUKnmdMtYFmMEEYJG4s8mxCl+R
h2RxPB0t1gmK3l0XfMAPs6eRoI8tIerHwm4mWxqP2kZwkkyuXT/ruiSwgxnt4ulc1fEYCdGWBpDP
OFINiQseFeiV4hVLlb+iLPNlS5VurfK06vGVZfjTu7LDPA0gPtO2V+M6q8AlGTLjqm/9WqUhLCaa
cpajYREUapg3oMph3+L7CtrYWWy36eabsbWcuq1JQNlHWovA7BbvvjZ0CwHg393LZdRnb/Pr/vcA
Xs0qy5mcSmQgm6sQQuYlnFjxK5Y6ykAYCz6Y5c4TF4oKwC4bCOpOkWJlH1LnzQ6FNsf/3BBFkNOl
EBadKN5tdprYFEAWl83zOViZr1c+RYv9nWYyQ9zninyCfkcRZM7OaVB+mvFMZ78z13OPA+nhntfU
4t2sPUpsQ4ODaQQ99SDdYO1xYfwsCYLAxaT6PPEc37by3M26EI2B/sYRV9USaU+Ipjwyu5/Ht1l6
/crh95WUSHNew+5plvWKuwEmH/x6ZSOj5vbVqZ3fouQYgbgy2eSgDCUb6jG0ljaave9ia30BhEb6
8UDUrDJq2mQ8xMBRuWWwfZSII+3RGdaDtFPZYSZwmGT9acUQmqLmnW5F6aO3pwG8mCvzWcvCxFbq
jHuFGWkQSVLm9NGSZhRi1qPZrBAX2rGt8SdlGw/1oQU6Xbtqw7MIUwDUcnrrW2QLF6JBRSJOFt99
2DgxdM6Y7BM35EWfRGfDM75tiE4X0jNGGOvVmrPfunp7jylCCFIPs5KXEchTKhoLJz3VY/C0Zdy5
7zkdjtmaFBqlUAq7NWwxWdYCIuFRurFa/upw7Ju4+PUPR0FLRvpMmsznnjCUzNNPGSZLGjwya8QO
mIoBfsV46Eez4xfrP6ox9eBDNNq/5eEZC/LVfv2K3ILQt0XXMDN1VmlVNv3dtQYbf43zxJgSqYXE
UtSi7a3I5eOGgaAhF3GzxrQbU9xb11hC3VZjXeJvYZxI+CVIJOfId796f4mF05tzGQ1dx6nZCDUk
eKflUUkmEWr3lCnCl/H0M0rVi2cS94B7ObU3iOVPvegCZDLjAHe+vTxRRumgOtx2IXyrDAu6SDGK
W1TY7ivcU/iAOtfhcXzuaxCT7sfaw+rfScNmLTr6foxR6gmr2USJApAXAh/xCw3YLm4Ig7mehWhZ
O5OF2CARmvT31ALbVkacP9zQ62/0FTHO/cWnTHw9CrQABzRMb6l7csOwFkR9Z49djZvzRRhqvqL9
1jU6uwf2/LIU18+O7j7KkYwoFQRkmRrKcBlGHkuI7s6f1qWlgC5PQXyjXhU43uecj1PLG9n1PrJz
chg7K0D3GHeGFKx/FhlHqcZL0zzBsYVVuyk0JU/JQv/ffpUQtVbRteHNXjXEqdIIwanFTcMZSN0f
fF1LXJRzJSkCAHekuMt3FXU8r5pqPBM33z8SoPMIKrjHEhfzLxBxKVvh7uuc5pVZLrpL+7SOACSL
hkly1NXdFU1qaRbzdtKXuQqA49oGkR2i6yyChySWWHF1t+Mwf/dQr8Ei+R1xFm56klhckYMAhdY9
I4KTTNZNenxbhSUWyvuUrgGsGAYGLvbmQZjuDXRUVy1K6RnCrUVGawpooTnb3rBphp1Yo/aLIuCQ
0VqnlN1aHjLMiXOJHN26ZFMwSELm+Eb7hktX6bsobgIHLZ8fiUhEj2zz3eXVQs5CquNYbn0zD7n/
lI0I7u8+iVBWEH6O8Ef4DdEsXFoRjGscb7GvuzaA2ZyLPw0ndCvfGO9s7UN1Ue1ZBQWWa5BYzeGz
CBPTyuA7tzmyYDhehJtp1/HyAIOJ5Yd3DJ/dtU22GIz1uPkPANIYGNiVjOWlxOQAQ1pzXjsscl9U
x4O36XTvEU7IqLwwWrXuoLpYEOrEb2HMjaNbnWin0jxnm2Z14Ah+jJ4O0RSjUQm2F0FA+YHvBr/R
Rza+9ZXa8x0J/O2+upwLQc3g9s9cZ2oIg/EwTxnwvBdEpw18mNXmTqbzZ6ZQ0/jnm2HqQmml0vgT
nVlZaB0co70pIkTlWIb5LWZI0RtjqSsxnoOv68kCp3KgcWMrZkczONmvypVogFcOVsCl/R7bSMhL
b5TpiMurQXUGQIql6d1kf931plvhJ8vSB1b+oFPgG9tcj4aMdrN/HbvN9Sa/Nu9RcOr/pVb9PHwG
D0xNHu93AT8/dadlzGJk241xwqbysxYMaJKwEh8r2+7j9svv0c7bcziibp21irfjXKRE4n3QNuSp
prP/YPUJ8CpEGYmK2XmCu1wd8H+stbg2gG8xiaso9F4l2jNtetGJsMtWECv9MaiVZbawbsL1lnQH
RTn5ym0bBjtbp4D5iQmgHX+foznFyNm6/6p62BbUe0yaTP0W3GSoAm1ZB3/Pyb9j+zLduKk8Efbv
uA/FVuqYO/a7QO1vWu91z8QnLb5vb5HlXnqLF3UG3G4wsmOnL22NrqIgauevIf2UF/jKEmEHqtQO
cfIOq7pV1/SFf3W8gA/ii0azq8jNUyOeFpo+fPOHPj9Kq+vQ3Vtecp/7bcf0pRwuFOdbtlapFwi/
hsQ3zyWkgaEhUq8KLF6ugsKNYs7FNtcpZCNcJj+D9voG5/wOgBxc+cWROTndyyY3+Lm3RwQRh2hk
ci8qvhEYwKr6fyfHh175McYh4Xy3vXwivnpKPo56/sYTVvY1oi3ZC8Oupd9Uwh7u2IaJspLvxXDA
nTsSSi4OJtGpP96XmayQIMFa33RJEjSWnX14vn3NXHZcOMUXSIyj/kUF1n/ByyADCUT5NjlFeDIb
m7AhISgpXQXKH89MHZD7FrgPDfRZuQ4a1A+l9ImRu6wOyUnAVnK+VpqfZAQTvoQw3ZgQ50iyvNFa
vjQYvvohuaMuwoTXuye1N+pfALSKRSFFF5dabvNFq4ZvGw6WlGgJZG4jCSBhRMsViyn5eYHn0yQX
PEfw8hEcCOLSTYqH7+nJcRNY9DirLKIV240PaUi1RWXWSz1FCQ4roYoTv3mRd4eIcmshZ+l/U6ad
rB4Ln5Gl2Gc0homto2OGtKVVrvjofMZuqQLs4hccYQghE+TXTsUgs7bJnokRTWTJU7bjqbVRWimI
i4ZXoEbuuNCpv+Dqum9Qq5gIGUk+OZ2qLtSaTJ3npcLvnzZBZL1yEPgODWMqpRu5Xiuaxh80O4ah
RrKraZlTii80BZvkNOw77wLUuaUq3GhhsitnrTN0lpOeTEIWlAtkz1ARSflRjjhjfVu+4qfCX78a
bi8iAxp7u0NcacXx66Bmer3CC+slVoi8nCayE603tpebfSVMNGLTwtMGmUMHX6g4om8iObx07T56
Nx+HZnOm3JU0Hx/sUGEQymv6wh/PYJRDwbMxRpeDIojDpaZs74J4Io4PA5MBxfYVtioqSMGZZR8j
VUhTUw3CWlz/CRJmxnPHhVFvqe+YcCWdR+tF4/ayqtFwmhzV7BGXft0DfkL9IkkEKOpccLIXSzsM
tFAISxCvPgg6+7E3LVJmQ2w4FlG52y68Mz2mH+YPu4jrOuy2WaLYw19CcTcDvIdPUGMGB3SaOqT9
nyz0PlgwGTKiZtWQxNFqN43c06FMTETSKynSDuTGQDQZo2YyqoQo/Fvn8UEqupwnqA16zFu1hFmX
8mXKbJINquy2o2OTI+kPfbdFlw0O9hZ4rpQ6uB7kFyvL+JUN42Cmo8uwiFdvw/RJUV2ES4nH3/rE
uMBT+c8XzWqWEi64/603FQwirrm44sKitNwnEvpx1mnng2xZDkimdOdbsS2NVBw7ZEgfy/Sq8uw7
4WBPcmWswHGbkN4txVYuRXTXaOq5i9aO5PKLunfYGBXMB01ZSjZ/5Q6EHFmKNEGk6N8nwMTLLkKq
YYxuuYfxOP+PHhF2DQWD5JyzENqmSE//6jRSWl16E4GFsSK0gDcH8DSETCKo+OAv9usaNRZXNGNg
lswGzOWSBqwNzxvUjck5swc4lnFd42dWjiYKDhReDcDnKht6mvkWITDLRQrsCoPgH9bhGQ3eQCj6
EifRmsyFL86opOgx2kfRqPW6Ge/3EGR7pNK6xtoHAgmC2Pv6Me4i/pGvUGI1J2kiZZ+4ePaUsped
NcQTgha0nERH+trPTHJDhWUhqmmhSHMg5eV9/zbrJlRWFy8Gb3enBe8VQv2VN5SCI46nZLRgdVQb
QqaaovFUtu8A6zZEOUjRtIb+EYYHccr+d42D+QcokiZzqLbY8q6hp5CzWR4F1jOROeINFbQtf2sz
CxmC4QMXGnHclzZ6SWZxre0t/3YlgIAseaApDIqDECEEcpPGeuKgklHiWACFcrnTVAL9MuFkx9Fy
x3Tu/ym3EUubWiGgMKyLAdHL+2X+bu9/aTN6afAdKDOZFUEI0QRVwbKy925Ym1EkrAl18Bg939Xm
3aKmk/nvpJLD1+frwKkrN4J9/PGHxKCEA1IQpuWTpyNN+iXQiWNJRNGZ6hc7VoCzL3+CkxkOALSL
EboIL+YO9iVJ2e8S7KVkZgvVnJelcS/TYbypJR8lADmNK1VnIL0yOZ+/4dtWtcf3Iyrb6C7FPD09
z2eCO5ffRkVLzgAf7D1ym0Y5w1i9wrF2g4PabxB6X6buc1/x9WLvl+9ynnjujOgyr9aoA2oxn9VY
mGpZf7bhH/zSuY27AjDGPi9GATVQ4wJRz9BqpltLBshmNr2Fj+rBphhFgf1OuTw6x7F87hfv3H/m
9cZjQ2+LcXnesoSchEKdDG+zaImtvuRfs2f5oXvIy4CZCB0lODIiL7k66YzlPUCOXgAKvQzo2X/0
Jz4xCg1wj08hUlccNvh5aEFaHPdyHcxih42PGjFZaCWfAOVo7ykPFUZMJcCPaLQXbh53Wi9kSdMC
Tyi459YOvlwOHOP+Xe/OnABi94/Ky5YCmzFrBwwr2LIjWL5e63UiW9EtMx0Yqvm5TWYE3PgbZ2Xv
OPEnsE7BjggndLCQKGhn8IX/qA7QNKwFm6nBDYTYVnBlPceZa6KEMRa/FQjYmetGY5w2ZDhTAd1G
kVWLwgPWbFxsPBx+RlmWCzEdK4urEMxAa9jMWqhYlqvFqTayUviFVrIg7dLdSQBHVzmirxaxiCcu
CIMJxYrHgRiZDym5Kw+qLITi0HzKfbJfauAX6yyvdn+3JNzspzhqY4dq4zV1skxljYhFoMWeB/5p
i7h6HFoP2Dok0/ce9xasT80M4hMYr+7ajIiMXUdJ3pg14rm+ScdpIVlATZ/bVDYOu0uwLEKBxkAS
eez/6zvnw/S+yMC/btpDWT53HflFt1iWTcwko3r0qRS4Yl53OdlH0z59aIDn/QWt2rs1KMbq6rqJ
FfoUpSzekclpTnOLCwKTBOAtQGKEZdhLDe6umb3ImGHNCBt3yZP3htTZLsx/6Q8znKgtqw0jSWGD
bCcvQNt7CW3C9ZZhOTFKWAE7TlXChfCAckjrfTE4ouON44RVkF5ErrBxB/tNGteMFFx9VyufcRcb
TA2/AB2zdwe0owxr1IUBX38VdfV9U6SkjN1siwKsDkGmZia8CyI/HTKL3jTjJl1psn92bxFohs0e
32VEsDhu4dcrne2eUd6J8xqd1D7VuI4f4MklcXqtsiX1fukRGCFXmoWYWsFcPgB9CGJ7VKpxh/83
OvPnmYMWqYW6sR3z7fxsJPkXMfJws3KnwwodeiDHVoplUZOwaKelpd9Ty7VhphzfiHyRH/K+JH/g
/gcpv6ZnyrwP+GSgPIaLTe1TptrUh14BcDBxtuZmvVUfW3cZnfrWC7I6pGjsdfQS/i8wUqEqp8dc
arwGhvGREtxHx4E+d5dL2ZbzJDFUhyZW+5KtTx9hqnNChzNed0VOBP44xbrMt8RhPg+wJtm0EzJR
t0tTAQle9SjMU+hN/qhLC0VjF5JyYQosf/+wujsQt6gGqJDezK9B4ac8vXKW9lp6lU3whLI4vcgZ
9E2n2sslAd2BglVM95Z5aij4k7H+XuPH1LWHXrAl6RjTp9cKhOH+GDoIlszjEStAuH3DrPVhXqHk
He1jJL9wabrXoJgiYGnNpqmknexl9ByRQlXXvQ4d4K0nyMQ55VcHMQWg6vSojxwpoI5rssgDsoq5
eV/Xj0lR4W7h6FRk8zIwVSn3gUg0lN8W7ZZvGj/269DDDZh/QLXJUwhK+HNWd1kxyV7XAqM7eUNu
ORIxPh9gpBQFfoiOp8JvrWRtsGZvU/lBaWgN7HdxJCd7OLXuuOwPT23FCgyTEEtHv2sUMQ8+AofE
54Go4mncJ+FTMN7RBwvWPhztOwDRA77TSfUhydLUQuRMBG8lKtiTT5Pk90F/2iOdxuyTUQkylSH+
6sRAhn1WSrAIiJVXuMxgJtGLlqT85CYsWKONIx34pRSMOo/RtoJNA0MlVl0V42hGVeJJSmxW15LT
PRYPGO3nzaxAHJ1PqHB0anvm4vUcV3WX42q5ec2kWPDRvwiPCGmD1Yap656QVLoh1ilZVha1lA/g
1jnqWuloB2fbZQmFWitlmYpXyWt9jRdyoJ/a4otd4+keDog8gVn63guV/GPXj4BxnhUY7sqt5QvK
ADV8SrBuIPOrL1jrAIg3qXNppcEU6rIe1KPi4Wc9Dm9CJ/6gUmPIVDo5TayWQRtMMCL838zFH1Xi
wi/jLGA+6ag4wi+aN65ZQ+h3LH4x4t3Vy/82IXOldASq0XpE3y7I/MXajwkBpD1os+1zooryZg86
9zq/aveLXP1VIqRON20agsM3j010fZki9zJH883CYSc91LYxGl9hspIBvm6u0WpCiNn25DA8hNZI
1ZRXQnkdbpnLH4c6Vaj1c68alG3Y8rqcknK3BjNHm8DK5/dS9eHco8qr4YnAk/mhnaOXedhu4zgA
7g2qStHNhwhvP4uqsnsxzBJXhqkv8TdtLSQVJ5ac8p7CX81SgV383nlLpCyglRTK3YZC0ux6Xjeu
US8JpcMAjJa+JPe8eSZL8+6vgy92kWCRRCjZtL5iY1HTXmB9KwaWKbDY68HbAs+6pYjs7ry8InBS
nFQk8oLiFEPxIz4jqgRqlBMr48aNttcTo0MbJpJMt4zzD+qHygcHrU9aRJDrrYo9moapDsiF98zu
oUSfdlDNrsKfex6WjAJdIk1Kvggj0ARRMZo5zBDKImoz7AxHHAu3ku1ggAfYaSI8u3QtvkwNj44A
Wt2eN0OzYYx5833tqy0Z24ynuojgSE1OGf3CkxXZWOoamIyY9Uft7iJw92yWwq140Lhrzy4jYTLC
EfDypYJSDDqBuRR1SC8leNZiI2l0Lgf0NcU6A96W63pq2Gq++hgUUaMoyvucuf3Ccd7ItOzL+VMN
ecNf7ZphAby9aW0gsV73xZ1FGWZG4VyVDM2K2W+Vpv+BlcJIyDM9L0P6Hv6Wkxjqse/nTcBtLN54
aAXXKmvcPUV73jQ0ZrlZb/CNJMvMONl6CuM86a2Lhi7vbNrJ+DKEvgNAtvL5YJtIdU0z5aEayy6F
a/4yHnnb4zWVh68M75FU3Ve9cyFssYZYOT2wpePafA6tzrXAgL4Ic2fhMgPxhzMnDH3LkeEdjPAK
ozdcc3Ou0jNUE5mZJWJ63YVxd1Sfo0FyrqN2ejjfi1E+UBjnWf5Qu+CsW6dsXbFpFdjBqLPD3yfw
3P2WoYjJLD3KgrcLqG8DFor2SnfF5EM+J1sGPJXHt3VGPcweCnWjZuhEMOtFD+po8dFBZ4qBHkDq
uczyB3rV0n/Dkc2CqRGieGknJEukkDe4X4fak+cfOaJMtdeTf8/5OadAC48O0jbeaHeYEidgOnEu
KlZDvAPQm0gh3Zt7rGMraXk3wfoaG/zhfvUWiJSJPjo/SaedNCGUN8Nz/tjeKWLpvChRctv6TgO9
fj9bGhhq36mYZD0CWBCOSJoMXqXuzChQ1cnf+lXJD9MudiyUn2DYDXNj4a3GQ6s3wKABdb/9th1M
Sx3yLImAvqZWiYWXn7FfiqnxWUEcxKfZEQ5Xzs6H4f+GI/W9r98i2BLe7oDzEXpW5kL16b8az9Mn
0vTUnl7cLFWWI+tzMOY6N2XDpQ4aOoGhNnS2fcmIVxAB4kNl7pzsvkkq/EMDY00Ilr4HDeMWoh2b
MF6ZpVgIDzADQVK0Y1cZPVtNpXZ7OlD6xwPiWtaACPS6Mj2mgBo1tEPyyK8rxMNjDwKSR5xAgJXh
+P0KEIkwFPxL/IA5VyAMc92KW33eWTwSk6/o69R7hTpfRxiYY32bEQx4mG/jMmnnsH+HGFFE4qBX
3t1fRGS7xUhxYBu9REE7lCnJE0YJgGsRARvTIzit9TCqz5XLBS3QIcX7he/swfwuFX6gkBRf4W3i
YpXooFPqSi6nQ1zl+0uhGgVDwKn1+cXCV8vA3dTaPT9VpgrgO17ZdLMCZ9c5XGdaZvK7gUXBCbIN
tU5EkheE4hHvvtYdxXMN/8E9jY+ovaxfQVA/HQyKFoZzIn6XGp3/B1s0NiVN8mMGrQ+NUA9YrhEC
ZyNJ2obizLoqzSpPL7Xonm2PzQW/ECzTEn+ZxXcqs2ZzXwhDD2d8IT9r/Ng6FI6p49cVphkifogC
+ZdDaeNEGsHGeH8tRmVgV2AOWxKsoxAJfjT9K0y3tpKS8K+WCHdDrYeJnFyVBf5GoYvyvl+TGaZi
xA8tg/83yPkq4DFe6RA6HrBYDjCJAfHqGysMOET8bPeNYV4NxkfQxMG0vaqWeJP9Bahh0ZdxOJfz
VOo7EW07vGIqOdz15HhKdH1AGe81Wi4ZXk+oSHM5+px84spX4TpZteDqCrhMuOTM1pK3cIr6t0hF
rwuhCoWnXX0DVtLedzJdWutDKZ6CuyEJ7R+Opk1RjT7Q+19xOu7TZUsVR59scGqhxIQGvcz5eH/4
9OUrOc/ljrk6K/Taghb6dlFGA0On44Tuxey3buRSeShsP6/M3qGe6C+4len1FnKbedHh35aaruOc
GI7IrK5Gr0sk3QBt8j3IWke7cw7SsyVdBVOCEU04nZnE7YFfflcqYqmMDW4fUr6AaiyX0rbBle5x
ieMt/5r1NzHPrW8T7HR/FemBPjddHHOu8PYn88yCicFCY54NEgc6zQd++TmQ5utm2iNdB13+IxE9
BQwXspj4/kkcHIFanFX7VVmmTqMzjQNWYfvipyIlc+N+FGY7iDZD+IP8FNS+ytuc3wWOSbkziT0X
iWzkAyrPnf0WY5Eqx/vqCtRcobwqnrfrhlQe1hWh/TLCdt6/q5gfYl2OP553kXVFjGu9gVuVyGEa
My1c7LEz31Df708jmMwNX3ePcB3Dbdhd+f+DARkhjGSkGOSFmBQSV2V3LpzAkprb7dwoekmoQGgG
H1eJeqEUP2vLnM4ZinMetLn5pZ742tHO/S+zsnbDktlwhlza/eBljvFfk2NtMNrariQ1Zs7hb858
i45uo1QeCMX5sAbmNU86cdY5o4vgQaoEEtWSSFS+kWcoOSGBuF1FXopyrA5lLcVLZ7sIoA5bx+gg
QKzsbO3+yLIGwLTdDrNJr+ymhH9mOXQ0dkTJNBt+jqtdQOyqJInU49o27f54fi1kFgi16WhPAMka
gcu6o8/rajuV8VmYvEUHfC6CHN4FBhgr6dmGdwZBTpiJCGfj29v6sSl6+BzREnpMnRurvTTcFG/c
BEKUBuiyYOl8sGtbI1mzp8hMVXvRrotLForjV95GgXszOPjkVVygObrDQ2hGXj799XwErcusODio
SvaUYvP0XJgsf3Hx/osZP91IAbuyCCbVbOHe9GXrn8dL8NWxk/qtlm6hPRs3PaJoP0J1BrZy6hMy
tOl2i+zl4ZtQQ56GgRMTLquKp+oc5QUKrUVb468JTBmyFdOCfEJ7FDAj97VvyD+nYUipEHfrJCiI
axgagVeyVdcM80gdNNV5KODMVlgLTwNm+WAKn7ndxqmD1vrKIFx6FbrUvR8+iVxYjg0MhDY4BTua
+k/JCm0MW+m7WAErMtAb+Sn3af461LdILLTfnVH6n1NjakcbQj6aNncF7LknUR6PvscGPHEuFsth
ckJTOQn06OXAjHiFxVO59fQDFAQzKsGmH/7J2dt/bfUwVPJg9HZoOlULMs1VqnWzT0cQBUc90mLk
s0KPV+5Z/giw/0pWMjxkht9X4o1Yz2qOjAABqcOGEuqgB+haCLfP9QHWnqZvgNVjbfjGIE2pk7by
Nr7kIs2USylQWn5erUuIA1jcMVAhqopS7e2Anm1RmPwZQCxNKr/GTD08JEO7dsNoKbW2WsYk3d/n
f9tZcv+3UvSQdUv7d120Nl0iaFHrtx7bsUYJasLPr0Lwh5yt1grSh0yGM7WmPyi+3O70EPNx72wA
/wJE9Qd08ITZAI8F5cR4FyHVDXNMLpIc1b6rJURFZLZD5ITsNf4pkiPCbhHxYJaJ1wzYAgV5ybb6
wgNY6DoT2El2+AUHAJf85ftdY5+7983qOnKHKy++4r1f/H2/DpXoJwy6GfSJY0tJns5K9yq+Bec8
/eZNAsvpiY/tFFrepLCoAU06GcZE07lTAnO0leAblpkIUOr4Wp2g1FvewHFhDQboHAQLs1mAfH3z
mjqfpyuE2MDAW2DG5wnpfv87toF3SlvwA34hy4mIAei/uJJLbQIsPBHEe5HmKtVwG7xKpdWlTqoN
fxixFib6YrQm1RucDzUxzdO271uxNBDdobD7aG7sUGg/mGcdXdsPL5W5iAgqoAvX7MdOLCQu3qVq
BKfI2WQTAOXajzvOfjKxm/1Z4Wxzv0P1Lpr2cF6XEeaUPp1e/xQQ7fjLX2Bl9/+Udp7yuNtKVjNN
iWQNgMgG79R4OgfFQbQgMArbXFYBV5sb/UE5kxGhuFiy79FudO6gGhAGHBiFrpvv/qPGLy8YnVUa
1GVVm9Wlv5u8IayumGndKX4P99adPdHuZtLtZBunrmezq/zzca4/uB8azO5tJL0hrYaUzW015D9S
YRPBMMHULJMcbKc21xRskeZ8uRzw1guBnt2956vDJJMrmHcC1KgJ6Zcckzfsn338PdO5IQabFoaU
uAlHx0u4O2Sp5coff1FM7hm2L1sG/T5ctTLy5lvFA6oa/8UmRobjTDP0ikJX4yCjJYa3rnYZOPbV
HoM7iuRjyZSa/v7yOdvokE/LPLryKG0x0UtjnnGCmf5vOjPMgoKEEOkNLTjO5kzBQmvtPdqy+0B+
wh1H3bCp2F906Yf3XxlRj4JbNl77mrCY3apwmRF+7Brz0XArQU6Eu7kC96QBqDAUQb+rltYiVx9n
nEICz5gfwOKfxei+pkYLWsDM8LxPr7q4xXhCAPeDYdw15WQqC0Ogrey6dZLBNwdxgi7vNjmzUPLh
xT+rEAmF6k606kJQKHRFu/TzHBdC38NwfTyDaccq5U1UzRxXwYn+AtGaDR/NG8mcXOiWPOlA1ggj
286tiv+vbGCvHjFWqrzRc7aApViM8dhTW43YhBMwYhse1ku3odECc3o9jIkhznkuNNdExIYFDP/R
4PlfQXPHwcowz5SwILiIQe0EBXesHUujWa+OMPAnL3RJ9xt7/CbG65W5vNVF6yPOBQsbUxHbh0da
HjjBEvfs+acgJIFREkSy7OU68gIjKIsDhjU0wAeV89uC50gaBI7NK/Y2JBSJ5HY5a01a0V5F5uuc
mtnOjoQPWMQPkYnonO08VTmIgmExRV0TSF9Uk75QUfW4OIahrh/1+fHULGRZJM4KuxL3/jRcfVlb
eTv8AmN9Jb1j1DWkSrOQ5yQLZ2cSsIlNBT2IQiSzjXXFa/VodW8ubBIhe84NnhuUxKipSyl2v5EL
3MO/E/iINyGEi9xayOuHEqrtUIUnu/QK4TMkxcFEP9mehZvx2LuMnpcTcxmo9R6GHk38BmHgv15w
yfWdQXCdKkmWs+Q0L5AsK4SwG3CGCneDupD/2aJjXuWZthr3LxYL5SB9UoX2H1w5IN5nKlXToNPF
Zvl5JyLAQU2IusUVAGcPIaUCZYk4GXRv7Cugd785ykBAhijDwmE0+pHOZ9DtfTQ220Fq/ioXf7Gt
mNbRmkuJPI1WLvU/gHINYOJV7sD9aS6wnWneNM/pzQ1YkgDunsWnrIKeVoouzhvYbk0jirEISjX2
44SlIGPauN/nqr523TBN0drJq+clRsZ3t5Ej7OsfsoAwKX3akaNXu07PqiSNG8UtV60mn0ASI66S
/HyWngiksXqUpKE0uK3g9yx55xgTES2EX2eWW5OmWJDPWh4GR42sjRw1IqxT3+wQLyLLT4ep1Uz7
NvO8Ix9DDnYjV9bQak8DpU+STIyGqE+jt3PZ9uWzFUZuMxgy98gnU0Sv38iRg5Ahb6RAOg8Z81eC
eyu2w4qn+dNQBXjPZ+uAAAFFSYF4KQBtl2L6PJO/j4KCdT3e9Fc5++Y6a0Wwxz4CkaqgmKAwtV11
ksL6NmiSOrSwab5A5lPoCkEAoh1yb9kxmI5olanKPx0aVp4yQ3QYa1qlLZ44y6x1FLnQc1D9Jykb
N3vg9AV6JMyCQJd/01nw8mtx55XmHFUjQUXGaPtNKyR3eOrqxuhZBgprYoKqcf8Ft9y28KTIo9KN
4vY8GIklFtnn3bOCcHaPUnnwH7EsTftOa1dHTy6x54FxWSOhBHzqe2RkMw6VKFcuqJIT8RQ+1PCd
6fBkQd8f5i+m2icsQf4nV4EEWr4yCrujL3U4aKmRyPnJp3zdwKJbR9rcwPP4kTSOnL5PZyywBoAV
fYNMEyLrjwtVPwbXJ4czG5DiLwiKw02hSwUa7o73CO9hMJw4RLyoi8AOTqVEcLgpEU1cHfcgpvzl
ObJyQI8f5IAI6BZX4DKXLfkvRDi0DTOCBOXKd0csPzhS1zaJLnUymhi936KKygWVT+Ox6mXJjVNZ
wqiB2CtOXEW7qKm0JGhNtM+ThpGp0ilPkieZqmgs5m1JMlqozntL0c16lCVyyHLvKC28rZxrCn00
u8NysJkYClBqvjk3l2NEgYM5AclwGho0ZM9121jIVYNUeD9RHlmlXIWlsA4cqvLff+egTqKmFui2
qfZc75k1pcECp1HAlUUNhIOOE0GGSKaFgtObPjx8jquRdNrRuSFK7lefxm5MwpzwLXsT7zuqoZzQ
J3Kl5JdLpOcg7VpVyPjKWmGw3BPvnbMOUVOfzTPE9kjhZhgrIzFQMcdZDGaIQUXSyYKpvWtqcZPB
ypF05voY+oUEfdw5sttNLk5maxvWTDAweFt+AfIcZ4Dje16M7DMfJKHWAmGK+md0Kg1Z4jk/A+ZG
7wu91zVAP8ZJj52XwdY7R5wza517KmvCvfUCbkMqMp8WoKkauw4KztvRvlcN4xhGcY0qyzDQM3lm
teBYBkVfE78sXB2g38kmgdyEQFxP//CmmHpmGNHOpu+qfuFqoj3T1Gw/SGg8PJ+bjAYHS8Wa8ilC
M3bSbWjdVx61jeNShfYWpkQ8x0JPJ1hLwue6kwpjC0Gv37K17HN3o604TNhJo8xEPi27m1ugfO4J
PSJXlvB8xN1a0UPBFTmbc5+3VJ8FhjjsUR93cY2fdIzSmO+oJTENIodzg1OCjAsM8OlqbN+90iD3
ippzyNG3cAnxEWu7rNyRbzeaeWwQA7jWIWL6BQqP2eq9dHDqO9tpGWHfhAWwXvddZZrIBdgh5mtO
/lNAdZWrC69H/SYgWtwn/D8fap3V7PFqdj/TdOgK5vE8xYM8VpPa0EmuZNcDDMoeG1xMc/8Q0Oq2
/Ql7+BxTBxyG02qhO07DkQAow5VGrd6vf7GWbOBAQHYckN31lBhb8CpHqBuhGi5y3A/YayrvUZ7m
MYwxhN4iw31IXAkzDZArrsoy/+fnEQXGoul55hYPQvHQfSC3x6hwrJ6I2GGIQGq35d+NLjzO34U6
d1hBNUM/HGlMapEbmKTsKptRHYYlkyoO5Bef6aWmzTKfHZJfcFlzC6W8xAZh4HqusmqWX2k69vG7
EuH3gbyTs8VOGjutskaRTuUNWTE0NkfIxLJWD2303T+hNqk1l/w6rdM8KlJA8x7uVMFxSCQhgGAE
qatPpuz9GsYlxeijqgP1RqJl2Q4MU3sg5UG7W6Pb57BJlTObruFShE8RYL0MdCuEN6sKKwAx8lUq
Emjn2CvZB5nckclBh4nazyFYhNkiVGJKjRNWtHsRhsWE4X5Y1b2kAO8ezatoBLXMit+8akp/9b9p
ZOpx6pdm1Q1snRaCwBYtPlKGZFk7f3ZhHlP7dOGk2sFqTpGccGzPQIKQtHSxlZaBA5iYG5yYI0Qt
T3Gc6PoNXg5NebzLzEkADOizQQi+W35SkQSmNbfMAE5jKmF5/3hDDNaCZTuzb4uZ1uu7prxptdht
UczsA4zD9RSWQpvBq8mK19RcENYZKLv0+oHEHimsvJGQ1IIjC+BV9PIrdn72I0kS2UJpPjI6yhXo
5fCkI+kS9pRyGFWPUuWjr098xxW1wMPug5JUrFjf0hDhrlrjcMnAeVy8wtdKgBlGme6aQiG2psmN
ARuhaibakRqWBfQRBNvNR+tz5gHPk63C5D3sXFecUrsngGs3G2byF1tRdAnhFjbxDN7f6ebZ5Cfd
WoneW28WPQVXdqndyGC/HzppwexPnHzIij89Zku/4e9q8SFZuajKaA0tOwkE7+Z5uz1EIzW6o9Ou
4teGM1sEgPNKDJ8cRcWXBgyH6Et+duYXpZcq1y1oakkWEX3bFrxosE/UxihAUKKV+ZeYORrf4c1d
brhQxouA8fqMwGEqzdJHMNLUcFxorj1C8NqqHTzHkufrMdKpt6YhepXnEIPGFZ/bPQhKgUXW0iiv
p6cx6Dag81VuVAm0pOskW6Vzbiyxkij7d1GPO7vZw1rUkoSsFXNnTxsY3IMVpvepyv9cDClww4C+
WT42oDC+U3vsGCZlB+jOe+nho1cEZDkhYidOIGiaEWQK1e6ofOTT8ntNBi2egnVSL0nr29QdkDKv
4IHVR6u/ALKqdNzEHjB6p/X5XZbNn8E9siQdBkJXNApVQPvNLRIL29his7OH5XuaGHHE9fLG8mBX
w9pMHZq2E3n6m+Ha6yDWf0W+i0sURmSOaszANfVj8nuoSBirYCp+cIuzNL0gkDYfVjdJMTpdm15V
6EfeRYGE9XGT8eZ7lHHqun5hM/jsmA+eQGpP5FOGU9LModsm28rfs979HDw5zN6oahd3kr8lAjnE
J0Mu9pZ2Z2mlj9xZE4ixg5a772Wi60mX8IGjdwUDJIPPNZPbLpsSVKWPH0m3Qo9ybTvSWaZwha+g
cB30HVTpIgzJAQkjQZJUYWZuIg16PebU3dl6IzXgqM+cv1k7WXD673ELOi0bdRAcgtHO8nbZnvVv
CgW25VorhmeTZO1C9vdXxdQTZ4gzrpSDoWf224XK/2ITCzWpZQmPIhXutNni6rvcuHuYfttBOTfz
wVniSEw8HEhxjuP8OYqxt+UeTwIkB9nB6Vzcp68v4a4NDLBDct9bsFSqh8a2aNWcsVWVmeBfYAwD
8ivqfaTz6S9fI2lcPuDS1ito2xh0EGKvvOCcOlrn/uK4Pvfg17wO1BX3e8TQjg90U6KLpSM526GV
+GWUcV4rA40t5P7XvHPOF606V76etbvrUa4mBOMGFMgo/cQKoKulhQbweFPmfSuulghR5DxHZey7
QUSBFrg5dm01eBRz07+qhyDbWqnG4PbWTUxE/LHWJzZFwGtuIETcup/5WqnN+22qiqU4clbAsscp
5UAQOBJ9ConvTh1lUYLMPwDWey1lYSrWL7faoHDl1VKr/63woNnBkL7lhdPTZ8l48NMWCpc3QuZ5
eD1S9pluO+aAQCdpdinDYiYLa3mm96dXo5qWotKPsqQ9k3bu7Kciw/UTGQ8DuyafdrRdYD9oCR2Y
4OO3G9fA4j40V2u6mxYpLD9yewTvL7GzqohPaGIzpioXwrUuryNKxd5AXUvcLIV2Kr9CewWORdTI
53Lz0EnUfXQzMV6QarexTiQ/GRIHuAyNy5LzOkMrBnWnPeXtEZ1/qiu1z+QHulwDjrUFggbWwt9T
ynhXcwsJnT6FamRtgFXTAeP9lv3Rhz+DMmj+HWYmAgcKLrUZ8zO96nX32GKEpb6OziC2vm2TCGXt
j0yiNl8PsMk193pQfoj5wAbeI8fAV9PIPOQudbymu1N54Urz94+ZS62HaOYbVpuNwR72D7XPMza+
PGnB/yZIciwmeKe1Hcjk7CB2a1d3SygGQSmuPveZKo+6SfukhMQDZ5VBpv9XwR8kdWjgrrK/VMN7
voNTM6PpqQddj31RCXJzVLeSYMqfzNZKML1/Is+eii3IrnWTLJeXrhtXV/tO4BjUvY8aDKfMsTD3
uKYfOX/66ptErwRP+IUIo9hi3WEXY2sPA9fZ+dvoPjanF1kXVA6Dx1eaYqFsXB7RoqjIptEaL+5e
4547wZXr/TrIPhu2xBw0aiUyYlugoiP4yqu4HpuYmvre82HcVm0076iqJXWp5bNOCR3HhmjAsmWd
MNNYIqhX3/3l4plCl2OWKcN+VgNRD3O25HLO87GXGpSh3bw6rdpSmA8X4IsAyE7vjvV+cmv4IYFM
997s9uT0rnjtjT5DkiM+RbXKQVJz8w4yY44L37dR9sLP1yp+c62HoGAp1XvQywgrUS1d2Y/2y4Nn
y8VYDjFZwQqcV6v+iOzmoKNBnx1yxnUVkrMgsGLjky/iGtkAoV73kWx6IaJWyxkK1Ud4U8+D6Wle
JGjtMQP3ME3qMK+xnPqnPbYETcnu7xSBQkobeEg5G3pcguNgh8GqQN/o4+u3bFdo3ywO2fJRw2r0
wKnhjwQQSpJbjJnglz14D3iEmLs6pTQNCXBPZFbiuqkzpdRFBzK3yIrlXe2Y0sUb4ckFmLcYj8xK
KurD53NZA+oaoISKrRYGt8NSJoZw9WPkx4T6JFVX5Y2ekTExzg4oxWL1FhiG7U4gmvdviiisQsjj
U/igSBSgtGIcZzRSw8C39FMZJXj/YKrIIKJDvD8JyugJHexCZc0+sVCWQuN3vHmWl8qewQ3w0EuW
HJCgjCc07OE+yNXf6ZgsZENvYxFuhto35w1cFg0/RGNF8dP+DQCeWqcKb2WjnjmqK/XchOpUp350
WhqXMJS6PK872ehLLmoJvDQYRoLHqrm5kKAx8NR2InxiOn8q57lXLHt5r60qys9rMNwI7y9uJU7u
TtQDSqHTTYe4m1JeEUbpKBw2xraB/r5zZ2XbVMTxLfPt+tHyGiq9JwOf/5j3uHDZJYYtmc2xnV3Y
o9/eUvG18neDou41FAAeu5VIRBWQqvs7KGXnW5viJl6h16AJT0iKf+cfXULy9W/YYqFPZLEn1z7y
G/BStt89NjizegfagW6pRRdb1rAC5EtPfT7+W9XYtk/muS65fCW+IT4yXkS2Q1olGISsJ+Zf+s2Y
64bWqB9NOQZKbYooImwVOt6/K6iaa/aPS4dWi3rSkybff+bFKUHay6PJfM52/JDJlCdnjekszQUM
zKiiaSGBgJeMt2ZkmUsS5JNU8O0cPOxA+QUGrQJxLffsAmsRv9Kmdzcqr3+gKpsgxRCnUsaCcBXd
mDwKAVGBeUcv7ol60T6ye1z+5oGNB6ZfVanJgPTJ27mkkH3osowHjg0q0Y7z3TwOM6QExsGB/y9O
Pi0R8+Fx9ZQfDLKzAdMasTK/UUp1Lrx/fJ3dMdhdK1Cq+H70s6PgiEyrMCmGVYFy82sgPCp88eR2
sPdcb+n/O4FFQlPAzwA77dwv6xvq0UR32CQ3sABM8CZEzSPqXJaHbW69C7dZGhXQRbucXhqSYCB1
OZ3u2d6TVHNvmRKeDYS3YlWApG1Ji/O5D1fv3GvnUUzi5f7gtNMcD+sUQXPM6ThxUt+ahViudTcE
n9Fv0paUG9I1uPstcNv16muV+24LVUS9WwW3be6kCXL2nQnLIVk3lFmdbIWy8DpxDZkB9DwKqPCm
KMwxayFVPAl5MQ5S35sVcuXDOCL1W3lU1vz+hMVNSbWXD+ZfTIMP7S7h5Rv9yAIzzeorX95QbzR3
iJzRqibLqKsGOtL1zZWS0oS1Lls+k3agCOQyVa9bwuMhPy5yW5oZP82WXapCdJtoxMdFjVDdU/tS
cuvYUlYl6Xziub+B23NyyRkLWw+cPfPErHDeVXFCzrg1EwpX0oeTbX6ec1xJdVCXEi0KJJGcjBQD
2shVbuMBwlzxk13CY3c8/1k5XN6z2nq3SHOrVMDIHzAvyFUrawXep7/JOWgLqgUkV8wmZF0oi+YM
XaNjFez5QvkQWqm0Lf7J0Fc0bmDk3mjn4qNka9eLtjZjn721IRkR5cdHsqCwBt2psYbq0Shm2vWw
QGWBxHWPzOZ6KLNmLHXseGstqtDIqiPfaPtDTzGHyqb7HgWPQ95Z/L8WJAemhxQiBc0r0NUNtvmU
OdQKHmUHc3PGwyhFkBpc5wN0sb5MVy9y20e7w/3qwQGHAbfbzLi9jY6Z7uLuI7ph/6Gp7iBSQdtd
fDRzFEqL4zN4xcoY79SW9IxfAKh3d07ovLAEZeaLmjfZZbBd6xGQheiRTcp6sbpaZhbbxfjdZPPv
Jy/VeljmP9UdYsLFvm+33OlIT+3jEdoCiKsAzUM/8kF+ywTfFiKvUWmtPcTzz1XdpSmLp3ChCZOR
aaXsM1AUyl9nOdnWklW9IoXjS8lccQBaqOhiC/VJlJrOJJauI+wyJFwkLB1xxYZlREq/sIdnRKIA
yYSoCd36uxkG1R+P70vzC5tUmV0RbJqVI3OyVuOoP/1qjwBhnyhIpfuq/OvNQbTwkk2iZ2nQEzf5
IbXoaLj1ZsUYCRMtazu+8NUumSaVwdDU8AFBi1uuMDUF1Wa0oUXqQYOoZneRpfDtjISgB/i/GK4L
MtVzZO+5IMVe3DV+PbOg+n2djfa9muTB9Q0wkOxhv0WP9MCcv2ZQtJ17vBGbuBh6GfdBGuIfL5RO
WnTSHLSMsbLT2ERYeRnycdKxM6LLdtHq/FPzZSK3C8SEjBAMFJmeQoFGSlu6fAaMJ5O2OtNOFx1g
RFu2ZGTYE2MjbowLM5Y66KWd8FowIGyqrcTP2dNt2MRezZRBibRREBQIWRsDDbgGGJBFI//YnIfG
X/oJjmTbKIL0XRsH43uvWGQFFM9yBZtLnw1satApSBzRSTWVEdAkBlAXAp/E2ls8oI8xpkhA1Jty
xsuNVpFxDHzOQdhwYv+amoGqRS5fW6ORJuWQYdl78VLrqcusnYSEY7d6pImexRWjiOj3Q4mlsDGW
T9RbAbARqVawzYtb6wfbO0r6Z3kaif6NR/2B9GAvoYh8nu/YCsnlgPJh462bUlJFnkrUwWxMKv4I
yhmx8mYAeCZwqzs1CV3xx+k7/Vq/a45OuaJECgmD8hwAXKfytsBFK9xgsQO9wkp9qh9Jbs54zQZX
xwpxKJfQ9TyXn8tfdd+AWS3mfv89HOZFA+BL2JTNd/eWld3yzWvQqSB0beAX5VMKZe+37P/24zZh
UjxXOD7LNS3lmNRIGA0hgEzHOnI8em37LuNTweOMot8fx839ANnpVPZfcaPICDyvjP0kClFD4pny
6pKLrnBr7vvzZ5bLrvA9i3vML3aEQdgHtskGwShgsEfolMWfG465rulJAoCYtet+Slk1ffZ2GVwj
gFfWBDeJ5htX3DpRZrU7D2PGHXE+BjboObwuSxNj56TbcxwvBZ/lLpXfTQgAZr7p4k9DXRnnYmTr
p3glEPsjRzJBSJ1KulmMjldbzVeHv22cC5zQJHg9ip3u31Usp/tW64Mz6IfhpYvo3Bm0L33m5uBy
ub0BN1jrDneVqsel4mfX7TLQXZXCxlddH8c091xizdnIsqkfFLGcA1lH63OtMg5WAQddWG3Vlmc+
fKh2DruCDc+1KGC7R2xXrY466O0EQQ65M1Nr2B+mXe23fd3edomPDMimiv0MAxdeRPVwSu/Z0dKD
BTuGmQvoDVt+iZttUVQG6YtMjgjyjxEyiIyrcra/qQZ9+4GgP6CQ//fmdmeaQdcDRdTl1idzRKpU
DXMOqe7xxzCV1uRs8GKx+bsBLMi4oMrOsjHfUHvI8h467/IkNF3ntci9opV2rRkWG8F8cm6nAD4C
UvYLo6awJHZixK9N5P22OUiX/lp6KNC1+Y3ylWuPsPKh01cSLymie02fxL+rumEEcuZbYA5OSuL3
Na0XbIdk1rEb2YRZQaxWWoC2HJOwyjFmL6qAL+3okQL+LOob047nTy+xyfMsZgyAB8IfBYEWwB2n
VtgqMPUA+1B/GXUvSEKdiWwLHzFFV5EPtNVeA/hslDQ8pRuc2XnSqTxy/EgRWzn9k/4/GO4/O7BP
DidLeuEQt+nUFLOhlB+JiFGu7w37VUlWEeCAZOUo5gO2uhB3upSvDd8/lVBAvNpZIayFyMPAUbMj
Gv64O9dIwX6mZLgmWFroewAPSk7emw8PcxlcQAZbfn7xR395NxbbNJUvxGYKeLhvKfc5X8IS0PLU
04Y87HPmigIJstHEcNxy9Sud41gA+mo+LUw/+bwu1Y55cRAHN/SJxdtd/Z1uY208cy9UaXvZWiuV
p+TdFW7fKaojiATDdk1xJFRLE1OCwgONa9zqsJaDeZVCl1+WZb6nYaWu1+/2fsrXtvDTnpahm4d+
4hcOc7zxzPJusti8uux8ApUO37O501z+VYNH+fKNKJPOduBuBKWF5Y7HHpxhkC7JkFecOItzGBEe
Ay1rPDYqiYnPI6kH0VlD3cv1nUhXoXLCywG1P/61l1TP8eWmldRfJkOfwRGcHR1+k3TtO/O6s9JB
pM1kjCinLqJ2Uquv0FlDgglQVPNxxfNrNOalCVvnpiXi6Xolau7PCiSXXNqcACfmHgFhbGg12u2o
Wf/ofCDdZCoXycd9rt7L4N7QqStBj/mixhSysmuiapjsYPTRvNjBHHDoSXuszAVE4PBbfsZLCK1e
U/BfFHw6gktCBHsazhY+K+4v+4r9Gpga2PNCWjq6rK6DH/OpgbtUssYazybOyG195amtBfFC6zDJ
8IhL7EniaXU+4c1W1AW9zWotZK5CuhJuP6hWNw5EJC0TFMOkSsb/Hxcvwlu58/7gDovyUCv4zDvS
syc7dKoHh3SsgzNI3EZg7JIzd0E4trLlO4Ucxho/6w/lY9bIwzH7jMm/EKOszwUpfOgTxWH9mT5c
tvGywMWmhY6j+Yl9UZYIPqmycv6nBPpCNEJbDmVaf7WZpoQqdr6COYUa/DO6W4cJC4WcIuuo6NJW
lQ3MjYd2tnVFhKc9v+9fwJqMSdLk3uTtVhF2+W/aUC9tiXth/MwSR5fF4zvZ5wIoDm5sck05E0YD
SaA508Mt/awxbmUOEfu8XOyFB4ZUR8thJZcXwsXeb6i5xkRYufTNK8qgZnsLEOekzED59TzvANhd
qAfd9xYq64DwZS1FVWAYvXHST+rmfgo2judeYWiKb3YEKi6vvv6URc2IxZjj3uyZHCgxZ3E8BzrL
wnrDN2rDsG7I9xSNy2VYCXI7AyLsGHiBI5DsotM5Fs92E2mDtiypJThiRyVRwStyziv6mcqC/UKc
FeH6XyFwMMfSLwmagCsj7aTUtjljuloJkjWa/4NC1elnmIlNpfb9xWUVWckTZpQQwrzjkPdUtMrx
b3mNQHrKWWG+sUwsg8r3sCdDxAUkoIzxUL0jqwSEuAa4FNZwzx1p2p4VPZUGGTQY9Bo2UasSONCT
8CSEdTQwFETGKppJoGz+a/KqzTgNm6T7Sp3oEWuo6pLQJoRAE7qlMvd28SpplCYoafBza8s22zFA
w50HXiGCFqI4GJve2axa2PzenLmC3ZqZiHeHEMIcXmued93jeuJQVOOArde/2ugfxP1mbDadoT36
Q+uAw6RSJyYYsLnoqaw6D3RDOcpIOj/XXj7Wl7RlUjYN/nHXuG7vQUnBjX3TFQcWUN1PVImbTPeB
/neyo/4N1hpLmBVsGjTpFKcWhQjrN0PqevxuJSvk0kdo/3oCKAwJBHScKBXX8qjQjji7+Zo4p3Yf
5OM7ni3ego2H4XLCJuP7Lp1kxNJLb2gi9e6w8naKFs0m4b0KNBExxl+3A144Q68t4ZlTvmWpGXVR
+vEgEcqBnV+c8M42MIvoGuRKMj+oUst2yIbr2ijVbaB7siMYQi/1BfmCXOgKK+8lORXeUplTeacc
MG8lsGV7wyT/gyqEEB1v73ywGgrgZ6RKiCTNT4QEdPsgDYvs/pooun7axF8/hfq0CtEz0A8EiE+5
wigRT1P7v47+NaZDf2rKQMNrPMbg+B3DP5Z5oLg8Ym66ybLVkhcEFYDK4iepNTm8ojyrb35CMa/E
AWo1PVxp347fnifjIBnEzE5O6kLqr1RGZkLaKUYrP6g55pFudV7RlJx6nwqdp8M/ruq7o45/0Lhv
0hZghwEVSUcD3T2z3JMk3AidWyMrEV0YuVsObNs+wR4uoyxEQBSHXc5jNCyfFfDSCfpWdgcd9C3v
bBewxgtpj3MFxn1g8KafmNUD83FP5LVH087KEn2gJ6cE1vObHcwu0isZtCOGunPE2w1cruGFEPks
Ed1hf9Sv5+87+alZ4MdiFJXeoqeNFm3hZMDtP3I74ADMNKHExtPf3O7sh1V9WCpTry38RdOPZW7C
Es1isjD7q8HoeJ0aJhBP3nIq0eBF74Sb9CKMGSt8N6x+cvRAtc0yFDmFvSe3p1kPw4mwDuUoDCDC
y1AfkssJeq6z+pqCyoUALtETANdtmBBEhAw6qZKDEr0v21kL02nU7x2gyFjlE3rz8WVB/7E1NBVX
2x4aBP/T4dXSi2sgilsr04PmD1PCuYvtIm7G+sZJ7MPf1zpoRYwuv6zToBJaYqrjmMRhbQAGCht+
UFNzwCQeMEqwbo9OlD/gSzs/lVCfYYwYdgRZ17b+xB7HPFhdVKoUA64CJbzON0wpWyHjA73jmu7C
Px/ATMiWdPufBIewjtp5oPd30YIg7v4xymr7C2IEjDqXqCOPOr3tiB8PB8orYqA57fx/8Y6OBJI3
JaxHevzkzQnhaE/fBQsmteXv4BoJPu+/OJGshK04nqHP88zMWvzSLDxPIla6REOxtwsn0H8ErIRt
WfXiWpTm5GwVvZ9SoL6mouL1fafqDnqW5m4eN3GbVxD4yauu3GTTCb8LtnO5qSXW5TiQ5jhq1+la
JbLj7xQ5eClp204uFapqN6VLVgkk8dU2Beo8ZNjTsfqXaQActGhXIzg1wST3ubkW9OcsbzI7WJ0c
CdCmPkIzGtegx+5ITLPsKUOxBPWkGrECKslswfYix4e77Qttk10CrLpKipeth1ahxPcHKJzl4HbA
yfR+2pij4pFmirxCjcD9Z472WZ5pz5Z3MUyXKsLZvl8miGVN7DBJeejd+9tgL1Dxd+KDcm91XoMC
xzii6OLy+rdRTmOw0bRevz6RW5v/m2mgEh7Xxm/+8gRfRNgpHsHIaKu5mB+2NEMTVes4FezI0fjm
4NnjFXU6uEVE07tIGc7XFxKTRWdKcIj79cic55pw4tO0lKQDkP7A9+OUCRuCzw4IYwcZkDon98n9
XvW3ik+C+Tri9WtBlboANPPJ+1NVV5H8xdQeDnx9oh8cwQXHIvXy1bBFLYbAII5BmZZSj/pxX2Kg
2JcJy8csiffpAbUziRcJrnp730YGMFBVr5rNoMoixnNBWrr5Ucp80N35BKT3oP3DpeNe9O7r5AKy
Gb0vxrWNl/N6p0dzzoyQfNIwSf5alKF8h/ypT+d/V+puls6H8Nubd6miohTPwDGVhTgEx/2JU0a6
gQwM8OoGFEU/YvNUimuIVJ8OOBnvED/2PuPnByRPTpSqUV8EODOAJKWVKYbtDWsJef7PbHhSS8yF
KsXoSkCuxDf0hy9JwBDo3k5flhx9nKqE+TJCnKa/3TgBzsLqeCJ2NiudC5kksEz1gKrViUOUMsg6
9BRqjz2gSQZ8MEo59R1+MJYROs9zqEyxZocsNsmArByDLh5qTafvcJOfxnhaxsPlq4UiuetcMBd2
YnytAuWo1Uf/eNOIB29FxNkem7HIigthP3orVAtM6chTf42UpLRxTcwyO4ORMJKZ2dJSP4xct9GL
HiOSmyy3Wt+K0PWGUBoYQ/A7LJheI49jUtFjMpApGsMAPEFhbFXCcNsaWWBGAlNh6PjfsmUlx2Nd
OmSxDHKZweZxo/KWFG6Hxl8bGmWM5xtkrn+S3VmRIiP+nD6AtN4DuiFT8VPjIy7meCo5pO8a6tty
cbjvi2l7Ke9tYYPOSKzmxeq+Pz85k7/eKmAUsYx6zEdhTk6vMJBjEnsD2OlTGXdVXbDcLTpiPqoU
v5FLnF1NH43NCKCh63jlxxRv5D4TUHiFEKWSn6iFUnFt7VaUZOlPcgSA/mOrsxHj6tUImmYpRPZF
R+tfKfLyN2GFav1vgITkj4im8HGXCSbRb77zs1SKMjzrR3naloXUDJTYKRQj3ut85ivh53b5Fbwy
PgIssiDS4xg1O7TgGdoAjCon969aArrVrQP33ntvEGKi5ZbzBi4s+YWtHut2+RLlOFS8PzK+LA8z
QYWT8xYHoTUR+c5hZ/rwg9wLeWfN7XDeoFbVkRiaDKf+Ktl6pTVOMH8ha0nDlqWRm/8TEyFJKrOW
bMMCnR3ngZUJ0a7nLMLQg9m4IOZgyB7Jl7iuF2VNwrO9AU1fHiXVCbEJrNDgBgUb/GjzDIxMbetK
RWoExjh/UTlZd6jtEOH1dA8JhxQ/uwoGEhcqZVsElEibRCNdFdJ/88wUKbuDdEy3awo61No3Y+G8
Wtpb12KHpZv+TUFQTy63YFPYLrUPSbM+DIvP+jhoSIS96/fHpO4yuerCPN6dozvlXuMFa4QlIWS2
uXvaWCU3kgzR9pt28F6gFUs7/XA35vZ1JBOCXT5KllC0DeiReuKyi0XFOGbdKxEgUK0FLG8NYVbd
4IgmKUYN1VsyRguOBU1WBWN6Velon1ms1AEnHn8EtFcdGs+/Z59mM/2cSDO96WQKbB2LN0XM+Egb
/voMopDMRgqPtJzWeqIUDIwv8vmzUC233ZJLq5FdQ8kzHKtL1CpwaYE43nc9A9Y0gU0Bti/Hhhj0
Wy3o74JiXxZdE9HbQZJ8DefvfLVn2wxv9d01JwzNLlJ9NNOgJOx0PNThm4fVJUJ/1JsEU1BwKSYT
dPPUAUpvA78avZl9h713NlAuo+3aj1hfomu5S8ZoprRs1aaxteCHXlCeDb03T0C7mU7vOiVyOLeB
QxX//g0V9mkcfQTzFEgU1iG0Xn3Wyv2UwWroBX1po22ihBDDG4d+S7ylHPPnpbFMEpTDMo5IgIjZ
KLlX01qIV9K839qX5LQkUirH3dYx4M1Qe5Gd0d9s9vS9XvaK9Aumlsy8Ow0rsKgxV9S+ZhJxwenc
kDIb3+Q+0nUDRzCMCvsI5tUmhY/VY3LJpZ1RTsifOPUsK0lbPY72W73YGP2yl1sMwW7zwZ/t8YJz
nVrc7EMtwT0ukvYct+oK9WJ3lIffJwOd4e35PIViVk72JsdqAxx97Ow9e6XrETKhh+mYNB2u5sKV
Bgfj4Ojr0r8z4xVyOLLMi2TV+3CC5cHQmlQfleCEXMHSMiPap4/IS0Z0MWKa0K6lvyJbE2DzS2Mm
YM1u8xRx8yFSuNms79CARqyqFGw7dXAQiRASy57OlsTAKyv2PsJrH2CsqpSgl8PSIzCgK/wqRcL3
CZUfN4Z1CO8ZKmjCegWhG192+dtelZbMzP+MwehOwhmkQviltbm2jMaInI1u9zcHgDtENS1r9K/5
xR0itt5YjMRFrKib1PYxUajO4CSoTzBBZQ8CjuNKmmeiyBlROkreP4RBmhFim7qI/k9NMfBiS+4m
WPD0tBjpzFs38FXHy4ibvYgBwTIvOs9Xe10MxWNYmTJJwAg+dhD7ZI0G3pjYMWPy7U4JVXlR7xHk
cGMlHkMive5hxGDKblsAm7+I+wdtllHx/LEALc+gnLyfhvK0yQlQyN2Ut2JWNYOWmI7bnboMp+dS
0EngbSi8yfiVVSzt7krCTyL6VInJcCV2RS2T5dI4BgiHLAlxvPEvX5oPmWE72QrzgVTUfmiiyrFr
1DgvhMMSzC+R7J6LRj/G2qVWieCvqxB+6S4MNloCtIMN5OCUy743U0LT/0YB4Zjqsi2lpvgeIolg
zroDLqP1U3gtiA8GRB4eZNk12cFOLW9CT4be19GbTFjKrpMw5qb0DYbGl/0y1g9dbonAfDg8bbz2
bEqz8sBbKdKuVJ8XJZpFJ/Ix29UIJh8QhBWi0EQJVWJRYXj6v1Z6UWUafYlL9C/e6Ox3zWxzSNVy
V/4SWBHg+KE51EcJ1rmXWlJslGIu8UetN5+6E+uoqVfi74m8hQU9RX4Dw2vHYIr9hu0aJ1I6x/5C
nlfLiJ5mcsiHMOiVn2SPSVe3XWwgk8VCGuzBiSHl0Cku6+PcrEluBlT7aunjC/M7VjMmZlhVH/NC
Ios5Pfc3N274f5nRhjJHJYAuzAPn/1SEzl0a4n8dmSJrRYJZaGeGxX/lfQHUgTxfbJINfHWbpWRT
jO4IpP1rsqKXN7s/Z2IfL+zbCZlN2Pc4B4k6eRfOdqNmAJJF4UXMIaazRHz6XxATQaDMwAxtvlvP
Mj6tot5O0FNnQ5GDtYANqGIVUq+cY9iB4TIYl6hTWiJZ3iy47Oa4HqnZY+5zVeOoJwTZJe+/ZYzI
Wr4n/5ZoE3KyI9tR9tGmZi9ujeaMTv8++h3JhfBLgeFJqP6UkPdPLUnKw+R3gTxMoaXB43EMJIVN
XvL5bzbS+k5x/JqgoViBtZEBbuJ/sI5/wJeRj6k2D+IFjhx9e1MXG8MZYw7NVljXfSL/AxJz3hlU
hW8N33hmlnQsSr5uDTuqgZCvn2vJ4K8MPj0CJn3yBi0/mypZVxImjaD+NrS83LMsZEonxaAZk2ep
EQkTEGLMlGv5KBoIkm9Ko3rNDF3SAdLgpgjJmMU/55IRVg4kMAk2AB66h15c12v57Ztq91E9GEBO
8/enqrxPKoRSS8RKLWnutiUazzDqA8t2BGOnUyNMhIR9SSOb4+vySQCFsiO3y5iTSb6cjysSqx6X
7Ig0U9CdLyphRsl6/2xNziHp7On61QCTSPv3/cK/+3X2XR4QEkEp9WyQXzoJj8Sxs+vm+JIbdwZ/
6jfUqmou2pul2h1MDxdGgwmRuZsfv4yioDCWNgwMFlwO88bVJIuM83o1/5StCkBin/u0xlRV3vBA
rlS2eog4fWQHr3YbTiZ6RYjHaoFtjL2JEMcbk/0VGv4yTAlwhwPUCc96jMJz/l9yroCjnWfmfscn
zVj9chT9zeeglClnB3SL3RdhxEJKj/HFhEnizuRwV11XlGX6XGXH6ejpKw0h/vy3Ni9NJqziRIka
O+u+5FO0iaFRLm/g7yyBJH73LrUUIt/xKkM7v4hPbXCbusPMgmtQo0Vaboe/THXmMeMllTuU6blX
mHQjV8iyiQjZbtHBqQMCakRrBcmSuLBPpepaIrKDtV1WHj/I7WfSxKU4U8EqprkHf5171rSsajj4
ZbsOjUSbekVpCgBrCZoUcT/Xf9DvQcDc4amiVFDedOuUnloORnxIili+nguxC+t9op6iz2tQZO9Y
LrmXUEKvgBoNJP8fcK2+NeHbuQEW8FBUJXZMIJqlFg4vxhiurtlLDhwoagy+G1TAgr5Nm4002n6z
jOvIcL8V1ZGINeDhFtGj+qi8y7L39o6i9kl6A/Qx8AkhU7ftvoXyAxsbs33yV3DsTzEuu24/mpXV
lo50NTXWTLGswflNtZXuXsJMgR/A41r8j6ilCclWLmxTWWeHvqbyGzMd7H1V8XKZxOzMnnI5XKVj
Vfna5FrJsaiEDaqi9Ej9a8nM8LoX20D4vfyLX8A5m/KbRhj9SMXnPoE1+VzGihSK2E4YLrw7Nv36
ox5DZ/kgFdJ7jgFuAcXHxYT21NW3BVTlJkLw8ONLb1MM6hYCO2Lz/mjHecnlcZPe6cSG+nFyu7kh
Emecn4TjGuGONL+HumXAQREoiCXn7k1N4j918y14ZrQiM7XIgrHCwPvZJLUknbB19CfatKzd/Km4
JQ5GpyGnFbfNSR155lg/rRFA1JMF/J1hZZkORSfhnCPwCGzYP7iYRWv+j4TYsyofa1Jl5lfQEeWn
wnqjmhNC7ZLdCcuaaHeEBu3BSkzkuxEoDG6amJOdkjlyU0IQ/NI6az2xqBVEp9jRz3bksvu4EtW1
VLyZsd6MBAUj46VayyBa3MpoeTwYXuP78X4Z+/2bw1kUmKWn9bXKz0IhcFG3wg6ndeX676A/5UkZ
G6rs8tZOdiGjzyE2bJTEnlykpUUvP+HUz6x+Jcom5P4o0gtjjKaWoBWjAqx2jO3HhrptFDt4GXcZ
cIw8e0uH1LswQaAfFgRRYtEn50gJANF1MvGTZolDEQqNFYjmKVw9YfcgsMzqMCCtCsIywHK4Hfh0
XhMMVlTEg+eKz6yw0Ce5NDRYhjEAjazj4F48TIc+gOX7RhXySDSPpe+1K+dWU5C7HFSHvhcBoOMw
Z9bM+QrX9z6YVtH3MIqGA3AVVfXftXaKojBr1oInV1wTWHL+Xz8xXokGNc7MtcBFrUi6vcmDtPM0
8Q6FL28lr0XWud5hIPYHBFTBNCA9zbLqDjXjRAKLk2nQrV6IAxcF4CC7Xj7NbwXuRqHMNUGcl3+V
08reDcRgHAFKuv+OdIoesbhmLaHefgsTy1fjRFXXCTCy6uh7+EW3Ypf1JxOPZ37NJR4veRxqLEg9
YnpEdWhhuADB79O/5HxSp9fRF0X7SsvfYv/jDxjQypOaFkr9yibmWrgsaW8nczpYuyYrClQdl+4P
1oDPEyS2N8kcW99YWo/Z34OZrQ/f6PT6M5OrOVmobo8RixgxZ/Ebw3Uo15DPi+dfc+2TcGk6CPgD
iihvYHr4AhDYTtvLE/BDt9EzBATt5nWxXH7ArM4DA3GekDHIN4zFItAk6dI7x/mKq0xZvYjwO4fV
H3yzcu7n7GOaI8o2JG709345woOpXqut6VWoETkU+yGvZlLaM/JyBiy+dykOyLHw1fRq+WFDt3Rs
BclVcZA051/wUXx7JpMbrovDQiT79ZSsRiNk86UBuuwo9iRUrP4xq60X6fMPAsqTv1Qeq/l84XRH
SnE5dk7PqfAB/icVIMwSnqpWkpGy4bOcPVjo8J0txu3XsfcXwDu9U4XKxmn70NyQftw1Jd1CZeQV
ISV/vqYMlqKCL7jnIXfJD6ezEW6MNN4zZTMRkb0BoAmOqvL1uQupsb3s+hlhrLQQodRctuTkWRiW
RkY4fE+qCKH1ZOuJCObpXZdjL6pHMLmajSzcxTK/Pz0TEn9qlJLOPqYgTb8j3xmjLknW9C0TpGyj
8QiszMpWit2grKlKAPOEbjaGQOsn/A7YovhchxQxJhe1+maeI9M8ZnP8k026D5tw5j3gy5AT41aC
XLgIiPjBzKERihlGwqUW0OXcmaxIVXL9Bha/0PBEZHoewQcSIiCquepM/lwb0avy5cboyiv14pT5
xsQ96m+o7/G2ib5U1r2OZFd4+c/8IFJmyknJi7HHtGyRniwVtaREse84LNLqjVpIFaMv+pbcDGLy
X+3wwDaA4ViCkuMOTyNqjKzfdsEtjdHiaKYEfRKFbs8oMVkWp2dBB9DV+KQLhLse2pwf9xMWQAom
LYTqkrAgHp/EcszDjyI166srp9TXY7gKOe6YJI62kdD70Rn2ZOFu8w/n+D6VbeiGqoVUDzQB4xgM
Iyrnzx3FOJsAyPoZPDkc+siAOhrZIPEfNnhVWNTYWpVFgMaLU42YCzmEhJJKERJnGh2LB0OQcFaM
T5Kjvl+EfjmZ2aj2PzlycKcJCg81Ib+ax3ugE+TyY7qUh+dH2sOi3J2kRg100PtU+YmaQvkz3VcT
1aytk4ibL1k15DUomh8rSHw5IxH35W1MExHrfkzP1FkNgMpe1X/OTs4BQM76okb2Z3Ul2KKN+DFv
InVopRP5+0Iqc+kZ0Bp9Jk+XwyOyuEpw1vGwcK4uawdL5eLd+dQszmfJBsypAz32XZxaAZxqlnID
sHWkclEwx6IbOruJt90BwLaI7sfClWwQnKbOCjSh0Wde6nCmRFY7COuCYpKhAm3UCdJ6UdhAnyxS
PYmfl+4b95WxxJpFa9N53IX+WBUXCkqGyW/NmoGK/mymiAEVawzRp7URD7ozeCem59/nFtTlifrD
PoHjJFy9fdABsdf/IkReJvjTIH6tcSRE7PXZ6qayEq4iJjQGzAl0/UEBmOlxv1QGjhJWekHI1+VV
9tPryz+A1+2NRjWVkaI6kbajbkUtPzU32fGl9FRiSHlfuDIdlKJ6srxqAgOLXc508ZAT2pdMn34D
DVfyRsPTg+RXXxe1uuCB7q9V3wdMbLKmaKvq77kjyLpw1uSkSrAjLEcVDFsOyw/LtsUTCHp6zhQD
vBz3+X7xEBetp834/JUKo9pWfdExjp+kCSMw2sztyVV8duh5MQqDPE0iurcw9pWPrFJV/l8KyEgS
OUBXv8HhquAmvWZ/jd3Q8/moH4S6XSbDKAiyXKNsFPLq8EeM7zD8n3hWUJnL6kTtc03Vug7UF0hr
8grMDrTgBCH8jX/F3ihpWIObNm9DTPjIqIV0YrUvWQH7yV4r01hjBMpR+EiqS5MN67TyKJB42JV+
54F6FbIwCFsz4792BDV1Tz5WFMMuSIvV5Z66ZICyyqRYKJ+6dL18ussagH3NxfGJBhQhq2p2ZK75
pbzgwJQK0itaZRw6XvlZNPH4psII1LMLXtMDXQWpJIavJgW/6vzVGUrtNJIefysm4UK5p6THFhH8
c+rkPHueMv+68gs/l9vy+4Hn4n5LHPehVcQsbvgSK0yYaCwHaZY0mmwXr2LdelrTfBtZXiIFZGUM
vGygPkp0uPFjwM/iHSUymONtuHqRLaNMJ+8rGDYTfaL5pBqnONDBvNqxayeXRbIAyBkHyIuxaW2X
o1JzVh/SFBBwFdPnE3vOO1bpFsmGDpM6OspcvXoXjTizmGVrSOYOE7z/70JK9uteX7vc30UMRIzd
uVwGi5KoaV+k2Hn1U8N71TT3O++Sxjm7EriQr9NyKfPa1e/y1Nv7bwy5LWQtD9wZ5ZqQuTVPWGx5
d7alpmQLulKECVe7yjB7LkypCCEu8n5UisX1sgigk4z+Dl9mAH2TpY4SFhDdrLLaT1Cvbnh5ljCM
PQDgmBnk/j7HuvP4U9UVnDhUwAr/hpfzAGGcmsPAhgnC9UAuN8An0gmzoj3VoSpPwc4gzjARhdPc
WZBuyMOnxw7VX9GQu293hGbt8TCJaPTOKVpEBz+06Wy/UfCDrpOZgrvcddzinQTtQgl5yMJRPtvF
VfqECqtsEcDUIOFDroOD28csqcjPf236M178doyBeVJ2Y/ccRYvcZhGT1nTrtEyjP1chKhD4c4gp
zHD59N7LbVy8sPqfUzd4C1WSL44Uhm4deEUe6BYESlS6iOyiS3eDFLn+azOiL/x3GeIJWgiwT3fF
ylKQmusoI2wSysIlQoutmU8jLgWbvkFAvSlBc8ef/7R2J20ED8y1kmwRKDyufwchLYZZH4yexHpp
He3/G8JuQx4atz1dPab9tuh/cQVHHwrr6kxHnLNgVZPPrcUOnm0klH3TLUgi0FTEF+g5XbA9jH2K
zZlfDvUUhE9Tvrm5zNs5kIIfrqBpRURmmrGx2jUwTQlccEWloDIJwH7bnVVS6pBz4lhWuY79sXKx
V4T8zjUBmv53T5DNkLJqSMFxRROV4dY9xxMc29xgydnym9yQOJyt64xS/o4xTMOid50YRyTLG0hI
QwY6kITat4soN/VEekhcqzXFBy8ELnaMbO0JONl3BEiLaeGx1mXx0WEGdKMcUcIz3w1Eff6hFTde
fcgol9gEb/dvtd9HpaC1H+rneP/+CFCPwXeLxvb14x5uRzLQwMee9oF/vnroUVl8dtRHw2QwQeWU
Bp/wydAUy6B+qGT8oVO1c5M7w2yuYP54izsUE6RrDL4jAjHWyon/YSNHoaTEZf7RLYHvCI8E1SNX
yZF7noL+8RZMjceLOk+Gh06fHXlN9ztDhavUyy03lLQkJK7QXKfeKBYBu0Nv6gbjg6MiQtjOeinN
GCVpWSyR68DRuGzfwQeXZeg8p30f3yOYoRcV4ol+N90WCmXWRuchtaWhwbB5gEyT4yPRIJGwivM8
dQ/UiOrwuwca0wsuWtvkrWulEQeAMwdr9L/N66OIoeoQ6k6TYgDsbJsa2BKL5ikc+JUdmFuGk0Sj
zOFS0V7gdsdD1JFQJqT+gkdEDz1b7l1OUu0u6ppQWIYbS+PrWRW0JRxj9qRbB4SMp76oU357GRSB
if2moOGuXan9Ij9H9NGjrrCr3ul+jAdnMPQ+N59GZ2VQbH9+MFxZZCuq/BcLqvlzmQT8FexO64YL
EimlOqy57G5lYoKtZnAfN5t2uiMuiIfD3wBQ3FMMwZDrBrLITuaty6GVeGvY4JigUtZ9um3fdOWQ
k0xMhlGGzCbjRfzXsQyux2au4tGesqnT77mqBcJAA4aTjGR7maNmlmYeGQOCsoipF2QI/vmIEjQZ
KLn3uGiedj7mVTRADY1zFQ5ruipyu+4LH0be1SO4593vI68oCFLnKKWQ9+JHPowuzGoHJUjGI6dY
JhOxpiUrHQBIeYhzsakMq+pSYZ/AgfUW7EYTWBBZbeo9eE3W7vik0lHDhJ8Oo/ZbcQmWJ248V7oz
ROfnvFa9OPOVd0YC+w8Dxt3YFgzxXaIrZGXk7W8CbGRaFWNYgFwR2ylOjMDmGdjbamnso0Vb8h+U
8UbSCqovZ+yFXKJQMnf/6Cm/v/Cwv4bW7DiUfE50prBtdmIIzf/vSev/6cW7qSAuIm2gl+zcjCn/
oUFWIyqjWyKUfOrB1lOYVn1zYVCzDknXU5S1CKXpcMhjPorKfsX/e+cYDlhVN65/wx6peYN4/HYJ
kbEbLmY8PaOYQr67sPqWB53hWokQ8kKqB3N7Qr/B7Zd592ZvIh62Bc78un8jL+EbPfp/+7t7MzCl
tgNIDQFMc57dfFsSlt9cpZYoeoeGJ7iprEYwCfeaQ64JMATR1YZPg7cGTp6sIGVypSgKV0NZTjrM
r3/cyBfoXt9mpNuziTH5U/Dx5o6Ay/0Otc50Kc5TR4BEN7vhPYTaG8hmAllHzvnOsRPnz9h+TDNz
hlhmvhKtkNk3cgvJc0dQ8KGJe9qlatkQQaWyNLdbVjV3O7+jFIiJOLDjkEgK7eGnHYEhSuGxrRfC
yw5zEVhPfq4tFGBqa1ZYTxM0cUoFsxA1Ck/+duDKLD4HAcYJZ0vD3wIQsSRk+I7LvPDJ5Rr4pKnK
Ud0zHk+Vkv/5XYPwrKes63C4OIyRPPqc/GyoomFxtk2d6X1SJ6rlGWWqVlqHaY89WsQzR1HfFqev
VMDKRYBSrnM0nWywt05goVjK0OTflSnxzmWkLhj9wQCQVNyTBL0AMHXd+yQBWl+dhGYT2JEcGnxY
LeB/rpuGK4LEjhy4aPvzWPx0Mj0RNJ33CPV+YgALiQcT87Qgspl2s0GFWB+F6OwErGu3SAbv94s1
nOgWAgxwizKBQhL2gynuxTaRA1CwTYZBvejWSymxkO95Rhco/Afm9RPF7FEMNJ83LdiKC6eOwcdD
n5Q+TIjYPCBkYw0EenWjQbhlXfwybZo/GHfjTgyqd6wRlGRv0iDtffqTQyJZYDy6YrFjOrI8jwzT
N2QNQxNn17uIKNlmEboYQkWu6xQulp0d9SydE1nTW8dkBFM4zZZNHPaKaV04OHHhdV8lN32idKoD
M/VzE84c5PZsrUrD31F72Mn9lJ3Uq4OCC33e61b0IITLcVzJZ/onc//nggyxpbYxfmax50rsNCj7
4vKhh2BgfAJ5yW9dpOl8WbogLlDeT2Rwq4xMtR8bI9gyUt74nnrXuO9nISI0lgKbM9H/0z5D+Y4M
WoeQGvTnCL8mCj5OYYVoIHQtzNA5C+a6Q7x9RrLIFtLcjW+gh3jtY1yVZ1SzZhlbEjhAzGg3A5IS
3JetrCjPoSFTR4apKMqh79dsaB1ME2POt7FQeX1Wun00gHN9yh25WwGGaYRYVnJDxqly62TLEnod
x+hkkI1V1utYDOlOBM/gbLjzCF411RIGwZJPApxTKheSnl3SApuzWgAytpSE2Lrm3F6u7ZgPvX4R
T7U+riabgbv64FxDBzHVNybKvV+B5+WA46ZGxM8xk1mvYRYaGMHIEjTN2hYC8YdMwZkPlQRJtvBj
vIiynm6LEFbE7GKN3cH1m2S9q1u0zNWDIKIal+NupG3bdvVjUsPEZPTrN1/jX90NGTdrvfIOm7BG
MG1uh46YPr+VFCc4WOW7AR6Wi7bL4vTeNnLr+Vt4n1wEiMk1d58Tg0KvUt0xNEIWFM3C7Ldh5wfK
YUGlefk0rVcJClcbBw79qrlI4GkASd/OpKj5xp3x/fNlbBDFO5VzUVlGQuuHTT/IM3bT1H4cdbjP
REljmEnludPGJIm8ThzS9OpknWJOy8Ul5SELhieCkRh8rNkPb8NSYwR1FaPSQ2rjclRw6MvG/Nao
E319tFsckPiytKTg/bqTGLWNF9ahOv/dZ30i1OlqDljLwYT64PazKFpjrf4KFswRuofeeENEaQpZ
EUMEnZyMjSmTmmmchAZify5CymE4pg/5on8jvFcjqOjgB2EmT5jenaO6ueXIX/LiJgLB41cPbLFf
c/sD0muH1t7+IsT36jdQkwLGqtudGB8pvnYKo96hgr4HYZYUfYOAxSVD6gSBYOwrfoQKKw9vdahn
raC8pc2MMyAVDwy/T26C/H3hOXw5GCcgszTz7L1JnWhdp773S6aKBe3tVqF2Aw+RCVXILW5Fil83
YwbDpXCOJ2x18kfyQHJqYTZLw6ECYzTA6haqIh6GHLLScEXP/AqU5Tbg80GlpGGwkU+937sSqs48
kuJw7/iyFkIiT8jXbgqyVV/E7qDGg71+GwiA20TFZ1fdk1jmyd0g/9Pk/WuZpIUG3gK7c91yIfWQ
MP/6iCo9JYVHfY0GnDj+ArzGARvvttYVpJ4rDZPthjB1R+wuIly+Dhd3RGZMzbhnsqFu62IoG3gj
/l9aC433p3mdT65SESj4PnbnFKnKMR1PVgoQyI+YoUxvR6XAY6XiWeJ4X3rS+A06JGPkSIGnviNX
+rkac4iP7LDJWBBEwSEqsc2zoiHJjwp2h4B25mDD2geawhAWwLqVZztKYnD1sVTFMfgNBkN9UoqL
NtXw4hdHB5mxrkBSQp43gxYiZqWTHH9Rbyd0OAVygunjwbt+wOKLAI7ydR3HSujiisiYBfgiwgGW
pb16GxJtf+4syb6A8HRT6M3W1re4mvuKVmiFFcpX6KAzZcAnK1bHN6vrc/uqmhMPASRYd70S4OqX
SmbqB3BwRQCd8rPhIkcc3bDpCSjWq0BYqIp3fwmDZVqPCoDr0m/Zxox6LIaqF1Y6NPc9CpzX+9Ya
7GgNrria7IkqTJy0xrRHjIx+GgZ3w5Bu07Yiuowm0dC1B5BdhsoSVxtFhfN/DQeCaqAcpw+JE/GA
yUwhAxplXgboz9+ksUntdnUW1bUT45Aiwn5n5GwxlLMPxg9t06/75l84Fhb2Iv0JVyPTyGHCok+b
wUek0kckHKZ8IyMckY8zxfXPOnQZMOCmT6X6BKEaOBcUEscqmKOIFSglkG1sDi3XwwP7rES1sCkr
lkL5AcvP19M2RbW2Axk5tTObE2cIvz+rdN6YDiKBZc29FTm+PpOHBRW5fVQRI/Ydko4LUCqki4x8
iVFFxCtnRaJyokrOEABe8Av2LyduWQFU3fIPCww6nR5TTL1iWh3Byp/CKXDGcX7RUPecmo7u0x4G
JwVdKG6dIYZyOuNwVlB/8FI9uj40J/MWO1GVTQUUGZlFQABFhOxOdOmuKSP5uMoDKTLZfQGNDkyG
kcUyKGd+B4ujLHJWEBfoHg6P2Rx6jKVq4yCHd3mku+P1fVGdzXV2t2Gpp7+BESVuScHLqFSaBygD
SvRIo/wIBVYLYn8RidZMDvKmfzMAe95m82HlUMzBJKR+/fEzyg9Tws+nyy4do0cv4RZyUUxjuofZ
kBn8+axZ2bH+kBVILpnpZu1Ix1imYnmObvROgAVb3dNRIQqsjvFGsdGMaHFW8oJIeGeng+iKLhL2
eM1XYXE/vVYRWokWx5OOh5snF3qpgXUXNfy3k5feXDOnHBt7hxqN0spJRPew+Cc43ThGuSmq6BAR
FRtNIEkFejPW8T6+GIEsttWjrzrAPkze67Oa2Sra/h+ltOBUGjjv527Bd2pWZIPbhnysEs0DqKfd
ybI0yvrSopgH5fMnXDfrqOVrk6lr9gJKMLFdZC7MeM2V7AGCzd/U6nXDWX+I0i1xag4+hSnw+0Og
LAswPBzpGQdFn0bLsCucxvF10EvwqoeVLBn1q4w1KcQwIC7WArkmGYBpwS8ONF0ieGyjzc+CMrUX
LU/NCqjNFkSvjnOt2pSGnRJg0Q7x6ItUn5RwanDUa9Wrbnyn74U1Q3pZ/2sxQe/VrCkgxcbDv5Hl
8M0j8QtteAUnn2uJyRv49kfkS/jEoUB1AoA/yzELXaV3Ewp698wkGM0cIWll2ihRkmY674UMqBn2
xC/L0WpdS2bw221z+P056WZfSnVV/6b6tTXViRErxHN+RGFNG5RxChVL1MP5NtfMiRtbCWHp6B7n
ueP7G32gUaP1WtqoGAwr7F/zZl1x/DH4SeeItYfYH2Pu5kFsYJ/FfOFvWAqxT0iqp4cTnYe69+AF
JUs7VdBTAZiEKvgWqDPj2W5Vy7fayHA9plNja3rg5XlZn59O8+ymQYnPzszIlz8zPx2tpnEZSOyy
hEhSc2RANTwc+r5d2r9LCSaHEJ1HSe6V2jpH3qIF/BhvPceZd2mgpOlmT4vh1AIxnMtzKOVFhjyJ
0L1wFsK/Vya5DUH1UPyKjNvi2QZ7VZea8EssZvZ8Fy9kl5FMjCCTvR9jfcMQdrDww/sNmvUnbErK
CfmpeuXCGDtdU/wSrvUkYGY8XcesqNgdnhOCQjLTDguQ/wC+V4uNpNd6bYoItL4+u4wzU8gdoIyF
x6v476UMqHeAogKLoTimcnVxMlb/dUSZxWP4gJIweL/sEBfLOb/+EwwxYMvXpDV1tbf/SeFLX2T8
jiEHTvJ+NMG7e7ScdYBHhQHz9bRrEMunttzofewe1idpNOVj7GbYsj06aZGiCQQtB6bDH4Eh7rC6
4n6dGuuNSqnPlJV+lqtzL5kibbpgiRsEhKiLThRNMbFWm3IBM4XNv0+tqLvUohMxDzwEMsEJBRBz
hGZazvB4dOpEXlfF3vaURutLatdY+A5nIa0ud7gG/l5Ohn/tz5oCmCMC7u+P+0wHBbS5j6RiaIcs
hvnD7iTJ+hPHLUR+isZJV8iplI6H838reKLS64fm3me7sIH8/GFLpy1HbaIyGtdGEG5uNTZcni3/
ae3iC5rv/X3J4qtlYMe3wPUb1Ruzqp4saoif8GPy+/v4/NPKUbleHfZdoO0omFBZJPjJglutjcUN
dbnoqgY3sjS/K79OMzFQQ3mV61fxe7nDKsmjx4SS2zEsd7jfEIFV7zGsd3dPOGYX+TpmkP0G+N87
XKwhJfDCTbXg9Oz+doxAV+24uh0N/EQX59e5vsExY3Vv7jVtDaZi31vHj08oVq5Ja2MQ0LvlipIp
OwmeT6vJAP6aV+mas3V7GRnmKpTKS9wjrfGTmQuU8Onle2a4f2y8WCtEVlAHKCo9EQt0yF5UaVFQ
rVpB039X6cVN8c/crmAEs5R1ERjIpLVk3AuQNKHZdZ4/vwdxU3onub4E87R/ZC+aWjtq8U8wSp5X
CJBWX2u85ZurAVd04K58YT8V8iCyLhKs8FaeR1JeFp8ZTqzoQUSwvJvrsWqxkNbNv98TZGjy7B8T
HDshJh2NsTyzRwYcxq4tv7Oysll4ciE0CEYCvOC2TIHzobQsGhd5I8WL9z+HxQb8KscfqkTvnf+g
7AA1KnG3FTqiOXtgJSjFTqvrfuKmimhDCR56sbgqTgi6XGE8r3xdMapAC3aOAxHrR4dkcMFNFy5p
EvibjjgdEf+SyT9zCxBhzUsYsiIIOqmNIVmIONGgElWbB4a60D1HkAYXHZtIYNDa0Pnp4NQbQoHk
KM6+Way5JSAXucCNZBzc+UJqzlyiiC6W3uexGyh0C5+UGFfRGXbaBa5vZwBMOsEg6pjosiKgeBMw
FMHcPIBjnf3x5IcN+ZYqICFM1PveElq09Vr+Q45WK7IvHfnqdvXA6dt6cl33p2Hx4z4na6YKdZs4
JzqKZFmp6eZgAobW4MlRNlIPNwk0WsmGExJRqqE6BlUVT/qTDoDm1mWQj82fSHI+r1ugaHUSuo95
f2kybtsRYL4ZaqMdHAwndblIEhAX7qXxmKDFcW7/lz5HuIKC5p56luCQwPfsbWX6XqbpM9RktZ4+
hzVt7/iyJC8Nn1Q7fQiII9AyAy0nOBWsVgL6MdrIkLXnonoqTh14NmShfxiQ+mSPOPu2bx18kGov
V9kH9IIb+yyESFP3ODloHlJTto/YM9RCOdlRM3y9gxMom6vM+Rnt/SvvaKG6IijZ/1kmd1it5eQZ
pzObwV1u9WhgEZEjlYDO/no6o1fN0Ot9v9QjOrSaqY9uxtEgYDqgApFLOR42VWjSVDQ/ulH2p5Ze
WCuZlmDMmiZmk/tCirrIzm1IxuQ2/oV+KlVIva2JkWfFywIHYHd1FiVcZQbhl27s8LytQrgWKiHS
CxoIcfaeOvq+++GGgqHA3t99g/EHsLRcMRZ64VD9rxa5ARQG7Gx/6aqj4grGZU6Yxszht0aNVXfB
5ul8Pkr0GRaXyC4GhTnvxwibhtISboLVky01wiMZAN7UYqbirpXlDMiM83QZWI9HeFMUV9DnaJ/S
7y5m4h05Y4/yTefGWw62aF5dWEwd+WUr2HfT/g0aurj8RRM53qG5il2uKUmtDx9L9utULsTkucKU
cuFqpb04fB1UKcX0CBZFdaYA46qRczb6Jh4Lsl5qlcipNpgIdflE2HvI7Wmmj88UvamENWs89Jga
LOUsbXpuUyurbDHuz9qmhXraUl6OZOWUTBL8D2909640po7AAIxLWI00F7P/0rbmfipl0phwrE0o
o9x60qqj1oAakI0jDlPSPPkPMMpqVYjoohvQli9Fl6+5Vc8GB/940IKU5Gs8NzLcaiTo6CZ5/d3e
r1S4k5KQriAJWGKsAcGGIntHpC56RwnsmjIKgs1YNImqOZyYFkDvRhw1mpxjLlKIk6O/3syI2cOX
M6nNJod3IxslZ55oPybLnR6tgIDlWHF0diLJWA7H6XU5qpT4nTWrghiXCKXjwvc+Mljadq6DYIl7
FLzffBDeKnc/ZjWdEcSX18lbbL1ii2R6r5wCFL43ZaBheCx4QBgLzwqYfj+vdsUGoeFnv3OHUJne
UioM3e9zNbp/A7oEdpq38ZdCuaWEyb4SZrTbBX/vn14MnW8ln43Mn9+AF8b+o0/3Yuon1xpkNA4N
8m/c5Y6bzRIxM0xUVhET6A0Y18OxhZblCxPXHqoGp2bjCOOgaYOYbGF/mBmCDmxNKy1KTauCF++0
uxs3zNBHqHxXk+Von8zK1cNQDBny1WD9Sb0A0rA1quoVQAo06GXOmseqUQE51oJ6XI/QjgN7fY0h
wkObfmREmiWr3LIlP+5x5YHojyZcGruhzCZPcuMhsr4RETjUcxPBPbbQEiyQYZfKc64GXQJg3hXc
+xFj7u/JOb/ReQZZMzO1HvS+sERkCPKUQ3bR0J/0pi9jC0UPexbXjLaPvrmbf0T37k0lFPqnTnC/
PMVAGiYwXTiU4A5a2z/2QUXOt+JulfR0OFCmVkU1oQIaKr7mvp3Nz1ivk0YLzw9iKp1qNRYHrypg
nh8eXXqoBTFdqtfspX2244Gtyl/2RG8vdhlRM+foUtMl/clo0S9swA5Ou4jR5xeInUldW9stsSpJ
kc+6IvMQ/ggk4vibTmx2TjeYaW/mNJS03pHqxA8lE5owPLuhBD/uIE+O24RBfi4QFWMKzymoeg8U
seCJRiqbkJ/wVxOEUguJOCIYII56GabX4tARv0FOef90Y1rJTW7l1O8lzVT8woEvApg94W0eHRsS
atdtheFwt+m7Bqqia5cr4A3Zy3JOJwyRzx/Tjd2pWe1pDiblZstu6QajlK7z+p9m5oBz2EXHtCJk
sTZTKNuzv8Dz8i3uEBeDd0EaXOb4tdqt5DMumB9sFXRiXbImq78NkfSb4fRzfNDg9m0+KOm8slI1
AGPJrQ7TETesxk5yR/w04CHGf6PIe9a1P8C6umIK0ZgNrmpE8Q1apxLrQBzP2K4xfkFwKxw/HLQQ
Xe84LduoD3efCRRrhJdTb2uQDg3S+HbdxINeKNHmAp80m7rQ87feqhzDRj5xYGfpuvDwMYiR6acS
QGCxbWDX6Rem/RafSSE5jFjrK1DBOzD1EtEGov9cNUFl3qyOuUnJ7QC0HOzBzj5ugNrmWePsQgxs
Whf13gUotkrlYXTZx3oUdP1ACa0odnQW8Ta3Oh2RmArGand9EiR03dgW4B7Eg0IWq+MNMYcBMRfS
/i/rYOZUoyMg6pP/pZG95NTbMwS3HGf6J+7sun8BKhSiLpoCK0E5Q8H7XAG7FMOKQwZsmEhv6ORs
8M1wlCHDWdFLDb1BeLhHXEukYJJPofzaY2Cpy7q+sim5s+Xs1OC1AHk42aU1IURh7YLUdjtzY8el
qq6Nyuv3BD1UfAuo7sGMmT/YggyZXFhRNXD3L/A4ysSRjIzBt6kd0NZugTwv8OX2DiuKaixWCoiQ
1EfcEG8FC1YIx8P1tBBGx8ld3LW7ad14w46N4bhXV8f04lbSLJ3OGZp47KhweXg81oyFIzL72GlX
jCPlG1HYdlN4AchEBg8JKNjcw31w3xRHAklrewsvKrBXvjov5SAFxcaR1KvAxAVLNm9/7HOoroff
HzvwqdDCz1Flg+PSWX4u8Y8g6l0XCP+Ph5zseNZuEyKQ2mK3UM6AHEHdpo4jy7pPxN+js5+0oh2b
1xPzh+V/DHg9AjfFV0Ig0Ew2/6aYREHJpqaG1+QxWM4z+1sS+kSdXVzxdDzSWp91+QuY1O5eoEl9
vrL5a+7NvUox/kNl1X1PJZz78/SUuKhZiSigui+HULpJMJ2FQ6+HHv7J4LXmjUd0HxnSC7hu+Kmh
oIfVW/Ill01T0Lol54lFSzlZ0Kulpywpg7CbHv60dXst7XD1CYrtoTMc9Ho9G1ABAMcV3zzbMvkG
/uXXZcTGfGeiS5+yVLbwJr/yFdM2wfQVaFNuZZHv1vTeFIDTe0CaqC01QjKrhKBE6Kg135d8tPsA
TZq13brrGZlS1Cx75xW5hzCfKJojz0q7Lv6ExEDEKMc6lfUWbJ6goNK9hJRR3G0kVY6l5p5e6Utj
oXctAHmcPabHNTBkwwLOjol0lmx9kpr6IgiejYh3DQZqrvy8v3hu7H5I4yO/xxQGQWuM6DZODNGO
6u+qBoVeVpjLL7lS7bjf83pKD8djGFjEXeD1L6+6LpRWESvtZmGdpJKTFweLvKfMp6Z2vNNpGh7g
6Lv1SQLzPYwE4fa7sMPMymZQXKlvQGlL6YVcnX80S+BuGiIq4E75qkW0w0PTqgCRv3BSceBOSowJ
OmJ6/DZBrcmhwil2thIV+Ep9RcC0ilWYr5p1E64DRZcPsbtZIxSWz0fJHdmcp6p338MdJlGtwI9/
ZTYdfzfmivWsQ/rbPegzM92kBk8PeYTWKMM8fyYONIQYLTVeAwt7lPFX5CgzfR9luiQYAYFw0MNo
zbXqnsS5Syb9xhv1RxE1X8F/3gsmBZPaKTzn1P7jTJgfe5OCj3KAFwAuCc14iS/tBbZJTI45ls4v
cVEnmCdM+gXodGgIqb3lwiT5T5YAbDd+pgOXHl4trTZvkJbPdmMW7/MZPJ4jEQ4ARdBpA+mEQnTg
RzbQW1PN1IgBYFqKS+G78fbd8KUNJpv+uuchrguCnHLUFQ2MGDfGUe4yJzXFwFabDoHnEKXKrdPd
JfyKcXqN/3QUQa8Ma/Ie4FmUfocQxURN81A+HxAhZ7f1wD+grLPhb+g4Vro/JUOLRwyj+uUFaJDz
xzzZ/oOpSZgppnMA9/cZ0AhYIalE3QaJDwEO7DCKCInrvdKuwmO49CsRgkFkBX8Gwwahm26mxlJK
7CBha91K/WXphxXP6BHLVxSZi6EfjTNRPKpfbvYWiQKYmMOFG2kHihNVsmk6DWJEFHsDw+f5r1aA
XbSvXvBbULFk6pvl1Vm7S5EnV78t+0u08ilCLooOKJ3agKvF+jQmkMIODQG2DRrD0u3fXD7LNeOm
DbH0qEaQiPgZ/PPVOkXqs/Yq27om32mE7grftC5l2knZJuku0ZF65BCVEZq8geOzpR9CCVdOq3Tr
BxXV3RBmdAqO7c/ifhFrcxs7Gqp+p1Lvj7mhCGLuftyMmEe2wzw36ZEqrUu7CBhE3LAZzuLUF/1+
QS3qlTSFbko4AJOvzwtoi6oB/TrI7xzNsbkMZvMMkxAuBBabbr3ug3lMaxyNuWyi/92/vesoEmZH
bHjCqqysExRpe5NCHWrSMst6VHmh3ZMXm2FsFrx+H3fFJ31rhvKyyeaGSv0HPZmhIMAXL3tTs8o1
fT8zsiQP9iKtIbUSvvosomnOqW+XOzDhThSrU/VN47Ku/0uxenpPFOuPi4yB8jpQ1AdMT30WE4hZ
IoLMtV9IxO9gotjp4MUfLbvuXej7XclW6ys9M7wmI18+ZXo4ofHeebCf4ZgAd6SB7zeKOrD3A9Ty
g+vqN5p3yAJ/HUZEHgippE69stzf5H0QdCNRPl2iyTklrtXVBbIXlQ/PDh+mSq06py5VIRtUeKKG
OgULZOHfO4FFxROng2S1l8vAiqPLUtZtN5dVl/B3iMFbSrbwJ2WJaF/1/58GXJc3j7Uk7a4mZtna
qDXyexASSJce3u7b/v7SaZhJtDsJkQ/C/VdqARNgFX1CC1ov10/vmedfSMTeAOSqD+XOBVlcjwMA
GT5FpHXOzNRZQFbpHCJTCYDRoKGstdriGHqV1QJ9gLA2XkD83lcoaVk6a1hMk1t1Sd6svL6IN/M4
gsdVRUI52+WOk4j+6twTIPgHe75c3jfnT/ZOxr+R9xuRumJgN+/9d5B4dwaCpoCXU8XsR0hJc5Hh
dfR/OpOyR7von2qfqf5bKeQyGcThIOowLtC1lW4mnpQC8XvFrpHHr0sKs2wuX+5Z/XD656gsfofW
GUNJREaJMgdbUZ9RntrinfCWy28DRuesPadQhwXyUxyyPe2gjaODaTufUjATUKcmGRqyhfULP4vp
p8DLABzRcwO6QKI0FgfdqMaeMh2g1VrH9dm/LmlovwVva87kqp85rhRbR23+kgqmYGnjcfXACaRi
0L71E8h7qb/ckUHP0jVomARsl1Py80mQlfleakbqWy7fC1xZasLyGwoStbEAMOs1Y8kcA2AlMLXK
1BckuLVedxiIMnfBWsNu4KlxV5ZCEu2GNhtLXuemv6P/82ks85PKnPOIZPzKKEic++gkPEGhC6lA
W/gFpS4zM1ItKibuWnJCcJ6IXTjJXP0tEtiMEFw1D/2k1AAq47Jr5IgDTBTNUXTnl1N1j7KEy0SO
W5+PXny/RzlFJOzg9nnCF98dX5v+ueuQyT6B6GIOaLljBpxO9+JiLmMO2k2y5bIYU03NBqYNqh5X
gSjPUVOEKVPEvUu/WJVevS8YcjKs/1QdIqkDLthb1ugoUI+fi54fbmmBkCd3vyGitEdn+n5BF1q2
WJ/I/Cbl9id/YlsA/LqmwzVQfWDwRbEPL8kYqdeh6/5Fc0YGfBkCpXIM2w/iIgcj1aljIEn2ni6x
tfJ/fElRXq7+t0Oux4CzwMMn3zbbCQM0VQkGr5HTIxYNFZJhdoF7jiab64UvdXm14Fg4/tLqEcrn
B4OC1vMLfMB72PnB1UmcZ1rGgPiqsmozOUuJYdHLbjPu8Xhk7xxnNi8142cwlRbypeEurYloI/6H
gPMXTTRWRkURkp/8QRzwFHLMBQ8zoJQFVI59s/AEBz1kuvL68XZd9ClUw0NHqlzJp5itf0baxVI/
dBAekyCqpbVeR66iz+DBbLeIKMaJjDcUDxc0mURLtnUgvh/iWSJERp0eaiRETbrzH0gq/SBp7lOJ
3m7b9wJtAI4LcjOdmwponi86J/to95CjftO05bPPeSIYdPjdVy/55FfupjREEsulTsCcWr1b3P5G
ZnkDH1UU9HDWWmClIyNxT7ttD9JYFflfHPPDxpUCzYfnijrskLMeprp847OoBOuz3ugfZxfGYkDG
tF/TZwqKrIvuGT43kdghgK1905W5lJqYVHaBkn/FIvXjTIiuBSQ9n0EH3bKR9kpJT9d90c3hJ+SY
M88yvkFYPUr5BJWDIARZFXp4fVkryVaNMd9t41kEqV1C3cB/od45za/vJllpSMf6ktkKIfUjcl7r
ACLwdSZw1A2tyk7lguLOmvfeglvx6W9PP27skl6iG8vAto2VTF+7A+kjUyPnHvhM2JBp0HhYg3p6
j0QIVofozKs8plAjGyUeRor41UzhO017+iYbN2OdyO2OQ3C6a4L3kIWZ7NR0FwE2fMmreKj9vv/W
Uhs4q9buTNvUzNY+QxMm/zo3f7ksJbN0VckFbsliy1RvSbh3aT2ZAtMDYbXgf4Wpf+FCWXIuRtvX
JlrR9y5HBFA3Uqm/3RuQuMAP7Wzv5lXeXH+XwIkeqbzrtLgWuhrK1IRf+tjEXp/PL6Eu7nw92Uiz
sgZiDNaRVVAc62FdH0HcbRzTgJYZ5uowl1ZvIGprWFPE0VYhSfow/nRWHK51odg2MvS+1yCnousP
Fw5hmimeA+RzDACokQj3aZy1iIajl9RqC/2TvBmKG/G4Bp3n+D0eBhwGfCpx8e6J95ApVm3cz3KX
woomLApgfj6KFvztv1HOoRl2jVuO0x0iGvytdzzMYIpoGvmSMKIf/iwSTdkQ3PmF09krT7CJyL8i
cpn4r7sFCXCKegu7fZ6Z+frY+kGdxTCAQqwydZ/IPOr33+sBC7Ym1c+2pC4rU+U969yoG1yEmHF8
E3qZfhPtkXn4KIw7GfxDJA4uQHcOE5b53ITZUnF1y8QStCRMxNKgztc+E9DVahQFKheY2T8rgzxC
mr/RN8Rv4Csi9VpTcrEyEyTkn49DcW4MlEUc8R0D5nnx30P5s7zgWvck3GFvkbaR8aAbSYDSGU8M
XOli3Ik69dclrcoob6jaMYWy7hZgqhMUkn1pE8xv74FZIF1l07mU8zZbQu9xYRhKiPAmfeAazpyu
41Rk5gQPEpIpZKNNN2FYHbkrTsrTPtIQIqKw70yT4xUDiOX4S8Y503GOfp8DYDxuokww/Q8C3i1o
hLBrlmCkngs+HWebLofkWKZZANDYJBFDiZV/pZnvCW/9o0DBz38WXygtmISw7loeDUSC0TjROnlf
PdqdqYDJj3HCzAGJfUEbJowizl/EwF6eyKJVDrhnii7oG1ohtR4GSlmDPj3/7LPmvbhkWClZRmDc
KuWxyaGskvRL/dXwhXwx2chU1arudTieV2//KIaJtaVHFgj/S/DEn/UCGbr04Vrg2pQ7jrT/x2I2
dmxR8TLPIRcGMXAP3MZJ30RK8PQV5QMrNljuPdqj0ewPj+q+sYQjr3Vzy8fWDtSdbRtjjmse0Ix9
TRafyCbY2sFdnk/NXHEcYxrpIh5ajrt2v1PAr6DUtTHmx+mbVU+vW4uIyO1LH/SQ2OjQBEeWeCi+
zC7LBJ4Dm/oNrek0Cta+r1Xa1uECrIlPa4KlM1x9mqOsJWjU000YT6qf6Q8kJMA+Pb/SYpNQHvJV
VSv7yMhEACH125TQ1sQ1lEOe+lfPDuPMDnnoOOMdAgV36B+lDD+SZmR0n1VqQSj0Z7vYybNvPqYb
Lenm13mX4IOVNgB/P2QzEgHgWSY5kqzHjJy6AGusXo/prvkWg5GNbtj+g4TyJ2V1aYcSBfdB49uZ
nBQLsqxzaIM7fwytN6agp4LbyCOUjI6XJhcXhR7NU1hj2Xed5oTdI2nVu4SD+BgFUZmZ4w8rIsgp
0EbdEExB/gl1IdK72gl+vLfCAobw8zVX4Rup84qPjqnhlhEGCorEUmFEuwFjhRFHZZ4DzqF6bcX5
RPQY/fewR/Z4Y6ho+M2ZrNcdjVCnAkjcn5ygMuU1UaseOt0YF5BMtPgx+f5ospVYm+IQMoayRvL4
KArbhOejqdU/o6gaRBBVxhCBYrOsPPpn10tDJJmffp3Gm4KYO6XQ4VyEOzxl54pVRfA3vpiyskL8
iBmYQnC10hM83SXeP8e/wGzy/rny8/G6bErma6DZ22YJ3OINpvBha6Vqul0JP7hlFQH9OK4/CQvo
50q0vCW3upDHnVd29vTHlrdLfewjNWyyh0wSLIa2Q252sQ6WqSiZeuykzlXHbAUjCCWcIiZnRFQS
RSODWAKPs6TGbBr0sNRDQsw/ce/6jkDUJsfOxYwNgWPrK9xV4/WZ/rU54WWo9+4vCJ2D5vnuCUD2
0O0sTq19YJAnOsMKW0+pV1otlPaVm2vLeqtYEKD9i1FMEDrphoQSv8hZODNKaiJiIvz+gf3Ycv2p
ddCbKSxhQsjalN84SbGoBT8jdVMeuIHkVxZBlRIlelfkjLTY04wCIJkWGQs3EfG9jIzbXmvzKMSd
/9P6S7aWi1hiY+igcNY9LwqG3ynN0xghotI1ubgSmC3ckoqcFifAqaTXoX7K+i0YhzGGL9baZR1x
/fY5ONCisvM4CpeOa5QI81uPpa4AdVzKVL6wC/mcRkPpgvCkWj/11zQNfceAezXMKvi3T83eNmQL
s/iqoL+zaYZTdopnYTb1ysFQXXb5ZDpACmHdA85l8UrYBWXZVyNXGcx4rQW8G3gif2RIB7fLqs7l
x7/K1WGbLHVLIoA7ABlIzpWBv7jQHB3e1NTxKYd+cSpr1kJrv6Aj8QzdjLUI/IT6fHzx/4eWtNdI
hOhJoYMwpUkFKpYPtgXy+5xBoBDgCeHW5s2kEFZIJWNW+VrkaL30H2aYRiMX1peULR2XbxCg/t88
OFtPd5NPcDjBsVW5QQjhHGOzNjw7dDRv0iorJGIc3w5Breb+zWOGnyfAdroapypdQRBI6ZQDzIZj
ge6c9C8klBo4md6wiI0pUufb8QSjoMgg/OmsyD8dW1jptLs0FO3zkZapuplSokszgJVPwIwHGUWH
Nh69p0r5iBmh8NG3AV+CsTXF3HkypFav4/9Mth6SrEoPFMS2hM2Klp/m3IP6dovfjLVzNx/uRZrL
9ZTADpRzKXuQnGhWiktkSH1vKW+oHuL5968O3KUO0fedqsjMKkChrfcTywSBPBKEmxQiphYd9joT
kxz7YgUmfo9BKKnPcLG0/X2oYhH55i8jj9EmayaAVtblu9YNYYgQ7Ovpnvjn4wVy8Tr+p34ph4SY
3MzuNVoNEIN0ll49E1UQp982YxqJ/AtPI3cn5sLEpEo0X0k75XwCgeWN4/ONctyIGY+dbhkVoz3+
cywNA8en8oIb25FvMCUyGlDl/5/X8CyRYYYytbu/W1eNuDUfzTAxjE509+xZWMM2Vm0x8nxyyziy
20SA7MA8caUHTuB/Ny55dqdGokU7pDkFFBjepud3gyyw3Q4jjpVhtDRYLhKXgfcdvZ8UgbK1/y4h
HC5daq2KXaDdsFuiJQlVzDEOLpws5Q6zwWkFDaPh02ENTTP0HpS84L1QSTTqpc5XRn9pyeGy/c17
arMUbXNPUK9M4T3ou+GwdT/0iqUGvR2FnMJxyM/lxMrgo2n9dmiIaZWA5KdEZ3K7InFsCBMtJ7mU
r15pJSh926eI7g/AVVPJwS99G1sNkd8FlOej9N1wBS0I4hxLQ9r+ozPTn4SiNkmpCNCXpSoeyvUs
pGb9DEw9WKdNGX5f4oDARjdL/c6dFm2bAGkwgJqAzicCirVw6uSpZ7kevx2gaNREXI0AhVFvqiLj
qZFglM7mhEaUFGX2L2x2MNJBFoqMqhB0Yxk010Al6OfRFtDIuIGP3/NKDl2Xcq1vJ2UGTzTYb5Sz
+aIlqtxKRHcUIwCjmP7aNgH0iTy7THTPxC2CGT4AW5NQ7kB0813QjLZm503UWg5KmV2fGBjeameO
aW7TaO9QVowKs2XEoLxUYYZ5EMFZzqJJpoAWgfDh3c6KIz/XcENVTdKJBsBNalTXlqeFdcoq+iO9
yIc5AJptw2acx61R3fdEcgFumXSU4ujUA5ck9dNnnpqg/wwpCTI8K/HV8Kw86qfUcCZ2RMtb9sla
YeiCqo5KXlUA29Jk4L8kbSsOI24Wc9Ap9ifK+NdOebZxFtoUkUCtzXSvyI66/rHE3HJxMhkrihE7
zARrhO0Z11oaRO2sx8KzrRq9AwozhNcEPoNI7hnoBxFZAZDzFMuB3o0hGX9M8DGHd6n8jCyV2E5k
hL+vdK2ZYNWHjWW0wWLUToO1iVmbFMTHshmqKWiKo/QJH13MSGddoKwVgJuuISDDgNaxBjE74cYe
PzZaExxPvdPRHim0X/hFCXKTdVewRFehV+4z0yNttmAkrelNhGQMyCbm2iakEo857fnKyzeReI/2
jC4Fz5ISvX8qOAZKASfFO2V3RteKbJn1l8Xy3O7O/pFKllycXCCrdrfYDgFG9V4+DLVNtFQvGfhk
iEVGXZHv4nHifl4rsm1S9tc7kthWqCBQBYVsOlRjeCXrGVWmSUx1Afv2F06V6MzOvyWtSjbgCUs6
m5HtBgqxr9HbueDwho5bT0jQC0OUj/wzaxvxJkMOLlxx4JqnRHs6EgesM+V/CGMJ8LVufivQg7Bx
ycCmM6jO9YoT8kAiVcyICTBHj3qzUdPqStORwfacru3iego/I8yRyh8gp1LJJiAqmTtVQfAePylI
slWw8HCKz4Ropf2tcT8x9tIWikVsBSJmhqIl/CNO5dc68Q3BD2eBuI6JMgTiX18trlCEVPWcTuDt
OtvFxnQoPzz2njMzJ6ZBLdxhb4i7aL7bnXdPF3XvJJz0FWHyyNsbPLawMiXdI7tu5Zxsetl928Us
vh9z0pc/1RQASuQWcWiFlTC7nJIfiyCMVPZ8XV8MrHQbho7LtbL9MGdWoXO2LbNw3r3xmNc75PHj
2z05TvgDU9LFTCNfyFfufrRZaTFiURPi6Um29F+E3RXPWzMGa5TUqIDIDSfN8Deij2n3orI6+n7x
2jOgwPVlS/CT9TaOGASq5zNlOaAn/8zM3i8jKRCv7Og/MziVPlbnuwoZvnCOutXDCGpsug1/Jla5
VgafyYW75plQdFyhTlGL0Ot1Qxt4MucA0X0Kva/JksKOuNx97bnbU94VjWAhqg54f/gTllVhuGHp
SsdBoveosrq+NIGGGJSXK2wYUXv/SdeuSZNRprMQZsYWksg8Iz+bpgVABELXDvTNuZCVJp0h1g3A
2afY9z6hknwDPkwqgyLRUO9Spbz4+HMmonicsGM7coDZxOcl5TV0CNYAFC1uAI3gOS0WNzcVSnLp
wlgCSX1J+CUDiM92drgXfiNjjpMShoaj51U074taeHRywCLX75n+CBexoXoP2rSxXQ3USLUIhMAE
NYBTH43kmq7VlN1gfMdZRinrEs+wCQ040POFgk4Ql7ZySGZ14iG1tc5Yln4eAZj9n0YbHrG3zfFI
JYm6EqobDptRWq+DThsMWT5K+CB8uVn+HtJR8oMDP+t0uNwT+3QvWKr8yVkgPQ4dfMzSEV9kUfAK
cAs2Xl/zrAKwEdmBYzImHGjvXUhaYPuaT3b8M0C4R2uuYKkHEcMR+qUeqEx7SD50SBtUQQa38yJs
Rmd625m2ZoWi+LJkt80XI4oAX7K7KJAwEjiNo0+zTWYEWt9ZrICV7EN1ja20d10YECUA6CLTGYP3
94M1Xx2RjqPKQpQlvT0fg/b3Br/Ckh2c4lLpmQG93kdzGbuSOpEeHMVSQ9XlvKkCb2/7s0X1VpsK
pIbKoVmq5GwwadzlQ5t5L8M7WktvP4OCHdK1zxPDqK7I304QxIFWuyPD9rgx0WFX0tUT6J4gmzDq
ftdti8/jwIIdQJa5wZ0J1ecgIWHXSZnqRLawXcyilpn0ueCjCUDu81XYqqkG9NxRedj6lN2tgRxm
Cfh3DSlaqCDC16FAFyQN+e372HwYbR+koVGkC4qrhsa+AumJUOX39LzZBMkUR9AhAvvrF2IEzw9y
WD7twK/HMF7CM7iQRB56iOYAq4hBGQs+zJZgh5azhqodP7lXwvOgijRDJ+2brXOes6ZFYTTqSsa6
/BIVYe9FAsdxha+M6Wwfo1CLpqatzbIF1pr8lgxJKpsWIR5oiPzuF5G6gcr9YiIMQXKNWyY2eXxa
jIYdhUIRsoGSo5rIcLIWmI2dZBzNZDtgsuZBqf1Eqs9tXRAhJnJFcj9rCpq25RmNjUvbQYrO1Coc
WqPN1ehUJLyT90vp9IKHDVPvJwlM5H6uWB1fQySOcy0dqE0/grYMlmM8h/BVexLPOzwhlZVqcBfr
QUVDE1RGe+aSbeLyhAOem9Rfq3RvUHAzxIxayNqvXa9tvlpYajyUXbaWbxsGaoXxIjIyfw4oWqWn
eJDOYI1otyBfmrOHOluRX+vRkXkgXgflU8Pvg7JwfdHTa66gyE3MMdHNv+eu+idcOnN4De6Vfzfh
mzI5NYvpOo+YinMhl+OMw/04pZoPF6g0ku2QPTtW+nADJIaRVCBZhegvKDLUcoWLjc5rR2vvsyna
zG2/tJBQ7xkewVRUICPwBU6AI1v05lnzyaRyQ3g9ChlcTxZpGWRwzzwSMtv2LFTY4wPKbWfX8/Ir
Er/lsNxxqaeRGqgYT3+MiKK860/Q6PMVXAjWAXJZ46rSLM/Szlna6PBSLG9yLVsGamMtyz87kgnb
aplg3VKAk8obVCP8kHSBa1hb3FIcPpit1Jik8iSQ1Sn+s/o6CRQriQwnCwi0+kOgDzfaxP5Zs1br
4CHbRMXnnPk0s6YNTVhnzwEJEURsw2gkfhqui575vGnVk9yKtOPSTQMIV+OH2lniVGT6E5s9UWe3
ZXAFcnZlcwQJjdlsu1VSwADFtoz8JQ5iGLUZdJjwXIcqYXoB564FsWo1oN9DH2cAd7i7K9u2Ewbz
cpo6UAf50Ekk0ZePSE76RT7nyff7vE2FXoMxZPvVub+l2Z5xzmbNdtwy5uLUQIkhZ15/iUU3Ixr9
bnHxLkJnJUvZNbuvN2Qp7iPlPe3zuj6lGsTPsOICmk8pYLj0P9glrF7HmMjfp6EcHlrH2zOEXXFQ
VqLW9G33lqrYCjIXPH3620ZR+cl025QD1okzZsBzlyv0z5hzvO9kpMBHfbcV8u0ytXb2LLDDVla2
2NSEQRWkcPFU9TIcjKNymGxIXRault9S4Gh2uACE0BIoX+ukQZrutiy3MRMhgHm/XDEu47u8J7gj
MuBFmVj1p7eeDjWyiCFFnVfaqbQAhAaPHJCV8EZKRh5F3kRnIRdVZvsYPp/kMDxx81Nb0KhyfuQQ
pHOVYV/Zx64Z8zEJEVxwqGjQC8ihys8jb23wUzkOBii7c6KyJlaxSQ3mNbRHc0k6x2Dj1w/Xm5Jf
3crnNLLA0GEG2q1CKiWiVRcJl9+zAsOpM3KVyTaB35pVz4GR0FA5dy2LS/4QEKMXWsAXZlVfI7aX
BL+J3fJr3fBS5xGWpuRwGJq+jfA28wz14Cmhyzvju4PUDG4KRBpBGq4/nIj+C+waqmop0LVm32M2
zVfrYindnagSfp9vM6OwSnxhepsbaorKX+Ys2w8vT1SYINjkpxb6xBDlHKs5qCaP1NMUB0BinYzd
QVEIG2Io74CQxbRZC8ZjHBuSRBy0lS9od9W5U6SIsHJJfM+lRNKkt34mCDV8Yi/4yZCd/ujtWQWy
v+OPDIcbifj8AZUjZbr1oQcz6810i5zfoZ2IHZGPIVHPu2hFhNXvD+lKiw+a7VRCYUOVnpaDdkij
c0EfoeSzHmfFvWobXhzQkINfX3jheIIxUyxiaodhBdJE7JLRBKj1kt0aZKf8GYZfgvz+gdwpvEHZ
D5rTmPS0chrhOd0fXfM/JNhCsPcSA8Eimp5aLoJKXDDHZIedLGgv5goWAZ3zhfoEVkNgL63t8B/L
U6PEI2NEQTw9Ig1E0La/CtmnaOLx45rMtrzdUmM3A97cP+UZWLUnwcSwABt3RadP6vOy3+aYDEyv
+TYFS+ZTt2WVn4s3TAE0lMWhlPR21b/nn2KXTF1WM7BCRMDqAmt0zfroU1tgp1QSPIqm2kfdHJOQ
AjKjnv2eqXKlVh9ZZz7mLCTojpEIFiye3M+UJalADdT3zlTV4ZUrogCVI2Eu09RGxd5F+IqBXYFg
GmQ5zEsqUnx/OjsvhFlPy0uQkT+WuKyF0lxOIJ5Qws2vnm4bIJQv1hShyI2XNfMpLrE2HdxluzjO
OaV4lpd4VdQXrwghpjTrImdj/BUGYnL7Gon26Ulv83HsZQRZadSrOuLrNAt/ezKsfF/m95RN1WNa
jEw9HhBcGcscH1f5+2zC6COVumuSeL3udUaEyqd27zUWhHb1u2fcXcv+RSDTFZA7RLpUOUV4EV9v
9VWqw1K1IGsNndZExATbQg0HS7IVuFJOYzUkN7ux8sjnIEgCf7cvB2QW350x3Ywuiw1acI4KUJmG
b480i2kptmbFzSDRG34vxxQR9sl4isUyToCeuO5EH2XYxesRg+7YL2NCUR5M2e4PnZ1tdNslExR4
6VaaSsDXNJ6IV1vnRdwrx1OrWoiKjACetD363PobJ2ErPp9wDdzA8jPCS9utzr4Vcq8BUsS3/0LL
DsXsRSnOPI0NDtl4opcknMTUDYp2bP8nYDSHUE8V8THG1z6gOvN9Qqt8CpWYqEVMX6ASrCPUb70m
XH3pHg9mEtAJRVUfm3Ud1ZyNnSZTDd0HTz/tT3EzV9TYO46ea4ZJLwwQdvoMaLwSdrBD0U0kziXU
JDSE7gZ9X5d/XWd+4wNBnW/ou6UfIdps4m+SYjNmXmhlXxovcFu6cxuiMeWOh7Vncq2jLQOGGjvL
1bPR44oiWVBxUqPmN5dUwBsvSDgOA+mk/AcrbZaEdQ9hVMUUViwaA7v6MYeb5otyABSMWNEErvzo
xOOUPHT4tM2+81Jzsf4Zl7eFEIAE007MFmZ8l10GBOgi3ahyZzCySISe95FARCiSG56/OmJBgwyg
A1YC6i8yNDyzLIff7LNh8ItCS0nqq6xvDBr9+Sp0PWpIo2U14+fWesb/2Lo67TixwtI/4L4QOIT2
Y5/DiO7KxjHPK6lF/H3h1rja+H8/AoPVqgQ+95RDtNNr1l1ZAhyI4s5pzlgkRT5LR+Eph5tYfIcI
0NwC+Iz9gRsZ8INRiImR/lfwvUJuPJAQl8XGB8wyu0tptcGqF40Y88U0JZKKv6hnUeX74ZuX5IGd
qLEACoRWlRVEz9Ic6g7F9KNtO1FDHEWwj5Xt1BLDvbvKaLA/Q7Tp0Vs/4sY0CPm0P8ho++qM7ljg
0A+RS+tCckUs59mlXAWmZpm1ZS2X0gTWyCOQ2cz/u895eBWxWOfbihc8B84LbmLoKN00mwsFzTIa
UJEsyV4AW0l1Wm1UAb447rHBdxAY1p6lpj/kUoMi3rGpasZQuM6wAYuFuSqg27yJ/WxAUhY8dJYs
BmV8KPa4aAIr415F1IsU/MCCbPPuQ278/Pv4NXzN2mCwyIrlOQGhkIUEjyLyKrlVaJyP5fQtssLX
JKs3cewR1ey0hMJ/UCG3iQ9h68y+P0emgq6qdML0VuaFoUIWIzun7Wt+X3KaIYfWM89q2K5iaYWJ
/PqPX5hZVrHunb6gqSoLcY0niG8RWO+xZbImsMUqePc1tvjsYNoOwRmEBaTHmTn71NLH/rH2h+pz
1/1EGAFG2bWymuLErNgsE29qDgQmV6hf/px/SugI0v1Hov7Ly+wUA7X+zu7zPwlQN/yPSQhctN0A
vaRLOckdrUX6ZgfQ1MDTyYa9jwkNLuceIWXw1yOAqqjw8Ivf+qq8QKGo1NNvyggQ1bMBuC6rSRLr
T+LuuQ0cBifBN6ea4cza0iP/nixiujUulNChM0q+0waLVEQpt1wK3wGEiXCms6GBzyojh2XWkDzK
xz4XwR3kw7aCR0Ui/haX4hv78DMf8syRPGTPgX2w/DFXLEp27O8cxLa/kYxiW2zubH1+bgZtVG7c
irg65wtvmDlN54Vxfe6mbUjwOsOW1eHasgkf0mXDgJNRYixjQ5/74dTlWx9F+ILiI7Xe4DBRIgWg
MAF7Wn8QUZnZScWCGoJos+sQiePLwG9vQi5x1EmXO1IIh5JsdseubjSgMCryCTBUta8CeNXZ3g9D
y83mpH6gwamE2NLGzwEDRnqf+O9ZdhGa5j1IY/W15KaqWAOYWWsdSAtaoZvlq8wSn/pXIpAjwJJT
gG4UHzikjVXiZ8vdQNfLmp7MJiB2ceFUQP0D2BHZUM2LSBS1emjet19hjZjiMkgnq5Elvcuoy0Xs
YQaTNE1mxVylJeAd98IcImeMTFC5FkrqebWAhusiO8GG0ZnZpCHAUvMBdd8ZcgqhsPsG+HsNZZa4
ReA7RS3YC1LA0GYPDXZ/TpVFkQA6SYGZXo+RS9X300LVqIGWJK7nj28y3s669vO2KnjTskGK4y/V
mAy58Ma3QnfyJ5SOU7LX6v+GdoUTV2FYbp25FNmZtNSww3MG2PmVbkrCvsGdmaxJNKjF8Euw2Wbv
mL1zqbShJ1aKOh55KfFafqiLRkQOpeXFmzu6Wtq+OS/ZqSeniLhMvVQCYQwcGkeayvpKYZ0NqQ3u
fOBpoVf/kq0Z8PRe2F7A8Af8grKm+mmExluufbejBAAWWr16sGlWjKhkTjb1AdpL3hvkEhzuo4iq
jjGRrffsHTtOMtHvksNUquea0UOIcz6Z6OsdAMIq2IW7Oiu2T/42+VIvQ2kCCJKTq48GzKqPpofr
A4jR+FQ4BzJD2qHkej6fV2VgT3KMTKyqj+lCgHiG7AnfS72lmXxwfsoszPAfnk6SHpkMyxty8PxA
FtVouwdBoxnJD6lzPn92nE3NPbceQLV+8lC5yYNNRCDCVZYy6fgk3gMdNRdU0vhR9FcZV1lyOh+J
/6xQtxh0U+uLmgECvOHc7OMQyHG4T+Mc5oLLo+xpkpzO27Jd4EDuWRbXipDkB74K/xj0DbE67hJT
UC8Nbj6/BDGfMmB4cfEmYYUMf9k7/o6hfIXyWbF/gR2lUzNVpEGImsZBu1QGZjrKNo/ycaPGYGd5
KklQM3/RO6K5qXTTaInDcSasNQEDpVMg9FBDetOPixV2u+7p88ETZhX2MinUlb7vZwaUpR5ZtQa8
rg+Z7meth9eZCI8tUgyHxKJqSF9xUTQdrrp2vj8kcWoYse0wwc9mfEH7CsqMwMP3jn9HHPK02vCr
TtSoEEyoP0pbrdc+wuCe51ily6Fsy4KEsvUCfA9RQlefSIjHVMiOHGugyP55I6pkEYEpY2fCmIiY
nPkfSaQqPQTgdU9SqHpyoXfNfaw87TPMQcgf0tLXiSxfyGyW2kNJfkj9LCxTj7wGnHu6bTRZ3wlV
vOgVAq09xBzlpxP9mXRHBAh5udljGpVelBpmA8AdRTe07ygT2bs6oll5lyhxmz88pOCpbvb1IEqQ
5VkI1dCko5qOH91R7YZ4ZN/hCalO4E5HJ+yhSOUmyYP6zOxlrdNHoQh4caVEWlO13o1EdVNQTqd7
+vFIWGklLVzYMfk8O0fG1923OZHHzbuh/bfOvrKeKqOv/s+6GxdonPf+RPJn6LDXZWo8IPxmGZky
ku1Ly85DVAbhC5HDt0XYai+V5STL+3Q/mj7i0kky9ls7smwuu2vaxef3LO1+OXXZj6OEawyMed8i
Aboyx4DaR8Qlcolrfvwh8sG8JC96wtXYKO0KUvVHnldnGdTS8+epd05nRwhWrCIq4ZoC7HTup3Fc
uD1ty1vHzyJaCf7RMJp/zOtHrnV9fZ6mDr1N2ihKxRUQmMiKzkYGmxPq9wRYLHeODfaMDLYX1Ig9
becxn3NiH3fIp1m8rtbsgjex7Jb3uM6dQufmPk7gQ1ejqqoWWVz9he24f+SstDCQHTKlUGDZb5JD
FBDtDFHIod6817BRnMqTrtZq0qxp4njFzi5iCiVkdBMSlwx0AWeeYnJ25AyebIQlNvzo1HGuBeRl
CSffuIUD3zXex4JrqWRA9aLeftNwYqB5FApPpmIQetNe8XubM2pGZHcc/FAyoSVPf60atl2+vwm3
6YwHys6VjfcmcWr16OvJAlIbDi3Gzbje0xhzJKkUCHRnla/r/vXCI+xVi4w9GspD6ZN4hiii12Ei
gJSsMxMWgUcW28GvoT8jRC8GbN3LQ9gVkOYyfpaEYkHt3TAn6I+qtF8cTqHd4iOA/kEGNtw9yGxY
UJUYgl/sBqwdOZ43h8961Eo1g3NF5/IF/Ca6HdLklisNvYRoCM8zOzDyGGoqONm/CpWOBBjExi+x
DeOLpc6cIawsNPzMUaGVGEnaX7ovaCTaTuU6HZRu5sAJPgE/iPwm7ZKSXXufdaPpWgdbl/ume7j+
HFnWjrW6AsAnydfXixxLDK8u94nVbxWFY6E+825sQdhsYtFZG+bhUxZbnewXziPfPDwbc+Zrwxlm
yM8MPiWWjEQQw/1tdmG1VGy7rlzDE0uQV19W6Ue7WN1bYCbnSUFASpop8qBWs5qI0t3VDgjYj1e/
txs4rpNDEL5hKNpfbLy+gWtcpJ/qT0h9XIzNrIp+Vabgh7AeZtJA75iBpT+xnXhElZRbT8D6EyTj
1Re3IOFdGM6lNZjARGFyZ9y8mT7bMrAXzh01sOjp/uBvu/8nJY8gK/mMDi5bNGA/lzc1WiqnQpw7
duZ+wAo3quur4P7smYnWr9NbIyYAdtUt/4ao9vIt7EioYk+CQrYe9ea/jFaZ5fz3+S95Z6WXvZ5N
dfWK+347rkBo7eBGRbxA8D0Z4S8ezzEbYf8IvTLujo0R8rlWqPtxFxt7om1Ah+r5xUKwf/LEs4fX
IEXvUVa3zd3oq9rCP3E/OTTjPZ60I0vwIFOfs4nu9BbeXfmzmlG7LpOT62p9qXqR4pnMnfN8x7ln
BQBAWaydbK2hd6nWfyGZVOUp4pQ70Ec0ohlPL7d7Oh3BPXKRYm8T6Z0KdbZhyrSGHsIxkxXjembg
bLstQaju8avtPFwQnpA5kRShei5PAiKRTAysrQ7fcdzTZZOp/f4vUkDvRnPBV39qvmn20z51IxQh
zk0jqgGlhUKZoLXhUnuLZl+VJOw9tOGrCCC57q3gWUph6r2vzL/poUdN3ncPug8D5OgNy7CqswY3
XjbB/j6+YDTp2v9u/tk/7JfbF8C+x7R6w52EbEJZ9ty2Zkpm9iZo4/V0lSfV4SJMjk7wQb9Y/wy7
jP2Gr/bsbAuKvGn/qG7qehCdwHTZeFO/d7LIIGuMn/3gytlHzf2tpxp4HmonM2/oL7Igiu6YoiH2
tdarlsgF2BSqLYGRDvIiIJWOSVG49S/46vlRRySrqpbrOD7Z3YZDBIsVMeBVzv+VQZfaNCNvb8lO
E/5gud//9KoYq2vaXCaBKEjzwhzhj4fqnDvim64rPwATYi8jU4oibv2oUIcUSKS3tfszXnzRl1z/
sQO7/PfnYgmTQ9zAWVw2+X3bgK1aD621O4J7N+hfH8BX50h9yW6LTj1TANjudpuAtut8pM/dx7Mz
cYIJa2tRDSPY9uM5UUeg1g+wSaJoNeJVQGndQwTf0MGNl/Y9JgPPNdQtSzYK0XMXrhO4jBQCrRIF
3KxGCX7gdwHiA2JWCHeh3qgpMsF9ozsI836TU45Ap9Z0aeFSN7Tgm3IhdtaBECJAcFlMT63ACHFV
fiUTLz5AnxPGXQA/c43FAPEGYOkkEL//RDsGpOtLG78oL6gMFcXhiCjiuWYhb7yEah1u8L+dvneJ
i4y/wBWoyF/tFantIjEK5owybo20/x9paXyv4804BSB1DwVGTiD2bbmQAFCX+Q/zPppeocFdWAN7
QAcEk3uDibfHRNDjv6nT9ZjVSjtJy96vStp9monejoQVT5t4ltejwlnQQr7HNzk39gvctSseKphB
TlRsSrKJsp+XyDcsYlxn5IQO+SLv+1n7YVUv6cejqWkf+MLxFhvJ8JdDdOLrG3xu6KKVXeWvylUP
tRK/FF6SmjeOLqPl01ITU2mdbORi7HrdIU6hxcko6pmVFxELwkDTAMiNXhHdPRdMFIVCR8ZTEK6E
7RAX+R2OEWaJ6fGr4aDG9KcZiGsKLtrA4rc5Dz0UiICzxcEK2sznDAU066jDhaXWQwx+HpejQp71
Ln125jtZRI5B2SissQwgO/Cyady2QJKyPM24rtcc1kDl1U1KS1JtSdzlzCrirWu64g5gIZHdo+MM
vs6L20YYSVyQizHri/Vo0IKPtuPqzgItUjkbGEpXfYdVPS3qSIAdpK2V+dlnsP/BAaJf+fajvoOc
2VquaNoPOKf7OKmei0HwKc9d0yUfv45fUzOaNcD+hL/gfAmMfiRCxniO6jQXI4flVf2ZHQo3F7y+
D7dHEiIrBcg3x9jICDCnPjhXeFtfMLLqzvjKkuI0mc50BQI/Yt2GOy4t9YBE3cYJDh2m5R+JVt5e
4I71LPHWW6+I0xSndlyc+0aXxc+jOOJHNYfj/KEVSHig9z8OjtLneBfy+PUV2iR61QGE/mVNW6xI
AtdSEfYqfbavQsBK1aBipTUf32B5eRJEG456QArc5VAN89M0iCKmmacXY1oymYfxmdLuslnva5dG
3X6YKwcqEjzyahdWPQLyWLCXbD3dja/20B2NM7vcBymrl6Y+5eB3Pocz+EfkJ/WQNEOPMOBb2e6p
NUUfYsMWXWqQVGE/20T6gqHZZFTiR1jgyQBV1DoOCw1RvMmMHlp448J3Zdbg5p1Aa0aIfWtksHiP
E636Zy/IJBo5VHeBEHQHoCQYZ3CU/pdtZvTY5An2836bxSfGeBMlpSWkTXpW48TO1hVxUPquYYGE
JAUVOpVlL/mUWSfTerkPWcrTlOIBroI9BWyr5RrexgSS1cHf6/mdJi6DLwuajVd7Qnma1vyxlZMI
zw/wA+cyHDeak87P0LVyhSceWSgx2YxHujUeuicKM0bno18RimlyuLWgLc/Wi2h3Q5nldlAQQnya
IE+nXzDmvSNuMmmhlsbiS+zreLCufu9sA6wcfSYWwdKICHf7pk4Yh7OytietwTz52nfKX3h1x5I3
o7oQLOD7vccXpg1EXmsYYc67YyxJbT8U4rwxAGrv2t3qanINT7WKJEUP+Z9VXnZzqxtk10bkIgqA
LsUV02OEKeaWNVtO4lc/WjjHVMtBsa6Fe9WZn0yCHwehl+76mnQ0Arrdx0kw39SOhrkIpRXZYTQq
R7NO4BKanDrQqc9r6thyegCXKojDdhOv//FsLv85Bt6hD+ucgxM0QCHBdOAfDKZBgXoFTbmzQZ79
lDx8OdL4mN1rAYCuEzDS0YX0r3fGTFEKRB/4Svw4qQHtL5MQirLAuAOm3qG8n5mVaAaOlrmQ3dRI
ZPrag5577syMyW0djwW0q0NEK3snFeYLT/cCv12vXTrW4c6ZW2MD79oDn1XL2KFQbGLkLg4CkIXN
yR0aBJSBL44eNznbcCSnZ/SnFqnzfiH1rS1F/vu6oKXF5TjEYrhnXXI+TCybOrzMB2F/7eeiLndL
nezkVoegDTJVUHyoxzMLBpTRtO678LIeSLC3fmtrhMH8uWM0dvoThzRFqqNKdwmT03zjXEhGfww/
Ax03cD/tTqX6VcSLJf3mLn40/2leOIBdq8aDJX2E8Dj2RxVnR9w//A4LM83OiBwoB+R7gGCPfGEB
OEdY0csWXn2W5YPML/rX7VOSJN04m2Luf/ZpZR9OZLC+MPi2WTwd8i0vMZV8mqzyCNmS/7INwdHO
NEXB/D96aGJIrTlrW/6IQxKxGygsQDW+Ak4/YY2i/MhV6+vVyxdfrx04hor59zHOFl1j6mgoycIY
YkKVJ09h+gV9yupoek+SOTAwgGrTd5rBM2H4n02BIn9SP419qa7cXOQBc8R85VY2M4yTTL6haWNW
LQNBywOAb963IZ/EPY6lnN0UOABIqtp57MSkTZNr8hnNJSodipo5Di+W+2mh6B3BJVdpMOnVrC39
fRxMxu8XEnPkLGqADb8aRahRnY9NUQvbxlBOvRcyGFB06wNRW0XZXx/0ILWT1pjuiiuc9QkqDbDs
tkjA5k2A/Q4EigWzJNftXN9vQ/eQCTLQ6BGWmc2wMFk9EqEU5xwyRxivJW1uWoyGpum6ZFWMQCOf
fYKd8zraDF06h1JJWJ2enQh1JyOm+M/+6p1u4H9XKzuakIAMFeh6MFhWCXCkX1HY6a5PKTKbXt2o
Wc7QddDzRS16JKK+Pwy+gZuF0PIX78Me62Heof0CmrL66eb/2i9PWABiU0qOcqN8PmPBNKvGAP0S
zyIdtuvBGPDHn+Iw4sJbXpeR7FtQ00k3z2SPNvvhP+FxhXCbGWWa9d4OTLShLeIqmmlV4TmwsLSt
yxwUKmICP/fq4Ob1KqThpQ3CeDsQrjmBB4bnkiDQU+FhVhp9/EvP3RFAGu0PxCnE4Xz/0wQBv8b9
VsENAgDqzClOF4cO/qLc+TMGlL+UQkIuPi15T0exFXb/RQEvcWFJwutqJ45ZQwUva58i0fkSbUZq
8R+aQpwfSbfkS+eZtwTh5PNngGC8amyWsYZPbIDetZyoaxjgE34UlRE02RqLJqLRkCZUMGKUO2CO
PDJ5QOUab9PogYdhYC+NEn2DHGor/bHKnQ5m1P4+0cHRcf4TEQefLkT8AEUcgZRLQTuHgaloljA4
2DymPasRsQDE/t+r5IVG1b9cqG3zROuSrok1VdrSJf5Gox9AcQh7iTSeBQIWrbACCgyPz3sniELg
xwTcV2mPoGyUwTNQ8CivnJJpgjf2dg6Rs3zEJhKkkqae4ZWOFCt9lfSK+5+k1gYpWa12usvnvZRV
M09hv+gf/Y/KBxdCAv3UVQSpwOGjhho4CnvC6dXPr9Q81y74zZiqXGzhHRiV5N0+u8OIhPTc5SWb
mM4/lFGSGLO2SgBquTk5QFIc1YmYCKc+MgLAXgW7Nofymeq/5F9O7LrSU1xzVutOzOIqP9dVqX6p
XNrvyeHscIfnqg9AiRiIlRcqpMXDCPJFxJiWWfW/1XPkA9UeThGwWCdp8i/w5Y649OmSo8w6u1hX
Yu3qldIeNhQfSxYh1IyZIOGgwGd1RIx0UL7YtrbJ0KddrZM5VMbrPMv96/NU4Adkmixxp5d8d1y0
Hy6/Bz06qspDZR0SJvqZ61VrYqKpSlRIYLD1kHzKayPGXms0Ge1+r9ipD2cL+bCwr5PAeIFGDx3U
9WLHKnCDzT9vljTnT6JXGMpx7dLZzsp/DAE9EhYqFMO3hDZ5LjQ0PZM0bzssQmR5riDUPNu9VnsF
Uu/zBamKtehztk1Sp8FO058Wmj8zCKn/yFNIKxU0pUnXB9KZDP3z9zHk04yyzYUWntCQytm0feIT
EM3cIV3saaUYys2MFbXobuRpPlZSCnX3ldbAvWSwNksMlPpGTsnHQZebJvbLPLiwcDhPe9jxtYZ1
wy+BgbALpyKNeUvPRmQaUk1OY9Rl2FNx/8CENGV7Ytc34kDg9C/FCaC82Ytw72c3o55OxgIputby
0pMf7FDXSjurI8PELuvCvdOHtMKz8EOdiWk5G9w+1aByu96QfFqcBEfpLjX1G/o9AYNP3V9oA2vl
7GHCETORp4FisRn4USsftifva5kqE6a7h/66Jqll+yBQG4Qb5eYKhb4E2dBPrXOfQ4YmkHrtlYb8
e10zYPIOcRSeZLnRlA0xG4v7L+bF73e0ujEqQtCrMVmMOIqKsAb6n/dPpBr++3vqJ4vxaP6LxS++
b/6I8llNgX2Uzrt7CWmtsstGt8prvmlMYnTUEfkIt7qE5V4pO17DC6Cyje1DFhOdNvZ44RGiL1HM
Vj4fEjsf+GDlSjf9kCnxc4tVKUp4mPd0cKcE8cd3hEoebgdEckTK/sPA0IavrKOXsnP2Pzqv4R8h
m/LbEIH0r5jySxBsZpH4e7jPOfSxVdxkM/kZqJ8OGmIAjE87kFrM5cV6Oo85x77R7iXa+knvuZ7A
v+/cYMSOCnSdA4OPqYgStk1+pjkXztgLG+9Q4UhU/kSRbU3KgZbm6eFlVex0hU6O5QmMvZwGDFTC
junCdKPlvkVQZYo2k3DVcf5zOX7pQ2LBsdJuCRj/qOd0HWeZ5Qn2eBCPtBIxNqxhUynZmET+wPc+
I40p8bvV4q65MLouF2x/eulA7C21QR50zaMdgsWq/qSNehQsiMWHoisQqq9i196IaxuI3t4XR7vt
xcfibBwCCS7H/FhRcdCLHo1cj7DNZt24iZqKtxK6V/YYLpjojwGnT8zc6/pdyrUaQI6zo6da+Swj
t105ih/ZtN6J4+P2iT2aZzn05GjPLQeCDmWkgV6+4xknt4zBH3VTt2ChXJ1GXMnINsbe0eVsaiJ+
9heD8L7zXsMzvD69tCOh0qOcHFVyJP2OUJRWtWUskiCt7kNX6jCPD/YknKtaEDyAb+DOEHZx9SSO
X8fou8wKJ3HXy6+wlpcCIdq+OGoAqxYJ3Gx02fy3CqO0WoaRwahnA3HIniqURq5DpNZriXw0unFD
tXJl8Xqq+0UriWO6vWd5ZdVnDfRdjL2+A4qdaKSg6D+X+2o8UMyBdMSc01v1ypRhpAkFASgthZnc
vaaJsYa9B4OHk+cjww1UX80zQFrC9qNaymEtJHgURgzsUUBz54dTjlrUcJlfJpq4flJf0yx2/d3O
eq4cB0RNx1p6PO1hZ+EQXqfXXmSGyvH8bm9hYXxHGLCN6J65nNTrgELXFsuKqnpXFLiFcZM9Lu8f
tN+kdozrjF7DEtg7MhqbILZ9UL/yferxHlI4mRrkXLhK5RwyDgh1JhIPqoS2EgK/iF7q+CO+uwyd
1NEAlHMhQelD4+SDp73cxgQ0fp86KrTknZYRNWg/BFcErztm6nxW8awFUirlUAh+8Cm0f5NTQvOF
2/SOCJNbpu0vbXQsWWVF6GtfilOQH2RTSaixWY0KYGGgSLISEvCyD9zsSEIl6yaXB98Xo78DTOvm
asFOrrVqR15yuwDw9agV7HpL+TP9nrmpdlwFRq0eXNhYz+A7CyBzhdKCKrz+3vQfBBa7uGGzSrgB
uo16PPLdHg1ZAoucsoIrSAZoP+o943HU9BG5CIJ1/0A4H5h4BmiFH6aYjHUdjIsxbY/ttFnUDj7i
0GumnGwEmP+GP+Nuk2m9+ZG1rYoOdXU9T+uN6ydUF7PocgY/kjO3KpC0u7vdKEyw710lIprRNmBL
27rFkTm8eHgODvXektfbpu2xe8nCIys7mLhGBxJonKnimNDUzSiAPnnTasqoYFZ82E8/av4hToU8
XhfwWLcxXqnt3K6DRr1tSJiyfLgxyYKHNvvMYNNK2REDvKLUNtSEUs39TvJf4b9arFkJhnItdjWS
5Q8itwBdZxLxLmsh2ovC693aDDvQdS1bJAgS32A6MBstj39eEpet1BVYAnPpu0Rbj5Ik2lZJhGE0
KCosHk8JUqS8bKr5ULTFyXogoQnxKdmQZbcBaaBts8qnaZAmjiYKgsAZkT/w2Wli7AImiPuj4/4R
jZNOPcSw4wq4N62wTcoU1K9YA4oBFl80YtwLT4sLUvIDXt1/gpg+zNSCfvBCbfOhYBWrGE9zc26d
nG/JqVxtxFhfa3jOAEMydkrNSm1XMji4VO/uceHJzWdGtWA8I8oE9McaqxPpTVrMjytYxHfH60Qt
kuMTgklOMMw7wVJpvGp2TfBiidoZxRuyjB4TyJkQcw6iHNt2x/JOJyEMrakrigwdIENc0YV/8jkW
O6tFsZYXgIa3Hj6S1eQSzzkgegZKUfV0lPhuFXsyAaPzv1lDAwWw4aj4+fAKdbo8SuZTtvB7kgr5
JRV+CQLf5p2fh7DzB2LFiW5nAeMgOidAr9FS/hbrkKRT/JAJiJX4EtNfqcBb3vPyedbY783Z1a0h
OotFY8u2FyXlrW9U7lvb1T+ye1NOe+YivAqkY6DfOM3PGNOgIMxGxVuMzHPmzt9NojEuqwdI6OIA
RHbkPGsCGnvgTo/OTj3O3sUZUFGUcqqn6SZj7XzWY1CDdUIYICy9aTOMTJnRjuNZT7o03e77MY6r
/ZXSLlJkAs+hs4SEAeH9yBDwVVWBNxxtDkZaWlAMwmvVHi/WTLsdqGZHM2NGlByoDTbln5L3kNG6
Q3+A8479R+7ypVkPrl2VaQJ6d1K0Vjl+j++8b++Mmet7iYxotKzWXQruBLtprM4VjoeCsRDGDl4c
s9eeT+4yJAaETtJxlhHKKxgmj1C5EvHDrAmNku3z4hQNFWS1xsdMDamzzPvktqdOpQ6FRolIGMJO
DyUOrdyVYVKUEhUXaIpDo1k9vZWoQsi4vWGkHiZNq22O0qdC4xsv4l0Wzr969+OkzTMocACAIaKg
WRh9l+w7WYrGl6iRLqq0ZsB7deDOpLl4oNo86ExmxUlZlojAETdr+S2Ry2HThB7l9zHRajTLUP1E
zLDUPvYLBAabEBT+XCzw7mGJ9i7hn6hiHerOyttTEQpsMFSMUhiW4wRRiRctax3IajaNlv5yq9nJ
9nOGjrhzP+7WnSFAVk0GfWDMmAlK8jDLYqAMvFQHzdJSygJaLqvIv1a5MaNoUgFPVkvQhTu2jby8
qKtGXsd0Pa6kXEq5gBXr0a2cRszc2ptk1A6CF4Uh/jbwob3PokiZghtpaZwOqH+GQpW772RDy5Nt
mOerSez1lRFYnOA+sUUswgnydy49jimyCN3Uq9FfovqCfq5bWKapuFaQz4/d7VibDuE+zgqoLFZO
uZz6QuyieQONgh8Kr+Q7BVjOvmdHWyi+fGdb7BCKQ4rQ2smaKf+vPN+3AG/BVV14rSAatRmnr2nI
o+rD0dZl1MeQnmMnIRv6ul/UtpYgD2xGzqOdfGlWx1e22me5LNZ/gU9dk0iaSxHG7MWphgmH7WGl
zuBNxY5AyoAN0Ppx03jpAwdVFoWffaUZ7sBMRICfTqh6WcYPfln510Bhfda2OMziK714A50iRmX1
pyBW0jUICyy6uSNXvWJxMrR0pV1bGLD1slTguyz68o9ob3ObKnWeMDoMV/YCPr3071gYGpvrzHcw
JA4BntFhc9roIB1pVpPF5+rz5RtDLZNpohk2ZEbd3yWqzZQOnnHofxDpWkP+9nWUbZPCZGwQ+7dh
1SL3iVYLgUivZL4IQMOHQEDTskR973YYldrfq6CMNfIGvd1xolwgko+WhvtEYZVRyRmOmov6qzAG
CSAN+GJPEEVtiYJ7d8NDmy8fpEzePqpqGpa0i0hbGxYwxuzklBZ1yTFxt7Ml69AwGbOOMSElXDjv
2RdJBcK0XfoJHV6GrlU7Q+rG6e6000DyHtKJP40KwlZhwnY/xLb/HD4s8aFYEZJ0F+gjAKy9wcSa
VhoHvboLnWa/t/JufLbhPMwslMNFClIKEy0K7LJwaDAzb7Ty4ISGMAbCF9tybI+433dC4N04Ylbr
xSCF7g39IijW0lWn35N6uRm/bEw6DPc0LOOpILL6JeICtzDN7AOncgbbSEXjjnW5b3ubYgu27Q7x
t01ZJBl3QbjAQRHGTvGanU3DzvtF+5WB0pmEYSTzuTjghSm3hHfm4touH0dU+lgCITzDdtUAqLAk
PaKdQ85HbmHZYQ9CiC1OaOEgMrookRvr/vVwiBRL2vRI7EDU3z1eJCqIwuu5GUtz6N9aR6+qT5ny
8TFBcGhO/xr0kJJr7e3Teh2Ahd6dOgdZZFvunDuLyNQ7d069492U+4lgCRAEsTZ8YTXJCqoPR66O
nSdXcYLMCJ4jWUNoFQKLCq+1mrn46kXs8KS6bm4RvADMesXSFqifQ/uyob4kTgqbvGPuopD/Hpk4
e8LO/+NB4p9a8f3/miN/GRd7FL11j8jo2RmSJOC/D325ApBbUPDulVpoM+NtUzEthBljh6/A9XjS
SiTWAZdVjffj+rVnPmTZ5JUGDuuDHz0lBaNLJi2jcCH5wNvvT4Reo7/07jKzzhL0fsuAxpF++WE3
fDI5R204iBqZZOcaUpf5IJlGTB2i/6oVmQ2uSI9ENXuZ2LNwVHFQ2kkQ0q+vhMUgjWcmxYu8/jOJ
ds/dgPLtfVquzZSohJoHgSjiGvRSQLz9Nyfaj0uj3jlPoG6N+KsRUmuYuUrM9SCQ1kjR0INHn4hE
iRTFWRuf9aKzbskMIh6WepCVK9bwSDyiAeXGRmVT2BwG0Lp5GwOfOOpjIn5RfPXGcmnEJCGhzO6X
mmrMnAtTX5WldizqC9Y7NE8BbfmIBHGIKklv6Ax3uoEeDJ4ofYlCJa5TjGvFDR1fXnLP/0OAYEw2
pDUJ2UwGY+nWdQW5lZyV4tfmYugUR/VeNaKv3waFoN5s6qwyoorSBkKTHh2P5/npFYEN83XcT+tE
/j6ot6RBn1Vgtwceraxi5Rff+ueVlwFU+04K2FZa7QuaufE9qyeF70F2+MVD5tEsYrRh240GGBPf
y4py5vc6xiqE/6N7osYPv7MhS/FADAboFHpPv0u5BuFCeGESG8A32PfoJ8JTUQCELWew3fUN7xWi
7R+axAjzE3Z6BlO3scbB1zZdV6jSp8KPYsKvNXjQMbYR3C8dj5GTryO1FItpOFf1id+CarKtoTq6
S5eFG9qBxtA2FKaeXfVszIDFPkqgwCRZxc8W5exUy1opLq+SeQyTTaSgFo+e0HHFEDcvFLXhBinm
Oa81I4NSL3eB+JJQ6KOfA9bx/jeYEZZ7C8Jg9zDJngmxpz7gJzul0P2JBv/xn7SVuCQLTO1mXlAj
UqtgZxgXKzslYCPpj0SUlYZYiXA7a7UqDxckw5vGYGOCUQeyzNAfOObZcjgY+qQVtCyO3kwm9nLb
EwxIQx8bq6oO2HlFBnUr0pbY7uGG0VSntnLB4FMEsCtzlaF0NkqZk+rZ99s9Ezm844HhwQ/aC84e
T5WhHJpmcXPDQiGJHJWuUspQRVu9FcCJfvUgLmnZJIz89/rESHd0lEomgwAKFtcEtMNaPckiXjwI
NFOxHGdBr5DlqPOhjK1KRbQJh8ObzqYsSMPdTZm16ulm8cYe+HF/8XorpnlPwsaWcoHVRycWR1Rf
24zEnbAOXTzlA6og8/kAYPp3Yyny3D98/Bhwhm3hM3LAKsIEcLiK5zlmAF503ouH1BlgrCVrHCMS
ZW95Uu1t2CtcHGMVDvOvEWQS84SnVjzlaq9UyNgbe0RvzqU1CHWn4m2zX0qIkRuNIMf3zL9eM2Ze
zXCWMguI+7+6oU/aYNZmRX5ojuhDCUKrNz4FmdqSw9THaa3KBN7duiljnrSQbzHQEulcScQU69wQ
RWZC7jhNNwmzhJOaJSi1nUm4cT3/MRMAaGLDG6aGD419cu+7gm+OXhdxzDH7SaN+qrBd2HVdRHyr
tOFEUTXxCADQG+joVR7Xl+jHoaoNQMX/i0joF86KBKRmNVqQUSNnDKX9govYdQcIXE0eQLJI+CMs
Ms9qK2Qn17n2Ray53n1IsCGvPX9ZqL87TYxqSQrIVljUfhRQ0z7qeEJbcx1aaXqUL/TFKHBr8i7b
q4BID05LQUNjrIpcUkN5G/66nNb+EDOwZuyY+VJ1+xr3od9xcjR+y3A627AgPZBjAOWT6GVCyqpX
nbGYOyFcAfiNJu6NuH3fRMbnkvWguzIvWsgJ8bFdDiZ+toLvSRuFhvQuO95P7NDBVffXK6gIQCIV
3aOGRjxJiWEeAzrey4Ktojp/cGqG7JR8UyXxYEFHoMa3ANb4KjTUAoJW+IPydvds2E1pxaAft2kg
5ypuLJMqLD/8OvSgdL3EM4Tu7xtQBY/oFYSmUCbFQ7E5PX2DHQtDIKPMVH2shMT7pR4j/jgMsuoO
WydB+9REle45FpHzSiNApaPoE08rsUulNI3toJRVnoxkM/Cqwc1Pp9k0W58pRWdu1feuZNS+LsYf
0Z2ZWbEzDEQ6A3VE88JzQyffkE56zUeHL83q/9YTJZcqdSHxxUUGU6i9E0w7fYM+yREGYsW73G3O
Y8KooLQspTpYnk7vqXjuzGxKCSvY+uSuGKS+BhnDGqvNP/vaeJMsLX6Vn39aVv4+T4JV7CMiLCre
To77QFuJGbxx+d2yExnkk1vk12qsMiMrGX/BpYlRvWMvtRUdKuN8R/C/Ze+z7qDt7bovJlAsMXLw
AG3D5wZYD1dSfVKV3CjOIGIa3e3rY1TXGBJ/GkugJCtjStTE+UKYUAa8qeK8o/AAYakQpSycaRbK
6unTacDhihgF1ixwqvHl+XtxwGfaJPcz+5b/z0w4HDl/gUe3JlidQaSwRC2EGaBCtnMfeEHleRfz
ImGBBYqgsTkyqckexh7vYsvqcgP2s+pM1ZybEDc9X/iOGIcyi1RG/QrXFcrmQsmwQqFK5eCO+OjB
h9y9Ys6+1aC+6y8wjpN2tpbAkAhHIWKlthYGPaDY8mfjNhwvJMQFxAn7VRZi3BHzHpm4XITmTtXv
JQCamEYxN31vZSjd318PQyt6BVc1HvMEiWLwZ/s0gb7fycE/aHyu1GYKJAKVbpQMk8210t2oZHuy
8BWBJSpCar+adxskUOoPi5RbpPhsppPU/eo++ffKpF4r1M5bPOy29KEysnSGU6Q6V0jQg85rtyU0
ZypYRF4T+ZLdgPDLcLvOPlCiTnLZKX9m7IPAE7eHolOng+RMD/JtnmA5T9GHikG4mRzte/Hi4nWR
TS1Ctyr+6ASY2BELJSmfy2SynBuKB8Ig6EGO1g/dklam9HJu8XfEHOtWZQDvoQ2JVAQZUhCesf1A
u/CDJ4wTZLKr7Wqxw/7ANBXuG9LplBu2GrVpC/7AfsW8clTtlGUEAEX0ECri8Yc01FxfUPbi5HJu
UHz1no8E0+TNsyVZjKU95tAYOVowDbMnVlebirl+/wX4hfOivOGFtSOIBxrcQJd+9UTZm1hkwyA3
iRxvW3WAAQmgcOjcVGBHDyBLP3kN2v1v/ZxYYQ9jjwbVNolyHqbOVrlprB/CfOipZIVY2bW9UYqX
mOt07MwlFbDwZZtQOmnX8f0KyBvkAjmuBorkGQ/5jC7Sxw8MosO//pS/2Fz9BG/gbanY9nKeNRDJ
vBb6Au5IkXtX4Qc1J2hrMXO3HfaNYyXEZqRc5nKFpG+QhuTUgHWJkfnowDcR9m62R9qGy+yp4+o3
hJsK7p5S3AySq2/UIrrQTR7sqdYEpTXwE/zqJzKsRAOIHS/Btbgzc5+3+HRZ0Jb5TzWYIHsQj4N2
fS7rPsx4QpuE4UBV25gsaUovhYZVb4L4Pnypt7IV0hfTJAXD5Q0/sr6QFV9BGXxvS32X3LRKukHS
oIxPqlATQI7PRIhD51n80BnpsD2avj/id7F4J0Uw8NYMlg5Lz5jFZOIHrQD+W7t9yBHR4MgD+3AX
geXOxQz1BPRfxy2pKPlk4yIsWTZxzuD7PdEh3soY8B3wi6r2xuq95zn3konGuwZrUSMO+T3WGPx/
/Sgak2DcKfks26/YXVjlTJdiL3rF1xuZZ4zsr9ajzsztKPdy9UlZe2CcacsUtUTpujaDQIBNlcVP
oQxS5yFx7FymdL7VBgICyRNZkMIGC/V+RRndT+GMgWHYaLGdbTmeJV4/xL76H1j63ISQhff3JS3/
vQe7EPud/58cQoWxkD1jck5NqCBU0+svQXsUqE6+pX42T6Frw/bBBuaLRScgI5XM3oZG1vPSPjhr
9uIPjMxkq6NIYJBKJnKshyjDaB6qzlSc7AerL+zAh0iDPKHUd/LOg4kBZeX6pV4t6emCy66NXT0W
Ci0NK7nXqnOp8dlkVf/pKoDA90ANOZzD4s2OHiCFzj9u5DTJT/u+UrvHDkz6bN9t/UAeZXfa/MSf
F9rUK8dcQqnS+oF7ZL8IesDWVDK5loW7JZM+VFAQkUmdg5h0nRuPR+My067dAd+/M/9pPfzrPI1z
3hcPM5PewLZvbFh3J6Dt3Sc9FtwVlz0aIhIKcQIeqGHRyd29UYcE7trw0duUBpyoBMeHG2MEbrEI
DUIiuaXXjlHr5507R4EU0nz5OSYbVRQ8cqt9yWNLYI6BbYT1cXUGII32yfghmVbLL1fP1BlyD48k
/tRlANJArQk9Qbv6ZS1P15AYvtelxrYCbqh6K0YwsxINOEtZarfP0kvuHP5bljrv+YOrEq3TI1SW
cBjldudCOzc8KReA9TwxT/2bNpu6mqKxThBdzOvqI72DntYB8ixXTv49AKLV6c6sPLE0bu9BipAE
7pwWkzprwI3sNNTYr+7FxhNyN3vX6NbQT0P5TdERacFYPHzphjs77fdT4s3wTg4UwsaZk3+L5hmP
al9jzimNv4IThUuW40xLKI2B2/lHa9Z3BfQ4v3dEDhlS+L7Z6xPquIPjXJOE2YpmAuC+56l9GRYc
/w7Z+gVPAPB8C5poeGoN8JwSv0buMpoeNjepJb3OmxRPgys7LNII3WthN66A21vp4eVAoUVCv1jI
JwoTu5Y5dNxHLjf+iCQa3F+du2l/kwVgAsV6bmvtuJKiyAjVSJhH2e3CDk81VOm57TIpYsYqSZhz
BCmnqpcKEfrz7YXUSjYRSUGnSY1MUK6eR2t3PJll2vS60CbeELBkInh+iKSttkIbJEVi2sYOqkTZ
/PsbbgCvJ/z9B2o/bCOV3p/ifK5nS2/gdO6mSEkj+gsqBVUlneNWhlZyeSpxvWOh2ov2IlHCDljh
77UXGinHa7RdP81HhqevXKojE3kZOIcOfa9Fe6zSkAXJ4/uF8WN+dIvgUxumdQWGOmbDa0vi/oFh
kNOFa57Qz8qzglxVoE1gJftS/z1hvI5dnVqPKKAcZrNjSIoVeDWKNab9hxBFfr+slKjO9L9qHRM6
I8WYpPEQYJAOkEucMZ3zqZb4UeFFkzRFowb3bb5toIVPOA5x4sonBLGCyFqKTbOOwB2F75j6pRy+
UJm2K8nzQJ6GWSbskkkAoUGN3ZC4jNveogX88fmGFGpk9yneghG4Kvmjp5kjtQymS/GqpQ8XIDI8
FTuG5HViT1S1b+EQKP3ubFb2yhSFUHN3Lzw2Rzj69IbfMMjk4GLdztqq7LaDJpO9HPDgnVmXJWwi
MvTi4RHIS+KiuLmQZedF48rNnZ3mk8MhZ/QFZzDQGMUjXxlg4TO00nh/j25TnZER3aW5zYTN25D+
MFlF+PR8880M7MHkRq5HAhNxQl51SgTVypSDg2b5YwRfc6JPC2WajtmstVv+21SC7EL2Ysd8jnWt
H9T3jg09Oh9XW9ajr31DGhdiOh/dHgi1i/1mrI4yTJPBYvwvPH7iWKAzYbhihCLDBkutltRTrZfl
esEbn01ZcbdKfwwDiW7mnfqa1gr2+HVmQMR7TSfTM/iUh+jc8Pp6e+nN+tcCwBbVc8EfQOwMIAKx
iE+czsFBAYTjjG2gbB+nwxXm+HxuONbsZfNvgxsvtBLAX3Y9LASqZmHCYidg/nezQmjC0V+B3DSD
GRF0D5h3BtqgCuDdlW+nuopjcE2hHpYV+j7+k9KPPE8UbpXfUQ8UFKqpCPOXLEUXJgYY6es8L6+k
2VkW6+qwkGMeO0uelcHZHw7phIzJvoWCWY8x1A6c+lV3DUH0sDdpfq6oPIkD5QPLymRbnrj7awg3
8KAffJyvdXuQ5AoqYP2fvei5sJbPwM4MM9pa5VQDANl0WpN4N+neOJSS+jg5W0eet1FEO49Ho3vz
7+agb91oz8T+i9ODeEjgJKNxIsE5jggNHbQB95d3rujhCKA65/pUX8VlhnDSHb67BbfGwHnw7pvH
6vlhEJvL6vSv5yrTGDNO8EjxcRwkKX8Q+D9sUEMUGqSzpkU8hLkTyEGJvTKnPFUDNQxIdzcxet+F
Hc5TpDvPQOyJ9Wmilf8AmiA8UctGDVFFWQoLFylRv5roJnAWk6gLzJE6ONWB4LHrj00p3i4xnDD8
HpP/RI95iO34D5KXnlrzSKMD8WBRhS13jAUHeXkqlGOj2Cvy3Vjx+YdUS8t6gOG78DX88oQUNoGi
3yfEoApQk/Qtpuy8xvxdWzkZCqYDLxtv4khlWvg086xM2ihGfGgerX2kQuGcuyEg4LEBocBdRv2m
cqLPRaGpYIZlQVUnRS/M0K+zSMRTaN/Qz8tcsYB8OFAa7ezYCBabMGrHgWT07ng7/UBhH1jYkA6n
U8j7oO8CYv9kzcT3xM5zET4wG/35nSSneZjKcG8mAMRT0Dz6ryXkJSL3BaMARVl9bstPRlFCfIgK
ddOA2HBBe24ioM31b+RYRfCDWosfYpVxxqFQ59w4Bq+Ecg1l44B0JXMU3GZDaUhJ1skIl89l1JZe
SlwExO1hmOJ3u2wos5LbwavRGCBPEeWe5Aaw2de9ZOW7UKRB0ig5/bZWErrRmHK8uBJi+jH1YJ6j
vRqtMIH/9KfHlzSOJJfH3na/G15HwZTFjpA+5AkQKLJPbtjB+EG3fwEu2oZLROobpZg95+NjA5uX
2WV1QgofOU0Zq9/RcPgtEavKUbS2dQLYwFEXEUtfMckHp1zv7utrVtsuTNF4x+Whs+jLDpKBGTV0
sIkmtt+KSBw3/Gg40Ie+8ifWmnKmg9Atfz4fEYGbIaOZerKYen71G7kIg1277SLMacW0zXUtXCe+
o5SZvwLiKkKxwBpAQ+bPlp9+IRNwH9rHmYkAIdT3PTV0PVldwe8/WPN2ZMD+rt5L1PEVXOLPTRLN
WfG1PJN9is78aFZFBikGKvBEiSz5j8fpDEO0t3xRb4IiweTWpZFACYNh8CLw/lo8nbFmpNad3B/C
swZcLBx7nu20qm1K84uoOAUaEV+JClBd2R4fcI5iITpycFg5BKK3jbS27bOf5vfMgA4fsMwyGJnG
5ttyxf6L5ficVzJfIx3mEtXgDCRUl96MRgDAsMXrGpI8zbCEcc5twldjshd2hImS2Ch4uivh9iYO
ejXW7SDfVQTSuJzqECHLYZaMgOUV5B2uaHptP4yPo1i4+vaWrgakJqS1F9VxJTW91Okd8yXt3RP3
E3jirqbz5/yF8DvZV/b2+x9iPDGeTDS7pOSsHM7txrY2ftiD9kxU0Dkg/k4r2lw8uzqjiZWy1bDM
cxmOzqHXXVBDmJK+kgz7KeHRWi/RW4lcSRol20mPkDLSKL9SAuyocdzDGWbxfCtiPq4K50efAu6x
2cWAwhFtnDF3xpzZ8PECc3OfvY8qdeDcspxAqhrHeQUxDJ+n0rQ0cS6gc9pDwhUWau2Rh682WYQs
3UAJIbW0ilV17FVs3ssuWaZP9way2qpdwofaM/f/e+HkdJytFANT1Q9i3Z8vTxcWksMccFzwpufl
N1zJSCfa4Sx46syiFY8xeI3Wqr2i59VEMk9NjbOQQooqHO9aONBsczf+/bFmtFHslDMj2Tugfy8I
OenqHMgLatuNImIZPUV57x3kiNXa2E7B7OQRQ5861QEg/YjdNArZJYKBTpO44lMMyeUbA4J4+DiE
2n1pgHLJNJt1v81hKAmHOJUe6slKx3fDoSMnAIjsyBemMjuTDmjPVSNNzKH7uQr29Wm/CKxjOb11
TtTZ9YyhXlv3hmyKjw+/lFi3iQKE9BD0mdAxWZVxDpwjuKuA2VGQncl7eBrlgXmmt6FM0k3rRysA
jUx0fNz5/Gwz9tGg99E5mxuztm7xg6ZxXbjHPAiEwOVF0PX0uTqYTs7TiKUkj5832Necnt7fAkWq
yb9jNACEAXZVHkgYhSYqxLGaFPSseL/SxKtHW+Ov6wKFbDSKs/RzqHXwIie+HmKwIRkzR99V9G1M
nvXRQ2VrfSQCatUhu2tROvDWBPqV2pISzkEcD5KiSPUpVjSzW2RKx+Z5snN9iPF4a/z6SQBHUBHX
VHo5mZs5+6+z38M8xxDwQY6zaIhMNlwahGte3mvzRhzx6XvkoirZb/o16ck8IM9wMLFg7WC2zqHN
dmB0UgY3arRyavUt+aflb/aCzUpz/mOzXCbq9eacur8MZl12ZABEb9tGUQqJlVctMXEw3JkN3Op1
3Rinfh164UYaR9zFCBU9SL7wa6JCR58Exc26mP7X3m/yoNa3nJLkAcoDwFK1TBJvA1pc37hG+pVB
7g1nj60aTfxX2Ghe8sQn11NP1FHFGKoC/lUDKnRGKm3xPcHz1MXqtJNT+cHc+19AoPTtf3SKoidx
6ojkpn4FlSo1rsh6RAAfPYqukNp8tuk2Taf09FiG9Nqjhxlt1S+0qgFy9rPYV3FwmesP4/vqrHLZ
6UJg6r4MWtVvQ7pJI4x5OjeZ0ccDLJPg470V1yTM7Xw1KHqWjN5xwCnapY8COk4ibweLo5Wgy+O1
SBG30ufiSC7gnxCkXx9mKt7CT3Mvr1NdLhqGKoRFx7R8LE+nBGtX6Z+0gSDxLH7IKfclZMAox9Ux
CYVM03z/4rREFnSupl8h3lSW6rambjjayjIExvgGz44dX512/hVHlw6pWkArSWj1pNmMqj9fwtaM
xM0569G+JK+/W6qEiLKyvJKcNKPfpgu1wqTLGtFZp5aVTJziZEEOVg7okrgP+FlSuByVooBRkB9m
ISHW6KylTsiUh4S8d9jbxXMybNeCsBsXiMsybi/lGHHfGpCPh5CoQfD1bcrrdBppK+bwKGqNrEPr
Fj9t82yA+fOA4Ens4U8e33gG/oo76ljHxZC+E55Jpr/036CuRAuLK5j5A5wkBKHTW9qExvoLxKVp
7420I05zDMK4d4SfEhovBRM1A+3p5iDQDQ1+0j9rvuaNwISQ6ohuwyOczyKXB+0bQqhMnZEWz2RP
/TUbaAmMy3LUSeEpSWQKTpCtaJ/eCgl81gDGX0mgxVNxWpG9AQfVkY+S0ZUtVeB+6yw+KSZXuY7n
Epy9ZkIHitb5STeCfoyWfipQR8pPsr+3SYXjQ7mZJ2tLI5iz71XlI5ZP3rKCz3fQlmBGzJ+nlIxm
RBMjZKVMCU7oeIIKS6pOgxMHeHZ+fh2tgM0PlTNI6uH/ds1BGLRghcFMH9NslT/2DSBZ9SPfsIgt
KBoNqWj2BBqs5HzbHaU7svS8lXlhkfofNFScS8beVfw9X5brNkwbUkMY+LddQSEmwGeAK6P4bTGd
I3dwphX02E/MXbSMl69QPsSb0L0y/URlc9f68GYogTjm+f2SDZj25seyIkTvdxuRufoweWBR0e26
MZYi7nLGQlH8gZHhzf6/dOqhrD+KYuAcDIRlYmlEX44dWrVKYj2xAWYPIo8FFVDW+/QvXxoRMNvE
f2gv/uQ84ljtYreG571wfiwQZGswgC41Qx88YK9iErbOXFU2tJu5g5cKR/TI+Vkod4g8ES6RqAcY
XTirmTYMsMszIQPXRJlJ6eb6FbYZO3IkCgLZVx0X0eELBPwcpLlZRfEx3BGQGgx2V9SMfH5FrPlF
Jqh+c8fTFXa9VSug7L/VUGavgMIJSFGozCZWdlgci5/npkVh/oFebQfAGHapROq5XEVYyT4u/tyN
9LE8dNCxLnYblLDke/Ox2OyiLWq90fJ7AeqLto//6B36YMuRV0Sz8TAil+3fzzcFxBnbJ6a1zKHz
tMIQRNW+vHEsnsSdGwk9/g5MRDEvN4VBuw+Uevg5vUzFj8q4X+APg5i39JnOfFRvKnID5/ikRvh3
83/KgaoIL0AA4ICVgH3lJqXnZAMsnsVIOpVbY10XagfiPEme59J4xszA/DZi/VAubmm2R2xLaDQK
P2RDHSTY7JNxB7IktUFHD0AqxbTkgRIh07iyvzxMnvsr6w17nAg2Qd6NwAudpPLj1nSIVSWAiDZ1
eO2TUbZBjBiA1eR9GXC0Dp27rTI7bgM5puSdfKyTRrNnYgX3/B6o0ere1svHLbAEDqCvppw0GQdr
ibHOHMrYQJl1tbu1dGLAldDnF2iOmVRRr4A4fTxaoLTQaVXM61nWURDYPWansEwY8/xnFu1Q2Jfz
SoWfe5Rd9WIPPgNbikV1MfkDP5nRcufpndPGohpjbc2PF0ZlIWxQmiJt7PoIr9vee+0l1/fEDIiW
coZfGhWsVFt61VHmzR5DkSHh6BcYqFrAWcMae5plGhrokxYLqfsdslt4WBBjbKZmp5iIeq5oTkcj
UqSQ/1qWSigsl+zBsMV440dximXZ/eRZzjflrr416dvTPHE06bwh6xM162CNkn7oRrcAjcMEKbti
JcA6+hizPEgeRYuVZ6k5jB9IayZkUT4bpUTYi76kC0cCk/az2ExiE5P4BybugrLtz3ub1Kr405WD
mTXfkkcZ4dmS4GRv2I9M0j9A+eCnwEBNrY270I492axEtw6UfGbqStEDL/OcSZvwtZocYjXTUaKT
bYjP2MbCr/RX4j9GteKMQS8oZFOWOZsKVMiHxtYZwVtLxDSEpRD84bveAAyevErS1ZeLtLKPYO1H
jz53nIS0RMg5hQln7ZUqEQwPvNbMBuFWvoae2dL0BiMuATjjpAeKDXB5ibonPIWK3+9zrJLCmlew
qGbYcZeRqIGkzdpL7dZfmF5nG1R9FI6chn9bTJNuhQpQ/fr+Zv29WWiF6LxaMv0Xjncj0SWqUju/
YKjVIVHzVJFqZmEUtn4YfVskqFn/S02vodM/JoF8Wsp/xdHIHBBujgJCskLEPmR70Ht22IsDigjY
HgISIMYs+eaJE9c5UXuquxtVvV3DaP5w78qg8A4/hS4tbcEoHZeYxBvxCSLtPSpFBYJ5jieuvG1Z
XrZ8nGanwdiOJuU6doLuipEcbg+5hM2O23di3zeC4ce9DBboMQhiOmfWStAD9lWLQY8C1oGVA8Eg
IAvldYTpAYp36LSkSZBirlYbkD2MlKeqmeWghR2gw54eR/OSGTOv/iHCbe9DGxVXkw7KCZ4QPoPM
S/Jo54sz8gdZ9bPEkI2uZrI66fIPrhwDvioiJWT6GjiZVFWsK/O1uYdpErChZ/0Eisadu8GNArmN
QBOTfcUrBkVneY+51HX0N2tvBYIM83/rtv1Vlu0BwjQZ8MoyoN3jabyDg0MEA3JNXekXYnJ/WkFb
wuGUXvIEY9Pbt0Z7pHi9juqkSc7wzPcPgTzKmMaWoOkA4YIgtzXPvKKk96Q8cezYMXjR2x6Rweqo
i0kY+EwNOFMtChTIBs2codVTFS6YGslbjyfxjYyAOoX8cxWaVY7iUxe1ThQ2FcLK733okM5vk4IC
pq1JuAXXlZh4AP/MSV4nnWIUoqsy1BAi7KRg6sgKMqSdk/YOSUJzqqufmFLs1JU2KjF00Le1AHKB
k7CqkTwYXIfzjz/oD2ICedB7bRkpbnibv48Tb3SbTw7ViyFdlgTVifhU+kyo5kL5/P0YkukEcaEp
EKoiVx5Gnf6rBNJZZkhJvcrVK7fZaGTNy5GCzVuj9rWMaTpQjle97ivOm09JYleUvGfa5+MH4Y/z
wcUy6RVR7jGppGFJwPZSoJoY40VLuwhCxDzLAM36KHjo1374ZaifCj3oUxdBV8duD0oewRc3ve2k
+au0A+07ADK6OL63XgoXD+2UZq6Zx6OQWPJhINw/IJIw0akuGgHnmDUoCsYdAoGvW8NvXzEovQ2I
c+Lb7oaCgY9UnUnQYiZpVHh0qMGdYxd1UbmFCQUYSU65w9EGu+1/iCCK+8jGZPOS1F8paTcm2BDM
AVOgrciGyA7EuIi0qh/WA5jyQelBEgVKL8bifkD3kt4Cf9fgWfnG4VzAn+qBC5H1KqSAbRf5qYFW
wSOq+Or4OE0q+j0l/4bXxoRCX0AGOnBicqLWEBbUtPNFMZ84XZvqY+LChYDge9K+q11nEyiohi/L
QxFOG5hHJivLJOHxY1XDakoVVG3O9R7rSy1Fjxw3V1AvCANmsn+7stSxQOW3Riu2Hn9E0m2f4XkB
IklZLtxyJHn7b3EQOU2H4//Vu+r40VdGhuLyY4hTESquvR4sX5W3/UYcvStw1qxXkl3L7s+aINuM
rSpWRRbcGuyBSBWbIf4kAtklE4e+GfhtZSFFsfQGPSFZT/oOW2zLQH46vGwGMmcm96Bn5euxw/OP
9cC4d/mPU6rO49o9L44RZGbZQfDU9tZRHEY50R15uAh71upKT3l/biBgWcrxXiOfPxMQ1jVoSitb
3eHK0+XBhns7zBkH8VieAJ/pXr4PMn0Bkjrr8namPaHdHjowqALkNJTxUTdDJHOrZTjeeCh8n+5P
LyWJAQ1M9AQo+1whKJYZxSM5a2v7eHQKtRcji7ylzMxucu+l0DcyZPYHRYAD6Y4MNOuqRs6ThIlO
d7oOyNr7pk6c282sU5ZqEXZa8bjPGmfCySqbPUs0PHgipX+b7Q+XCPtzFDgP9zC6MkUS8+F6VOK5
bcCymaN3mQ1+GN1FCV/Vh7vZgb/OAfnAB29Zci6pF+HtdamCzwJUjz6HtARjrNjb22UcrdLWn3Bi
BdswLLWBCYwXAUWOXB8B8Y93lHuNOlEtU/wjpEKw/B5Qy12Jn/WkEe4R4xWvBvWwO1XzG5jmeRb2
KlsxbOGPg6mygnxGDv347kDbJxMlhmCsJRfsafxHGe8yARiLAQJ/od7XGIhC5AJFFrRJb1LbgJ14
+0Fyp/D5Jkag6xv68c1j4KLm5Rx8Vto5NK9bJR4J3WQR2+Z7E4fR/Su3jYawbPYj8xmgphefD0e1
ZNTXE6xAlhOaCGDmYoUc7bfnCeIGg2rybyvgihroks79+rg6+uCGU/sqORKxmK3FHPkx9Pvmv2ft
OAGChnHozQ1VDtjP3fpw1lY1r+7pM3bQZs33/xc3+dEFtZXizE5wbKvGxpdLvdu1nyDi4Wv1VdFb
X1vOVRVtoJr+HMriABTCtJqPYLCoox8lT5mqwC7nvyRPlI+9HKpi7JGc3teBQh17DmpASGt//FR+
KxPovYUd62cRH2Pt4Qvm7TxNLPB9L9ARotFnC9MLxxnjHisx8lTLWyxDMjpU3OEpMNXsmEvfBE2l
5vbE8ndgnA7iqk0CjGYcdKGppLma4fS1UODv7ew6RzuQAR2V9J10uEIFpiGFWHK3zyL8+Mi49q3d
+5qiva8mJtcOvcZnSc1NJHpOT+RfOJWQdqDA5t4JKUwaVKVKpHtDYeLYCL8fPplmqwTM/zVmD4iX
+FXEsVFC7j+5Qng3GVWe7LLpx1pZ9uhyfnf3SvtbTj7L/Vp7L2j+aHGT/cwzdEmT3uhL03CtaN+s
H+0TQ8EgxeAW+wciKmUrqoH0Ee5gntsrNF9bUVRp6aZ3kpGoEk4SqYHaY9/0Iy47X0GIQ0ol/faZ
JN5A8NS/SY62ExlDzYtqv+TXoYFxZvjBIL+wQnM+VzVPZXDHsFZ9+FsQnKwGg0mtx/oFIfryVDly
FTUZbBhrIhJswZLj67kx8A09IC6/lvyHLwjMPpDSZHn9ap81yw2YnFHKMIaEvN2sGMm+VYycyBcV
uGGsznuSjH0GGdvz/+y3Fu0DSya4eXItlWYoiMBJq8wNzQGlDVjWwmsbekRlZFYNtB0G4qyjT1Wo
QBMCYV8ua/HkHFsk9E7huolJPF6wy7D5ciaw9XnNDdTF9IMOvkd+OPAztvHlHfhITPSg6c9zcRwT
qWzKF5sj7f21gLRJlpCXpK1TbtHgI3jE93OXChatbSHZRslkRaxWD7h39qMdEfHhKIF33jPH6G+7
WSDTfP+xwdmf9x/VqiZe6gaiZHOknf3TQjb9BatgGxCWOO/UsTDAz3VvCduCbPsR+9p+US8Kigd7
uetpwFxTSkfLoV3DKtShjpsMJyOJkgNs8pkgZvif6vgw4vnvH7BlL9kWnszfnnRjPGosz81WWdjV
UR3v4efr6PC79UjS+ek1ZgEvn6lBHz+vmDQTZueWJXHF70Wul1MZu54cXB4dPzp7srncN44u23g9
KXd/lfNfD3lzvpCKWFL+P6Pe+hwPrXwbMP2hgnntyFHBJLkWwAidT9rikyivdt9Xsq/u2kRM4Qtp
aUxmvmxInnVbI/AkVSKEYD9xhYzxFge8Wn06+YWtQhstVFkUVdHgSYhtIIxnVeky4oA7V6BnK6dn
trf0Uon6F/xHPVDnluDIJQgsWOKoDx+59wgFBOzz6cjjZiSj4Jr/+L1A/aEloD3ezhtGwtnoMu5n
kx/Bnsgv+Glc71EHie3dqMzh+HLdf+yis6RONHJR0TR373g8jC4VVLbFbpS7ArZ/3xnta4E8gr70
ZxlcNWYK4aKR+hFYE+wpgUvjpJPx82X+mH2hBfPHTJ8BYihoIjq2L9g2dwAfzd0Ajc7rfFK3E/LS
nIUaCnUGX3rO4neDEiwBP2BKeL/GFiLd4aOtvXtsnBGvjpxXg5Riul6hFTXy7HnNeKYguSwGcAzr
wn/1CWYrR7fzkxPwDHGRsfHOHK0FcjE7v+us4K28nzfkKvXjiCHeVuJrtUc8YAJQoUR8jyC6zDa8
e0E8eL8c+dgRalAZNq6BajE4gsLp5sBVGVzMfin9uhksNKVEIpUHObkJoukObjByf0dTlpo80utE
54PSrCv0pJhMls9YLblQVvVEe1igQojH1CE1C7m2CP2JlG+r51PfWiU2xsQhYMFKs4ezSmwvqOjG
kKtPxQfBhxxShVdxDBOzOAowljfj//23iN3yue4WTcQYts1gZvc805j2nn3wGBzv6+OOP1vr3GdZ
IvYB/Z/s3J0/BlDaMK0EA66Kf04cytHH6gHlw5uaqkx8Fo+yh/czrv12x1I3MAPmMgnbx91oUTIm
+VJiNUji0xhUw7CLs4f48rfr/rPaB7rvA3vzkhVKLU4PYYvyAUkANuW8PZAJb6oKtOxamOfFCqJV
nDbAXmncxsoIIDd/ORV2TvglcHW1MHGDYhqpQXHzP9zAR5YxlYY+HjyxJmrD3mb8ph5/bKAA5RhX
wKTeZexDK2aXFOw/JH59G7RroVcOPgEPgwvv/7wZOnRo9Cthv2cQERA2o9rDs8nCRElOq8ZThX6A
ly3vwQtGMkduYNbgcec5mjQfS77TJrUVAZjUFPQmhjRfmlQy/cbMUzwkp9g60fDdrecHPkZkTXFd
J5KGnVJENL5MPHX9YFV97vP3yl8Z25WFoWVOgXoFmE10a+ImQWINIBwpdt+z44Owu6Ivkub5hxr/
rFqqQ+o+LS2H0fvsLgBRwz2S4/N+ma+9MMvs3oNLYxxAtJUG2k6iw53HsUUFp3sTSCF71hOrkTfN
xLdOR7/uXxp2i+S6yfdHFokNk30jy4dDwJywHbigokk4WbpTeKoiGHv/PvEruX79tSAzk33HwrAU
gl0UvnFAcVQOxdGJmqaTDeiuau+PEbSjtLUoWcwm0/YVBUABJEjBPN3cW1JuPd0nh/iCU9ynySBq
JAc1OlFxgMUKc4PKDpM5qY7NyjJg7bubhHt6r4spmsp4i0ZR/zKgT641o1uzeoIbnTS7D1u7WYAU
qMPQFZEGh35qts+wxAiOfaBNPJz65rApl3TFdmsWX0GnXnZSSWY0JCCcOQ9pQuT1JfdENukTG2s9
S4NG3r14ZQJlLtbpf9PrhyIXboKWwqeYCCmJfC+/CcfIhBvq+xUQsSysL2OIVPkRQkFBDQ+1XFs5
OmvaUmcYsC9GrBoIAnS5eeZcYPx4RDM6o2uESRtaRdxbvP4J9hNLXe/yxpoLjOfSHmj+JbbJ3w7K
DPXgXpKEoYFxWGqw5y7KBBliFF6t6C6Cs6xICrixvjyE/SiehHpZSkl+EmUIwSD9D1ezkwPJQjzb
CCot/01jCPKQJkNHfq29pwdBgsnLsk9J2+LyozbP08pjN2ILCIHOKcddJYJEBwUqw/HzTIA8n1eZ
eH1NzlkYrtA++KZG+d+k1k69HMmVvALT4hDtlVmcXfBbbXRj5NngSCH9/37DQjecNFYD4IhM5B7c
QCfyoC3y7PN5n/Mef7BY+9et//5V/F8cJ/liYAszYniFewwb9guDuoWNJFpiVBArAADUo4f/NIA1
1xPJMT1b/LHzfFSiT40lQykNM3yJX0GaLzM+PRk0fNarxpgE2hTkhLvHsJK1kvByG0knsu1XNkzi
0D6JLySWv2WREdHZ+epWNrBjyP28z8cIT7ZRgac1Kyj9PpOiU9h6uaFt8liKjRGPFnHzwnR9vGc9
Skpk7NE+zOq4RNRTtlGRj47Ahd55Gclf7sMdSMD3/IEbLS+N4xiJn5nJTnNFSEO7hkzJAVxr3oah
8uSnmawlYKnyiBOlducP9A95bJmOmJ5Dz93HX9DT8sTOVndzs5lZ6yxvnvzKDypy7FyQm0GhD1/0
zGI8+nJPOMZlmesfXkkTlfvIJfRzC7ZLcjyboHpeq1sIqCtAe4yLawL+2hkJSHYeaGOF0YHZyHRR
TO+vFUmgkM8WxD+wvmq/FvqIuR+TKiQlyt//583JsF1IdiiEcwC7p9rp+D6/HFQHN6VtVDedIKcG
kws1kpkmMuBDxjaZ+Yp6zbmTRfDkgnnLiNa9u2T9/dokevp36rmQU0NSCHaM1Zr2L7lOe7eF6sLA
ui66S4l0kXMC+dk4ycUsIkcMjWcTZ2DPw0gt7pwCOmrI/XR8XCKUE+sKW4GCMei9Qsa3IBwHOJzQ
jgJ2EzvVzrKOy2oo/FA5D/SEHGLT+Kjwot40ORncaMhCoY4Clmj2CXjOi8DRsBacW3MKKupJJH5u
vCvE74WsebOc302iANxZBAGHCp6JobPfH1h5GLIGNTElQsyPgkcv8i4n5bLnWh4p+nKiNWH8jOy4
2KgssCtkCGF6iq7UsOFcr4OZwe/i/A16IUl3EUthFulNVr/94co9AVde1JCAdLoaJqJaHA74Gy7/
1B8+UpFx+QzXZrS6E15UeyKKblznSnhSfNWJvBrcACdiqvOjuqAxFt+puDBaXeWheALTBn4reRHe
ElmtXj/SbvC4gtekXsIsLgfWhhPgHfQk8ET+ovjSUQcOszt692fKqe5fsTphOXwfF9o+cyj48BFB
bQs4/hFP34aNtodVPvBkb6eCdf8zLndnWOKbYjoNzpC8Ladn9DToufBrkIF/iO/8PNuv9OwKhLPm
kdtfkqJuyEfw1kSNDKlLyInTNLW2ePY0gMUjmLbJ4PPrg4X/Oayr51qvmIR9OSh15lnrfs2MoS5J
UbAl2gKPxeUQn5sPZhaYF9FJ9OfaBu7tvNI3AoDlY2YIR2RwjV/PEP6zpEEP72OP7PMQPBHR2CL/
7tura21xc41DVx1kahbztrDduUeIId336X16Dt4c7PTVXfm45PhzHMn49Qv0F8q8sFi/jo9A0rOS
YJOdUB0Is/rpFuXVhqfnzIaJMON4OB4No+94jLMzygsJBJXEZ97DVVnEhDQdzmIivMyfyd+TywKu
EWOhZYjbD8NQgcBEw6Y/iAyba+DPfjjxIVfArFeCeW9czumcM4VIVuAHNH8aY46Guq5ybuaP4Y2L
Fts/toXFIDfgQ3JyjiXKuiXgQG3T1JXx9Dm32q4kj2b67Tuzx8xUocm3EaAI8UK88QEZN/qez6Ed
3nuy5WMm7JcOzFudt6Dfa7qHi1X4dZUFePQIuquLpGsinyLxitz4hIkduBWjh7RRqJ2K+6XDv6LX
GP55uh2Qz/RZYdxdlXfCnX4uIytpRtunhfr1b1vnB4VMqxo/J8NWUBOjRHr52eE4LmAybuzB3NLe
3Ec3j+6dT92ar3AxgpnFf/XAH736L3y1hf5YM2jPMuI3wVKpMRMeJpliFfNEFH/e5d6y8mF9kNRC
BXhpStYzOe/QG4QDJIzCd+x/cbVSoj0YItH1dIHbq1Vz76t5peSQzyw2C6FxD8QvMeMtMsgpX5BY
/Ah75Ch+Mb1kz7gGxd9fPc063qgs6329fD2roo4KfOLduJLB58MOCdOoWHQYkHUm3fFDc+CsZDmy
a3Rhana9RgpUQ0ZKNzvggSHIddw4uHScfYW7GOUa6eT6HRpce1a+4nBJQMUfz4FtmdnFqBjpSjRp
wHYbuahXA3xL6dEoUTqOT8nOpqWB2vM/77gEp58MoHAolf2Sfj70ct6zKClxVITpgefYIE5wS11X
viULTAqC+qkAAxBWAMvx7ESJ06TPkK0yOFKdqhLhC3/A/Qm3vU61ZwfFvnVog4g3/Oz2XsmTmLvC
1WewYLWlO7YNg+r7WOjcTLK4Gh+bcg+y7vAr3YatXfh4zbTYrGs9opnDQtR3xJYgq5iUdbi+ApTy
90MH8NZuh34chWjs4nHtpcbrACR+xJjBf8G2wdxwlhTwJ/5RoRcZc8pVsw1PQEyPZ4des9BeLSKp
dVuyyc5Vh3XLxbE6xldEYlvMHgvyFOSb5W0fxPJbs+ZaDg7oSmJk2NGPIv3KbQfDs6Cej119dLPN
OynQdxJbHnMMuZQOAKJ9wtJb9IG3hPPfMRscQ/mF3rGq0Q5ZXL+gRQEg+WVrCNE+UsSGDcbWqlD1
FxzIZn6VfrjDuFsX/Oy6ux3oV0NKp16C7Kee7pztE2/5hWxStriiG3xXpqtUy8k4L0NJubM/fIre
LdKnJKT8cSAI//XBSYxhFjFcmkfmxWfFTX4z7Ii/AMuOqTpaYBOkDfha2e+Jpuca1rKeb0LvlbAZ
fncyyAV27iHbAtF2xH1E7vDjhDLVxTVgRQ1gzMUnpOECoQ7/vIqRyQEuauLMu7J6gHg6uHFQkVkw
zbCoJZfvGW0ydrAYvPIqrhpcwGA39b/d/lgtzb5LWnDDLdjvOyczuRxFmpUjAskE98RxLX+qdceI
ntD8U8joPX+hdoo4OzFHR5RDvwIsxn7GxkNS2gzO1GW4PvkNvju7wn6otWXAcCzINriVB1LqT5CZ
3jo6nHpv+3TgXjSGinEOyQXujGhlhcFpVVqfRbuO9EKGcCtST3ZwOWUyAOPkVcREjSBSDPqMDPui
QkLnwRRhsgyjTwGnWOIrrEBc2fgQ4BBnhyfNat7V/XL0nXPnwyLE56C/QwQCMMp6AuPqkOw4Ur2P
erpGCJS29alCAcMr46auqioaSocgRWKBZYeKCik3PYl6Qnz9slcKMnrrjQV2Zg7P3tyYs7kEJ2Xq
TbRcHam3FzbRs11AAsY/PP3O0GxPtvT7Wv2wrFZsPZ4syLZudRXezTrNuMgKfvc4OmZYQ1/WGdFx
62pEElt9Gp1mnAeoOfcC3r++D1VffICB1hNads3oQgU8IjIquA1k5qsBZMtQapCBcDOw0Tg4T/fQ
vmjfhqA+JTt6Nh/8MlPsZMO6bAlquaFCkSljMgM4FW1n9Pz97SmYc4SZYQPdGMvHmW9SEFNl4RnN
StZR/WaF2KqNqq57H/cLz+KQEc2vFjrEzj0BRbQvH8IeOIihInIhFRVEc0bDjv4mlA9B8aigqpVw
VeK2UHO6+8OfIPpQRozHpK+PfA/IFjOV5n3BKcvaAz6gRw2jtUaAmNWankNYonaPu7gBoorgKQFs
xCfbvBGoC12wN0waXiFT1LOxudnSJCAp9wO9fSs6ZrKHlAo/iQwpaQ54rqsY8TpU5qQGeUXwxKgS
i8cTvWyD47XAFG9EvHGjuUqQu2a+40HiSUm+C5r1QfiarUDJlMdRw1jIDun5tfdePMZvzVBU2zD+
IyIAcn96N8EQhmL8FIKHbyZ9hMPAi+QfNTTgXnWr6yCU2WxDKKk2h29O97ez1bZvJ4AjGFh09lke
EkJ4si69oAAx3xTr/oQcBUDR8puefN3bvBapCIAo97mQ7eS8pVYCVy9brB24lAb/Jux2SKk1FkJl
LroMEKFOBfBc50ZkViesGcSb6KiOJH4RFtQbqOAOkctdiidzb9XCBeSpIcQdguTcMgsm6X66PJcJ
9dDjHEbf41IL6GM5wDvdIZ6GGH+iDOESFJ247Di4lA2FQOUl/3VuT6E6K2dJ5BjxOiE/oY/qPcDv
ZiqdLnqnfHy4EJHtKWFmxROqBc4RQW8jzJMLftdcuMPvnF9hKSgY/z5TVLNPrGFXOhF8dR/UV52T
TYvHEQLH0mZwvNFFDi9QHUovYDK09THErXqjLDNpEbW7AKEdS4W3E4hIWlZtdgH3K8LmIGfoAADQ
IZtSL3J3mVIYYWDBk+7nzJD5m7vTOukHo6fMfadN9y0AqIj+MG5DrBCxiqLgiEo1fx9FLHzw6Jvz
WhH4vzdLlZfxX0vDCD5mf5SY2/xXAfoRInrK41lXsRGRlZM1+qAu2SESGNT61YzotJCguZ26rvTP
Ex/k26BUCtkK5s+E0ufc77/TovQxXWGdjUWvkVuA2ETARg9XnVjHdgc9jATT50MfegZuvOrTZxcJ
nV1HSLGokMCEAEQaMiDjeIApWcq5vec/wwyodB1zl4EzhXIuf5Xn7MhOjyJJqXDjLJAeGQ7ZWD6W
kYp+GIZAf/vYHXVof1jrxqK+pBrVITD82ead3r7SX1DPSJVQhZ3tHAxaYnipCa+w2JFbkN2Fm225
hacgTNqDCMbw1r1UGwWjHW1OGEb0rt2oMxcPQ9hyYMN8kIMsSsdDbQsMxW5BlRJiu6Lj4EtMhFcK
CKHHHMS1PFXxzwQKqlsAR9KyvFnyJGGZztwHgqDznXXEOlsLICy9XVhhiXVoBNRwrCFSQXkLpTlE
/51AzqdhKVIE6cz+DI/fzn2h/phA0se5TCg02XGTUEZXFbhi2Y6qNTYwX3Hq8CS7Dp6krGFAH9+R
9Sg11HNlxW78yKTZS46B7MhhyVRoDe9zIy9jnKsaCe/SMvFLtR+qDnRneaWCsLcPmC/qWbIRYiP7
5lpuAKe0uk4RFL1ytCwAGyQRNpIOtLqL8sfMtfU+9tjDmt2FDYDT8e8hke249Lz4Sq+I2W6I8+fo
KdxNlOsUoA8pq+Na1p8G/dVzKabG96xvLyZA+qJCsT13C7fyke8Xh8miUlbk5H7HhGwq/iTgBOlx
dSr04tOJYk1DVgj2A/9Ca/6WZ9WDF9nhSmIXaIgovef2neakL/0ZnurshDOcmhG97JlF+MtbvLTT
2QcLqOOHNtXihBonqcj5QTc/hCjsSXqZtD1jY5dq++Omb25N+b4pTXo6/Rqa05uHiJvaq5T4kv/0
rA24nbdftKDoUHK3YtviH1EdwLqMRiauBwqku2dwMi/OBNhdHprrtBiwsMiDNtHBbFfR6A5ebZca
81YFSR4vr3VUHzU3VtLjQhKMcRvU43w4yKRjB/pR5vDiLkHFAnaXcCiCg4aEtAuI0LUopXQWbXC8
//xcEORsPWqJKDuEuN0TAn72JtncUZa05nzQdQ4vm0kGN3YVjRn3e7iVdEHQ3f4jf+sL3W3unScL
CcFUgcLso1uLJ6kglJt4F/k1f2KzZvHziJ7gFw0qo0EzD5th5guvMf/RfCZ4Yhfbe0MFoMkEwpKU
Ohm2dFYnsvDbHYcwykTlPMDTRr4JBSsGPXoLVMyUXbLoreBcZmALoS/PY0S0VCjuM9vyo4kqEIxM
Gl779sUO6LokXbjZZskIqMUgn35fOOxneX7CGfMrKG9MuQI0LE1+a3AeN8tmZBKKezPb/eOLaPoC
LDwqJDjRHydCBOyMT3STAcht7QeOIbC2wKr4qkXoSL+z/wUVfcHW9iCax2wVPZxgTyVI8QIX9YPr
FMy6rt3yN1ChTVv7q0lNRVMoLY/25OqximDJKlWKX7s1P4FtPhrR8KX+SaGY+D8yEuFJ5SYWae8D
fqi6SY3IwUoGNzkshamhK1qNeG5viq7+JkJWuxi2K0mAIRk7Vo6qwuzZQMrSmBceOcuUKqMDnptl
n9kRfEbICmbMc9JBxVm73E2yiOzy3STejYst877I5AxmToCBrDzYArl1X1jmY5k44BDNKkq3F8x0
AtGweQAn9MbiWZbQDZ1EwCX4cKr/rqL6Jy8SGIvMUgIgO7YAG569sJmO9M8SbSm3B99zWM7agRxb
Z/YUixqswV/N0vyJfNVAbpmi7A0wD/4PQCm0VcpYlGHDGlxfXi/TXzBgHuAvFx3GZZ1oR8u+PiqY
PoBKELGMWqMuQ2/+Ta0ukAUOpOiXrZ4w1RFxytqQfS8Dwu3ZnIBmrSZbwbMjZ4irEFsVCnJ4jsou
AuyTJVNurqLCj1h9pz0MHVADxqqXB4724VAc4jutoUuehN/kww3W9h4G31O93BNef9FwpeRC3zGc
qRVJv/ps0PveFoJuAdqJVLjRSTIAUSOv09TNeFaLlE9uQh5HBDjsouKNYk1p0s7ZQKPHjmntYRR7
1RDIgo5kqZ116vMZruiLc/h81Lf8AdZoanRc2EVrQkS+QFSo2nnaXsTs3BfAK4zRtNOu3EuQbj2M
i+cjW9rdlZamzA9Rn4Z+wj4SbGydlRgQBPLR+YvCxEaov7SjHsG+w30TBgwpvIC9a2ZkublKe781
GtOMMmk+5NLjeMK66fZGyjqw9R/4L5PlzSydujCfjBnQB/n5WHEsY2Y/9KPyUbgqlt6a18yIfSZw
7HSW3bLYJpZ3uOhUGLlF1NQX0eIvHyoI/Wxf2fRxOzA/PsvX2uFe/zQjPH4KYZaYDRLnP7zgMGmm
5jFDcMwjDCipTTYdMO1wix7mVTeonbF0ZLYnCc21begWazhU+aQyu//cipL3RlWz3L1Pmo8H9E3+
ckqWdjUhMUmRrdcW+9/pa9f9uRq+CNcoq0iXU6RkzcebJVeqj1n6IqZt9CMpRA4XT+6CF7Z7ENT2
F7cumzO6m17RZIAfqsuBfj22bYsR5si4jfxYSONje0MUCnzwMpgBOjnsaDeeHUzZMKX7kdVdJzor
UbcVprSHYkkEiP+ZF445sKoGt3W91ipfdoXIhKEHkrIeyhNWHuKhnCpZ2qXmEnNwhFDd9LzIVPCy
oagn6JR9avvNjkO6E5rQ3H+Bd+c9gzug53OK2MiNMhnjXa5GMAPQsvPu3eTN23DxXaDUco0K9mAq
OlwMYxr6TRzv4c29IasGFjFb1SjiR1A/HmjQBCWjdC5cxjaCUeW4+AO51fU32iYw4+fs56UStadG
OTTg60ZAZQFNNrGJBLhQ3zHOwNAWRiIAeeTxyvPZ9dyZZYoq64TV2r5mwfhExJzIqi1L+Fp0LFx+
mFYxx6rfx1M75QFNnkC5xCA/oAGQFisyv4pbh/Fm5ci5vsz1AFGsK7TCzZ0CpuAAiIxHAgyFhLqa
JnoZtZWEwWZdVaH1fK2o83x3TZ9qRJOIRplaSg6nsK8rOxAIyhbWOxnCPmJf9N9EuefR+c9ZJ7HY
5v/GL13HKPbq3hIvMFYd5DfnmLsYKBCBEMYsEKTtLXRHlGIvOlcm4hmTyzPWFwoDkQhw/p9BdS4u
J3CKvc/dtIbeniojo/0jgoxfa/YJcQARXMpEgnJDitrUoCfUUN9rW/tzc5i0z597UNiYqnSQo6M+
VkS4OP3w12V85YvOncEZL4yl33YRdVMYDLiQFG1xsPCJIIa7Qpi2/UbRpp41K0aqLIPlGDkWpwn6
4rM+qKm/g+3PEf6pt1fIf3KKcZHdt811FDQNPccdI+rRtaJLYUiMrK8NUL1KClKYOZo7Utmnq+9N
rF5pfgq2nelvmd6+KATc+iJw/ICT2TMD0ZrbthlqvTW0PxzuhglLO2Uc6bgwPmu5kashkmuMZvGh
mO9eRuE4o0gFZznqn0uGmo3RkXdINjNZXz4KFPf6r0OXNs+iB9w0xJq2cUDUZfhBQmOVfDKwrKBl
Zy3wNak8RSTMKzc1v0+9g6nfa1M7NZZ7f7DSx5DkJUWPwu2B/6ho/Cd9by3S3owAYQCKXD8isCMu
OtJZxoWktD3qJOtX16/sIt1WhcCF+V6fWQeOjKHtC9v2VpH831at58bWWD7CtZp67IaWz5f1ys0g
sw2JZ7ZzRXu8tyKww44KHG3+ZpzOwnNJfE+buwJnfHplCEgHQF6iE+s6kgcJFfv5Bugbg+vHU7OG
bvtiVEUI2wBg0tCYVwTL39eEP7f4Jh+eSMsNTuVqASwAh+3oE8ENo4NoOUYauRqNydu/J7YqUkVg
i/r48twYkXpJlzH7kZXq9L0J/0V4TFuYPwTUC2qL3ZQxvJDHZ2eW9DOVE71lzkxy02ryDwsZ3u61
0K2gP/OE6zBVPStp9RRx2vHZLFL9drIqXGmfWIKUldi7v38SU0a4BoMauGdUB8x0NoxuaX371tTb
r7fysAFHstkJoBQREHxvPvcM6arr89ym4emLvlBlFunh43YmeSlMvZO+iVZ1r1XBZ8bJkDC/hqgx
69xe64kH0b+Fk5Um2/EyFBL3LtFuApg79PAKy5NxV6EdBzuule2E23m12h8BQKscgjQtdmdlxBDg
fQn/WvL8pI8PFCs1YPzd6lOKkDfqhZ8PFWGAwpgybFYvs2poXjIfWZMqe3BnZ6u/OE8vU63Nhd5B
drVJJIYHxciSLIXbEWXhquUbKKcE2iDlSjkaOLienf1xOPwAPuhVNykI1f+GPkZ73OBuI6Xd2J8Q
kp98BX2XjJG6nXBkR+qynCqNJsqui01tbqaGinhJgFCj6QTwF7/myOVinz5jOFkt72BLGMRN9w/N
gDyen/K5Hx8F418rv5AH6xGYruWjQSH1031Lh4g2k5moomSUvnaE+B9Ll4ARGU/oWm2VnSCYzPda
cC0qZONQB9fiELEYr80Bn+lM0Nk5xrPKb847tACDWa7QTrxZvwG3tjNqRWsJsXE4CX84NsyFMtwK
8m8i9b226dmFogdYB85oz6lDwAPd55MWsPAS/JmLwLm7O0a0eOzY7qwwU3s3P3Gb0LtXuvjt6Cku
EbT/0HIzfMeucINg9m2BOBGc33tT+t/aVtqJA2nOl8oNzTGjOfoVaqxzOufLaIY2aha3qMjjlsep
z9ZdygtF5JHZGM9T8bXpEDc9OljphqcPcXZUc1Af6K0REDbin6IqitTviOE9T6sbl18ZSyGnRjeQ
DGzYC5X52w8VG0VUN51ZsqWSP0v9wKNtRnI/CJepVw8ILE4DsF6BLYpaB29XzwxGTZzka35nJqyd
+K/sAfMgOUVEwvXqjIyChgVLYo4qsMo21BSXVPOj8GztzdshtVyIjNLNYLQHVcbDw+H2KLat0lKL
gjZJiAbFW6+IeDYmK+/u4fSOGLbvV1r6GVpd5yOXo3cMI8tbl9AFaLtYBzIRKhApTtvC77/ED7na
J66/56XZQhqLHIefD1wDCswkcvUWUYsvgY1juCEtMFdN5lyZ0qMgo6Peaj8xGxFRZC9hEaXjBjUD
EXkXsGvooZkS16fCuwGlKYLjd28+ByadyBf2BUKqB5N69X3UjNsDOlJFqb8gdnOdFrWVS2fIZk87
7vDb96P8HKEf/jqBwe/UeAL+7ID11wwxpdvF3pwj5oBHUuQdQxziHV7lr/7DmSVwI2QCZ+n1c0Qm
M/vgzOcFxtKirET+YRfLgE7338jP7Z/3f5KC8i7KoxbwtRxV8Q/djeuWZKY49fnqSTvkmPOJ6H2+
0irWdqRU7s1w1IlEPcW3HOiRpy4gTgixAk0xvnrHh2z++WvSzMHp6gFdJJIfONavrHUx3VifLkQl
GYwp8icRgFZoIdF3vroRzZug7p7hqpYiLKHnAD2ukQltNj1sZc2r5OwQ/QXxibFsFuZXcIBew+4J
pMQu2IZ4T0eT1iCUucUtMezskHlVpR/Xj8HA2qyzU7oiyxNjVd6ETT8HvAbNZJOsq91xPM/OzLRg
HrzoRSowixE++fQo2bjulVJOGJqRf/5k9xayygKjLlZVQIUung9+tzprk2ewgLWUwCxmhVBjuEm0
PuaDOpt9/CQQvqCtqy3tt/Km4zg7fYg0k/t+1mSSCjNYHXHhbLpH7w+ijvu1sMtsJ+an77At4Ys5
QEj/0EXoilHu/mkRavOqP8ZXJyKCmEN03izP0WEC/NEXCsAcP55601wB2vkIAYnYvhZ6ytbPvQmj
b7wIRiw535iX8mCo5Zw5TSs2XAFq6PecOImzBdJKv4Ti5T2ssQNfaelMFFk8vT84HxagkE4ZOh/V
0sxKSai4AwlamRPEkAH2JKDkkhFgOqfTsrvqY/mdeyTjWBA1Zulz5Ktq9uSdLbu25ZnKkBFgDWyX
rfxXAgECuJ4L1k2M85hTOYHDffvJXaD2xNF4iEaKYFMNteX6uqCNHejdLf4pHuzB7FcorCrL17TK
PlC4IX/TAd/spRfXPC/tz29oLeY91o9jm9NJ2S44GsRgS5ktNXoDZ6S2Bp4MW93UR8iAdia0E43/
wtDqrhZGM03ows1DzX2DuJjdPwvgzmF+fmSO3KO8YXaT1zbGG/94wuEYY2BH8KVtkcaczXxIpKur
tNU3znhSaacNA6Kky6r7u79VJdCFPyW9RMaRl7DaSsXbqPnUJiP1MCfNWHHQy0CcofWYIp7r6k1V
UTVjwnS9E7/zHfGRNGj7mJPH8Wky/gw/zjeUOVwmT2W7B3GlqKe1Is6gmxJ1Cw1PintJoOXs6/Mo
2SJ5HtV1g8QAFy4ErNKmBRepVQmUPRONl3aKnz+jHWrFktjziEFP9nsUaqaknGhxR5/H9rA8hzS7
8fRDkMLVqOl9xvJ4Hhw7UThF610VpERi3mptwrUnAcIFMVTXvMMRe9wuS/XHhyMOs/Z7fpfxEcfa
5Cu/Iit9JNTZKhFl5LCiUttdrGFSpUGzVXqCU7yn5Xw2wOwemV8vXbu14bB4T2Ekj5L1rmj3lxpF
jqrCni2BuKuz2MYCnUlz17/eLZlYadVs9KG3g/Uz48fcx2batRz7dSZV6QKh6ix3eFtjld8I1WqA
a7FNp0bfA0Yz50EM74RXePJiAtuyhag0A55yxaCYJR/JSoGjGfD3f5csFcOePwUyYpTQVFVxCI8x
gAIV0jf+PX6lR4iSAAh/7EDH5f+mbeHmE3E0zndSEb00Fd+0UzD48VW5eKk1dJ2VEtpIT1bjWbEW
esxTldkdwgwLBJi5RWqpzA3w6FhTdoSHXmM6t5ov3hvX5F+T59kt653dDIEOJ9ix4BXRIlOlF39R
UgTpVsYNlsz1rDAI4UauTewSw3/HyBtu+ov0DOrLFf7LYuZ+mmBHfLvv4eoVH4XT+zncA4vFprhI
TnD5CnVg3+yeJzVSHoHnyuD8GwVKdheyXPv6hVcSmC4L3DAesgDsRmwMCdvMfwwtPO48h5v1qL7s
6tKlYwNmU2xQndywW83EPDrpPjTXmGX5WXPSVq8UHRwGk1rLR8fRenBZ8Ai4tkQmgE7lNZDJB09c
bq6Hw/WFsIQ7E3Uw/oRJmOCTL3VhdeVc6oCjlSp0jQIFCfYYR8G89ZkwafCD4Kb2W2DDPegvDMCj
88ZL3HlA5ac+B5q7PrsadljFWyPDqQZtVw3A9gKVvaAVDvR6khcgj9sRV8IEd+Wi4R8+dGniOTSX
w25oWAd9GdfcG4+eprAFJ2e3/SvGRZM5EQlRNZXNzNotgOLoFKAsSNg7HqGJRwABzIsIggGOrjaU
mVfZ3auSSw2WAwmqoUV9+kLAEP3fHOHqGeJc3aOAINdsOU0jbE+b3P1f4aEVGDeJGllQ3ePmzXLd
FDLqVMe4yvW6DjUYCAxEgxuVI8yQiJS4Y42a9I63QYbYuV6M5uNaC48+GS50hFbmsOk2UXCLl2iF
fbIjSSffq+DhsdnfcWMRb0oV5Zmx9M/ViW+vwgRKbHIkGKjjoIoH0YCh2qosPD3S+ncNb0PpcNWA
O4GCDxPD0CJNaI/eLLrsoHxXX3IjZ1FghwxStDvalxrXU13JoOqWo4SHjRC/3U8FlzltYsXIjB5U
D8GTK8+XTtmBcx8kT3nRANzQ6aY+bLK4IPTgVOQf+SC4jUWbyRFTFi0ic88aec2cExPv7FCdKmp/
6shx5MLnUKe28zgLuA6BT3OrUOt7DP5WrEWtJflzg7rI+TXuzk8uVCu/acNvBhCsK/pWHH1tWkxp
QAyGL1glS8OOPakFk4yTwK50tgrMzIcnkOoFJoeCS7JjX83lQF1FeVZo2V4HdPzNgUDwn++nOuhv
ASjPmskCy8P/msFxttp2QreUs19THlnP4FVl6KwlRkl8GgMOnSWb7POHutOuYtMqSY6IIevjFpke
7cjz3GkhDJVRt+OfyGb/Vuklc1HKmMTWDVVVcZzm1PAxzUHrucl4RJoZaxq0F0xSUTJ9c4P2DpCI
jDvlnfBngodba2L6Z36CfiHG774aKaL0BVi001kmLfWjNcVKREQBPNH8OXawmWhIjEht4Bowbp//
lCkomco2Qx4G3Z7gOAuo7BRZnlLKoSfEJLii3C+/s93FOr5xqaYqX/0EEPyCdDodvVY1QKU1f3qy
qbeimfNhMvC7AO5KxFTjpOohSJKAjn0JzW/xkhma4dKtB4/bP22GX7GAifags/c/5FAIipV0SIrS
+0J5it2hOTvvyxKb3SAJtlCe7kdL1eMOAIpxiRXu0zxtp48DiAS46DJwAGqUOCc7D6MjU75C9Exm
+jfSRbVA3u7LHl2CUIlsbJA0Gn3JaFs3e8HNyBfFbkB4iy7rh/GoiMoTve6IZ2U8Gkabe/qblYGP
t+6DCD7Jz+6Nrv7HTnefRyZZwj3Ts8lzV2g5LvuM6UGTwOUEH0S/CAKzqFXomLax8hPssGCHYPBF
GMhi1wxMBsLd/rESbH2wB7uIqjVOEauaLP0zP1n8r9A//7pxYHFkgkO99PzG6OloQLjd2PWpCYbz
qfCNMeRgMoi0+7rYc6ZtMEK0vdpOhCCifWKCwSMvoHM2lFexeS3gD/+4MSodq5jkM9QkCyfiglwL
JB2A8J14jq+IQAXtPeGBYTv//COvUcuwm+OprTh5qpW6PXWBRIGDSnlNUe6U0hR5nbybQT/Hs+sZ
QnEIbWKdSVsGBUf0d5GgPzKclCACOxENpPetuNEGKp+uoEHqtB809bNuUkQyryDcNFeX/Rz1HJbP
XcJRVtm/zmlIUZ37OsH3d0NBfbPvCyshIDHsl+1FOGDIiTueVEJlh+jQ534Ub+XiRWKFX6RebA0a
Zy8+U4pJEub3XJovSPA9mKz9ChBAZG9VCA6U5dWwN/QKxBcG3krfD42s9vkPrJaEv3CjVTqNdwIw
FEnkUaJt5um9trudpK66R50rEgKFgAumbj9E2ox+QHqw9rqsTtWDEGGnvSKcgeQ2/aoDQCR5GlVo
Yq/TJBTCN9w/F2s0foKQPw/L5mQYRUNGzBZVrpakOWlubkmgNoGup4mpwXf+ixhmARjK7sNY0MO0
gwOsTOBsyCA/4R35U+kjuQMwOljWTVZJyBlHWyWVWLv6noELtr1UY63hhD6j6fAo6lNQXR6DVr81
VKc8e5ZASgdAAb6YtZwsFruIV1Si9BWZgRJlkyy6JzoriGC/EQC6RJ2f9o/BjsMK3CS1+/svsADM
ilcwngraVZ6nsOK6nxcB9Ongasbdh5hzC3JBVW2F4W+SmZm4mVMcsl6y0k3ngSBrpyn1+YoY/8vC
PFawfp/NE9qp6era8CnFNTIiE2HOzcuo+aNlIHPTrA02J7AHqCuPs47K27WTnG2up/ZdCIX/Sc62
vIMwJ5gVQJO+VOE7NJeFOSngDv2IFSZhxXCW5s+eTOV9tgcLYYHNUOFKLjSDTLRuOWl9NbcXeHKm
MvUlMXeFJZd+a0zCZgRYAawU2UbWj0tGVm/dt1C6zbB3QfFLUyAzpVRmzV3o9voA7Yzx1bMIBymy
J1dP3Nh1yV2FeD+33OpPR2pm4cLG16RN+m/mzPICry5I7sEvDNcsMNjzeQ6IvkX/UJuQTSIFMfNt
weKRvSAYmOhnWQMQ8iM43B//JzF3No9a0zXMkx3NBLXB/0mBM9Y+udeTwrTlW7tOhVS/N/6FLiXe
ivRxAMmkjOuZwO6bKdgw0oopI2/CSzXXVt4rOFctHvHgCAgCe+f/nvO9jrirSzLQI6pbqtOS/SlW
NbRmbxGmIrdhgsBIsTopL1aFLzLPiUxINPLEZQd/Q14sbnkFbywfC+Kcw+tbLNQCrLYrZUKERXQX
/gF9ySCsiNN9Bw/dKod5NIYPGwNveomZJuyCIHg9uKwW+zrZ2SSQ6jhtyBE2paqLRazwwQtG5Opx
2v82i9ln0jgeskYZJHIkvcCkR/rqNUZ1guv4DGO9eEpm0JsZ+yTPXHbEzgTGPnDZnmFLdCxoWTEA
+4x8rd7rAiwJ0AbTbUxZmoezV1qhmhXzuaZfe1KYPDQUxGS8tbCH8jvjVxP3GLF0ZYdcJpIm9R7H
NU3AqeUNIpBAGM6Xgy/nogfKs4S3f05hea9xA2QhBvxjDvMKDXQ8V5T75DTfZNpetJrzXIWr735c
4y8v+e4rZU6z3K/ks9V9kikyZzThktSEKrqfSEAShK8njRvFLOv9G9MCPCT9atr88AZ23uQti/+O
OgNaEVpWMdgzzjxM5m/wB53j/rH5Q8fG5AmMYn3LMraAOa/kwNx4f2a70wH3hJPqkecKT5i3vPNW
B8JmV4UZ/k7daskK/mBPPoY0GRYht6FWREurfSY5abRh0L1dKYVOGdm6OU963dJK+t+ZWiK9nmMw
05YaS7rrkUbbnqLnAt0SltJmQe6HjBDT/H/bkm/y8ea7Ba9/lX8NS5R8U16sD3g02UHCkdjXf018
guxHLzQ2l0e69AHkisJyiTL5OlzKth1G+gzdtuYKY+fFPXeoLd8DGEYXPK5x9904C0NA0O5jUT+z
4V7/w4moMhfBC7omLZzkOIw0IqFHqumVkUK7zlgIhaLtYkxsKyKdsWBiOKomMACZrWFE5xjLDSuH
uXIqi69Zo+NQ3cYINRDYBDWOjeQbVZ29y9w6GnZTdsXjXKOwbx0uEywG5gqZvBlPqAc3oqkFbfvW
J7hKrJbeePIuUKGhTHHVb7nO9FhMCGh/DzwTbG/9gMn33/hItQaFQB7aqi576QbHto/wHGEP3HVV
MlLXrxi2gITPKXf9M6L9HYHzJvpKJR4KAO+G3Eu+F3lq52ArHO2qYZNSbh6FKckPA0JgUKxWeZbo
Q/3j+B83m2tyDGj10EIM51IfFjRV7ovhNwkJ/DgG7sO3DLB62y3NC9ZFsz7KcO9ZfNNiiJ8zqWTE
zxx3vP6fcuUQt/dVdlr+kS69qdTtNhObgYTvCPj1Nlt9LcE7QcrwFrUIv2cmUCxaZLRy9uYBzFxG
mCZqFIAtvxtauAkOBL5KzoxXO8fiv9UcZbxuDZ4E/nrKh9fdt+J3Xag/DAC0GJRiQRAZU0TcJDvL
DveriN1/E9Ta7GArIYyeIurY7AmyQ6GyY4gEXWcOr0+EKhFtSYQTF6KczGDDZrB2VAVisIMnj+N4
zs7YMQglFcEErZJLngkjksspsRSxBSKgu9UJ8zBu0kvUE6zSG1t6OtdA9MKsRxWxyG8g+uMAcP0r
DYLUNpt864p95mGJL9agkD5YBMaP9uPVavqQzbXm+UROI9VQTNk+KOve/VN9WXCw5bEKLLnZzN+O
hj5AF/TI4sgT1EHhefQaN4rL8f7NZieuC9Nh+U/NSL+f5kwRPXI2+TdPWcMDyyH3+xvIdhAyV1lD
LEsjF6fsTQ4qAdleweF9vxuOHb2NcAQqXhTYm5YZ2V+d3Zp1RLLdKV4yxPMBkRhx6mTufLnWE9H2
VMdjL3ELC4wD8ZVvOY8n0dULQvLToIF7cUOy/EqyIrlYUMAl8BLIOjS1Trfamrx4oALQVOFIpXA4
/BqDZISfuYuZMlBUkFjIs8lGzTS2AVX77oIJ4+SVoyURRCzuZco06GKAjeo2Jk/UtAQmYqLtmMuB
n+cIcVXfiKxYkC/726cGUJ91hdP/rC5MwGALDdnnFq0bK+lMEZ2q/SVCO6h/z1Q1i1h97QKet3KV
Zq7uKprpB+lxlYhN0JhkrQCP9qBsEaVYeSylaXzYQZ3aMtt9Ci3RAy3NxxIR0gLANM+cU/pgHFp/
UQa93H6ik+hTRO6AtBIk90kjTyjC/v+x03t49BtQrga4mMPCbpSunyIpqYtGchQ1Kd9XHNeOrJMe
lLm4Y8IfIZXhpzLceWm6AGkpxrnILPJcdDfLqqr/nn65MJ8QUdKqO54qgNU7sEiQV0NKDSVj6/gc
8vZG/uD79bJYP0mE6S83Fy8HO09gquO2evXZ8d5NZd84kP/GM4JnV2Ua1+95GZ0IIitWUbI4TwOZ
b/7HqdcMMZo2X854RM8MlX7cQ8Hyowcvqq6YhNUwvpDdTUYi2/rOQqnWaHdqNZ3WN8691DjY+IeW
qrDfnY1SPjLnvvbseTQnb+38r+Zg2rFB7QbfS0B1/Nf5YHcvty5tATlDHFcX9aFjeJHSa3g9ABMN
wzzYF4p9EabsDlR5I+HJ7UK6ItIrzNu3q0hEPKmWeo6G4KHmHmx+uRqV+siVJnXXL1uzP18qMOKc
lXRczQklXcuUxpr5nYv1f73MFPZe8gW1/eJfO3BDZN33C0CeQSteDxUHXUJCozo0DZCtbEfAPR55
icfMA2mEMAw2mdtbXy6Qss7rm2xF1DKffUvtbkiChoLneJ0jxEt40GIl3MPTiHvhbjBwIoWkBwWN
tV7g6wYDUzpqmig3jORbEKwqMbFX0A21yqoXzhdOQQiP08COwbRg3eLaaBgqEFVnaaT5DbM3Ybkg
08NWBHqnLZUTQEXG5V5/yNq3XwRGsi+oATL8Sr8skc7sz95NSJ5hp06ZiEfhPAE8rdsrzUCYJZUn
UZmoK2/uQhkHrqI6M0dJnZzC4eYKePJNoMWbcuIMmGSJqVB9W18DM5aoYohsUWM4rq8sSUHu8scJ
8ENNYsIBPGVWyk/KsCnttcq68Pn29lx3S6U7m6ZjYij09Qzr2jakKDfk8E4nghbhLD8ibiNa2hUe
yNacndOVGV4/EkZUXieuCr7afiNHg/5KqJAuySzCRsOTFHS4b7w/h6YxowYOxZE+mCzEHUIJVE64
yb7eF79UV6W5Be9UlrCZnO8FbmAJFy6XcOkJuyY6rX8ujUOzN8kLYte8gahjnqVoEQE5yqX7Rhex
cXMYBMveXjRqprFoiovszIEM8YYITW68KDyzJ72A0gZuAaIi5dKBaOh+H7H/3Q8PAWzJCc1zrYh3
WeyYVOUSIKkrGVWHe4CknsyZDuX5oWXON8YxPpu4dxf3DOu9//kCUISYpw6RdCgG8AjRdOtpbIuA
OaszBMUV51zF3COQYGMYVO89q28icNv9DdTjG9FZROyIhXcjn9qG5Y13TxPDGKCcPGwtKv4gGiLJ
bz5GahU8D/tHAx+/JAtkUYeg9Z5tf7j1YSFZiXKF/0ziAafAIxv+kX8r+5VPok3tGnDO8OO+gjVp
kaMuEtB+TP5B1t8NyIlUXrE9NDvmvajFQMQpbvrtZqCQZ9MxsT8/EyDmniekDmWLk02diSzX09/s
MQFCA9MxD8wEIj8hF8qVpDXTGAwIrk2sDC1WdrjiMYgtPkikzY0pROwM2RcNbHwslac6rDMgYp+y
kZr37hPLFFMwcPC2IJ04ne5x0WhNXIGl6i14pZiRUAVYiMcAP0UKVZzBmw7LKpNuRWI2rNDNT3dd
1XuiOOqXRJVb0k0R6LzgUhIFPEkEo+XoBAUZDLRxmx0d/eFhxsbVMjpNrmh4Ge3Mx+ZhnB1zpVA8
BqJwsfT/byda83uhQF7PQArSmHe/CGfi5EAcYss2T7Ka0o3308Z0TfmiTSOJIsuK1cvfr0u1N0yE
RszSX3s+5FQlKWYN4N5Fl+zWwi1ZFyeQdLabH7aH8NtJ+Rf2OKAM0ABC8jWJXqREHEVWo1Rrcap8
FzNciqX/T9q0QA3xKQh8u3sjha5QdTcMDXx1aXpEDfQEiqxFT4tzzB1utVnQxZa5pHv9a3nQEl95
1+wZ9u5j3Kz/Wq//Ej6KUkZ3pV+LptFTR13smkd6xvIsNaF2dNgNfZ+s39iKmScnl1d+8gbH+QDl
8xhz+HcfcjwfmDgEjGvl/GJ1TSEejy5qT+7NuRBTxZvQfy+M4hLkWhrinzh1FoTJIG1uRZlqGa5X
cN7ncx0zt5a7jLR4F2bjb7MKyJE889mcoSIC+aHiAlEpjLjrUgQkA6zYQ5UMuWiL6A70PPhIu0Iq
72fVwNsHiRETncqDS85AYSjQ9WKOUp01qTxQaQyil+z7VRtGxDZW6Tp4AzjUYL4wGC5DPbcWdtwD
cIEFPKJ6XDkDutqC4TOQnC6nJal8w0Dh8413Hy1szOggpbaU68J/ts26J3dGfTH7YGsRnWy598yV
E5KJOklbXbVn2waqxZHq3FIJcF2RRbDvzLyunqVfGVI48eIg2SjBTzV8lBuFCv7pViB63itAWCs2
VP/KrQc6V11rDrhLJ2nJGUGYzVBnh60iJq3uMNMhsSZKB6oDLjyXtPRVY9kiS/KTou9QMMUlw3Tk
VXZdp2QT/ocJizbDRE7KqbJtIXsbYx9kHb+ONkvlmohdcgl5tgjPU0qDAa0eZejFfe4yBDTGGj/a
DZdMzl4Avm/ARNYi1skTMDMJzjgR20Vtio+Z/foweCcqMVfy2QZoYP2/kSGFtmDQel6iCexD1y7p
xNAS9bejeiVKTFMAlEYPkL0uF4KOdh1i5IxImVuBTz5fHSfieWXglgeys+VK6tb1YV/MnZd8Nrs/
Rs47ZUfQ6Q/tFhsc5ZRsbJKUOX9p/0HxW6ZJY8VKZscjPANpxChP30b4u891AEuCDCu4vjNu8swu
q9COe8iAdEzl3zngFFHOpDRvFBd1/QeBjU3FdA6YZStWQWN7GwwtshIo2Qs1NZU/VK6e5JLBFpY4
KbGSqD0DKvwoDq1/c4Bozn49/+U5eljMeBvF8+UsYrn6pboTwcvvDJTCCO11iSlWDuudzYPUuGFp
MYyRm283uRG97QizmZcEiLXGyqObcJfceE1YfpWkCZZkoZGjwvhFXRBd0RBbjFiQzHm9L9AIG4rm
ko/lzg8BRWnt9wf+c0ltU7/SyMCxpUhiTBymwNndSCfQ4eJr07OrL+Ay8Q+7UaCx+2EnXXGk7Ls2
xrPV+b0x5woI5m2/9QVEQB1QShUwVarCDIqXS4gBhR6X8SeiHRLKnx253swtYKypIFMCWyfMFBb0
RvBkGLZKXGYhukIrEe7bd6l+VIUBRPpNx0oGl+EPz8D4VmjXIzbO0vrVnHAztKm5SvkiAxa1aFDv
9bCX/6Gxq9Ln8JI9G8DHnJFKtWmW2521GI7vW3lB92OGIXpyr+Os4u7j8WZcPPHS12FrTGAZmZAY
+wFgScCXBSakKTKFWD7SHqdt7yE2cIqBoUEnyzWeECw7ozipD8HHXHWo5soN+KcgoGoSxQajFGu1
Fzat5qiMyZe05FmosyATHhUsKJztVtcx5YnnTknSr9fnfLHBJLM5RCMjng62Gh+p+b2IEAI3CJ0Q
uNQNgIkuJ0Hu2ICa5KJH4IJ09GRp6kMe361gDtw88PzR6ukZDqmZSsuJG1FNClt+EIX8/QbtigbQ
mrwFEu5Rzz0nLx9kC/ufaaTPQ8/RSJsaX3bFj0OhFzIVxIWBtQYSPuM/ty/DFele8fX7ajeww3NZ
3fGeFFSBegTfHWGHD+z9DI3UWPcCB3WYrBWC5T13dkxY2UoYSPzF8S9Rs7Yfrwsl/52f4MA44RO7
Tuw7uD+kh8Z6cr+SZfdPGSIJ9zZch9uUp6fpJ3oU9s2tTUzGKQz0rrjSUHfeQjyemNmbnSBnPvI9
MaLlbffnlDk5heJYLMAbfLiZZR7vtdFgdAaFMtw//NKFdZVQrl9glbTwvsZ6li4Z5xromkYetNyx
1tkyuJNvQTaSqyfLbC9940mVAqkKdx3AlnG2yQm/DdWQBTChK4fB4zotJRoyfqCcWskVTE1lbHrg
HXNdYVChxjzgiOXhWQ0/PtMBRHPvMvNWz7FobFGu+9BfbVqqg/5ORKr5IcNbhzztA99sVxXzDziV
VSH4pI3Kg3zODZCMaWDY1cfqVpVSuf9kKnuFnLsWOaZn4Ludd662D8nL+rqZDRuoRWw4n3qwOfMK
2S4jTdlhuMmZPajp8KYhT+QiyQ+7Ha//r4jUZ3h9bfSLhVVshW4yKzLLLhp3DBuGiEYwaOXrrZVK
Sd72H7oGV3DUSh1WNkll+Tz7FEBcoPNE38zXO3emZgKLOUA0cpi6O9HPbNChiAmnTQ36kLVW1+zy
titWkSLjpLxuOCunxCiLBgGiXCdHuCPkB4DfT/T0IXLwdFMrn9nWK8aZDQ910Gmrh7kUnw5Sr0jk
Cn41R5M8olLSUDmkbXVXSVpOMQn3XW/Fu/HKU0oFNIs1lCccibKMVt7IVD+tUrggRm8CGBew3lgV
NQqM5FlUlx2VBkpaBNZyxWmb/Qshf+5Szh/0wdYzsbLK0LXm3LH/WaJOp9RndpGjkLpIK3WC8LPt
jc7U3G12CMvdZ0Yp6yF1X2nMO4O5ISVBRLNEbwfY4tatsFO4V/IOWbwmUj1EceJdaodrV3586+ee
tOwfqdX42y/amULMdNFTb6uHqSAAGpplg6K5UHfeNYvdRpUHwyhz3Unrfb0lV5KqRMf6zvDfGbQl
q3aPkFNG0t9yiEwJBk2mn3nQrghnlcPM2hcfc5rB85B8/hleMZW6tZFJ+FOMLuJbhz6MXzUvv9rc
YbGUkn2KPKW5AOGk7m8Lp6FqNrfQ4yqexq+ywkqqBFKLnJM3V4dvn58GKK1PjF6fePS0sYt+gQgh
f8MEbIQnS18TjqF5CFXCm6a2lGvGTL1nrrM8Un2XJeMq6AiV42N8ozHT7uBFd9OpE7+/dVg4NgpE
eliLOKOelE87uYPqLAjdch4p2d3koV+fnBwJ8jVY7tihBHTOoGUDE1jk5Lz2kXTrLz1h7hcXzDk0
2ZA+Tjxqfmt2Z8effoIJ3nzIxhtCiWnyACgX710AcZP7DTAvsMmgLah+Ac2yeaolQVvhRrkhLLZL
jHfGhPQYIK6c7sFwoYXVVLUBq1xUitg9objkeWVynGNZmyMh50z2T4MeapIS8jcMFdOxxXylgHob
01AiBWzh99DcMaZpo3sMmQZ0oZ1xnJ0HhtDlc8Q5yIXfmKUtrl74szX2wAC6fcu6MVdgkrm76ZI0
S50UKgJ84grGYpJc/abAWt25o8uZtWdWW0DZj3rcpc/rouPWGHMC9+mPqAUu219GjptpypwMtKlF
K8U92G0usl7dgIs+NfJjh1kEqF2/0/VZVTkJh5bnXqfylqwqgJ90dGXopQxJmu7GBrNgRAX5bVCF
ST9zHiwvf7fhIuTVtuzxNSo0wAy1Ybfn2KrXt8wQ6EbhD2YccnoO20X62xi7cr8LQvk7bO8hwPrk
u9M7xlGoEs8mBmCdV3EI9CMENl2hNuUxp24mp4mtPcMCnV546O1FZQ46OuocNzQ8klBqS+OVOvo7
QkTzIhN+0hz20HjsPFaHVtKYitOjKbMoar/oqE0pHXPzp552kV+PrxN4cmoj5CtMYssa8OuFyEVd
GtMbnZfktvNDzvYknTjsAy0Cu+HFa/sGhQTQmTEboAdrTbmdaWQJUkUbEi5ZVuev+Jvp2WfJCBV7
JBrpH3/OLWocUqdQR9DmmCHL5cV3bsxSu0wJgm079NOPmOWF7brmtTwvYnZlVrvxKeI9WK2yXqcn
CVjdyo+esmuYqybFkdgPkAcvsaBQN+j3o7q2nTERBmVGSA1V8Gpqqgf4Yfp8vD/VmXZR4B3hts7z
OfDMOhp79hTGe+5y0elExY8iPy7l0UXGx9elkW72JQVVIp9Dcitu1HtT3tP+9RuEgwhbDQeW5GwJ
hK0/e97vndrJOXfn9o8nW+0aUSTRE/5/m41SMX/t0RWJL3qXWni2Cc6D1aRcNlFlhk1Hw1Tj+nub
adRY3MdgPn6BeiTKddBK3he1NuKuZkByxDIezT4RmIxGPRPQWrLAhzgFpWpv2VV0iLOtsYEHQilT
2wuBqLwn2lurNO8hrJTWJ9pB+2/K1yQouDE0h4Ik8nCM7eHHLORL73mpxqmSsYH/hdkQW6Nvs2io
R9oFC978BQZ9kgQZfhsdBsQ5tyfNbnx0lHHILgEOXa/EbBWPJ36c3gCCAXbYezrruImx9gmN6gm6
u+diSIYoRQ5HeqkMX/MUAMTUvRc+lcWVqGdbM+t/eZRvw9ro7e2AdMF4PHFzMCOCFVsEAemadVk1
4Sl8u+bKoXcGrIqkzp7iXftI0hNmz9fshmTZeSeZxy7AxYcR2zS0ltnLn5MM40g5bzextI7szYQx
bsCLE22WBQA7DQ6kkeGs+pP5RxI+/1WbGh/UmmnPxmirU2CMnbUtfsHViVr9VHrLAyPg/tsZDAxt
blgv9YGPb+8mW6B9azOZoQ5+2zNFwlqjwiPpWW3EzPqrUVHXIf41iRRy+xGTvUogaYYaQxFknjGs
i8S2cL3RBXbqa+Wv6ucrj/EoV6FlcgpWonwUnLYKnBy0jqP5xFb6B9eHXvCe8YY7y/728Q/8amTc
7D+b62wmCCB7jXAiIbmtWnWPZzs0c+XjYsyCZ4VsxGZyDHO3E7nLvx8KEyOQx9LerrTukwfrkG/f
cWOpKv6KxYUyMoH1vecl/DfxQNvxU+4MQxXsAoYQMW1DR00gFXhhkiaDgPocLY9ipdGS+MrX2cCk
FrM5Jo9hmExQGmLNBDa5FMW+rKVfiUMoYcKZ3hOciCyXlOxW89gmif0oFB0DVrAoPGlmBDSHoaXb
xDUG4ysvYot4nv6nE4bEaFEMsrtMgKEp/T6FLgN4mVOpnkAcHy5EIj8/42DIIIGhk/8vo/I2vN42
+268U3hHMW8qyIQCvcTHAHnSLZxbRGuiUtJ+UEx3AJhU+ifejohcoc0w+Ul/bxEUbzww7JUSlFZH
h4yYYfWWLgrKS91EdscTJREjpx0BxvbZExMufTyD8Sc/8qDA6pUiC3ydX6PW+MdwpXzOmq1SxbK2
VooD50foh96PwVRN1V9Bu6x9aLqI+f4Dn+ylvAc9+uPYR7dPlN9z/nhu36YQeg+L6hMk9H7dXMZ+
kyVf9JzRLBmm6rHZwFOkGmQQ6hF8G+b1M4ChWzHSwYc89CttiFOjo+lzqu/NLqSadA+xhh9+zj9q
vv1LCCon5QORzJ6+EIc3TMeXvCwEvjsjjetp8E/CLaKIF37j/rxs9639Rf7eW8W8cwYeWQ8Ohy8z
BtT2UMRKz0YxVArQ78QExhmNc8WdHmXmM0JNWezGS/sob7xU3PMZ6WlfJKLg7VGC41o07eHQ9bwN
3xEqwB16AG2AWMISinfUSgp4HJjsgs+3UHFefzUSsbp1sczqYEeW1NQ9fZCviE85z2Ejr+DBqabU
G5Nv6zFhBM1sJlX57pVyJsUQv4mOpQpP1CaDlD9KN78/qp0iUDsbo5ItKtf1BbJzzN1gYXKLXCUs
6SNX0Y3PO2jIj+B6SaVVyn4wIwWU26m+yLebAl5O1sakWe0khxT7/S+HrIUPfgFxUatS6/Wo59bq
zWrrB9DSZsjLc/iS2n7UARcKDkS+aisQ7yRe4q7JBBQaZ/9iPYh9uw+F4r6QMJD/bjnbcZ6V3rFA
btejv+dVp/5HwliZAu2b6gG7FF/5/RkezfUiQFBNZKu45ytLnavj4GvMnLfspmYhJxle/4ycOQXs
y1DaWJlRAYV2zPdvX/asqexIjz/t/bHyjl6BX7nIhxWq4roGNyRqMj6yxFwo7RPhBm50caKB2i/s
DwNCI2R6cIzdO/+/qrx+GLux1QxQgKDj9713aLS3v7XAFklZ+zk3JBy2D3dICUmDLb+4ZqcBxTFL
UHZB+OJBPCRBbz0rvkNejEL7ldJCcxuxQv7EoKyo9811bNIRUVV6nbqgYEAcPs5q/4xAZ3haXgI9
FqtNVleCnQmjDH2OV+CPcL5Mgaj4JqugH2H+UvsubXYf1MOvhKmeecZ/s3YyjQQtN939xx3Qlhhm
LIDSfGNAAtqkCmkjA9HvRJH8xiHtgnakCQPX1FDCdtI8wO4DX3ppcffsQg4XCJqNh4EJZ34AlWPb
VhhTZgaL0KV5eqcm9Y4kY8uwbfqzPyq/2N+QrXUpCjeve8a83hQgQRzMRhelNO3mrLr/zUE/NqVE
PlOA85jyrwOphGLxIic3iVl9QqJH910xyev7RBfxsUtYITH2z/fUP6ZrhWU7Qsno2Cu6oDj1bSdE
ZsUsPFT6+/YxqbeZ0gSyyK9x8wsRKGPXxGZvKyqf8IVMJL2JExB9n2x6I6ALV3Wc4mUBTfmbSrN7
TzLfzmZOBtTPlZ/t57v6VTuU4JkkNUMgORCcsup0EOVbUk+h8Mhobp5+KYBiQ9lsdGCIA9oxT9eF
r3k3a2Bb2/2NgV5xM2Kxh7l0YY75xRgLM+Uc9KHWI5ECdGyOmKKpqWkgc0wLiP3Pg0Kb+w9z28ro
drRfk+VaSlQ5jc2Pd6cpu5qlSfB/XVHt9LsOyGYLHKdB9jnrjLnRU9975RdfPTMjC05KNXG7p29A
NsDFy5nmNj9KtuLLcvPa80XSjigcigW7iMFgQ86/psIaqfK4vk+SolBZCXcXgUdS7pnacsbYA9EX
IdUOI+uXhIL4pK0mxLPzl1DAw7D5Gfr14Ryo0s27VevsKcSgAcbayCba/0KIPRX2wQ3T5mfla39a
XhCLw1rOHtRCr+y/Ei8tBEzNTC3az4whDu1myjYoHZ9UG2MCL8y2FTlZ+5iYfuYjcRPMzXBeBkoR
vOXypozvkUGN28aa+0fr9d4P6LPj2UY8cF0A4L9hjrN68C8WNWX6Ju8MJPthpBsgJrZiOnZyDxDE
gc8QOLRc33DfJIcOkvcNwV2TxtEtyyC5fPVpmHfs595pgtKKR8GZawEPVi4sBJ3yzTYsXYJqIyal
9AZBYXSY1YvADQLPiukthc5haQcyzvpfzXnq1baPvm6Zx7X2JAZovA/UCrafqxYg5csAcnoknWQL
cYMbMxRPYDBiW+oUyrdnmHDF3XFFIjZKZnFaRaJlHhyBMeZqmXxnnazk3HO7XUY8ABbtOUTcTYMU
pcpJ2Qh/C1dPHysKh2lVfzoQnSCm3iQXk03VU9uLqeiFayqGJa2uH/BwFEkJLgl/h23HFA1959CH
JH8/YRgJ7alcgjKaPVIpo+kipdVijP4QbUs70iOYv4SXdBjP8uZFVJM47HghWurqmHbRlF+h2id5
7bGcZUxt5sLXDSkD8iZgu52//waWE1H2SdjTjPviqFaCt5SPoKftiTlJSw4gH/rr2hVaWLtH53DE
kFjWv1HdlmbMdW7Jn8BqNkE9TboIqjcx4nxcweeRo3+Op6rCvXn/Twruv4fCtqJEolvwuE305jEn
2C8EdwYzKxtvr5ccev3QedKxbniPkhnZELBu4Sc8KXwU/Xq2dJG10OgSRgJI3gFg0ZZBF2DKaSFx
yaEG6zZ/PZJzfWAibUXhGP2oh9ORAn2s7pRxHKBECEVFCOtnrNPbLpY7iMubIoj0yJMQifGVOiou
fZT7SxOFwJpp6Ewoh5Wf/s8jcYHOwTbjBMLeH87XCGuUNefWDAVA7AYjtZpbdy6rj5O0abt6CN1b
bfADpTSqeLdZhbAyLUACUXBBzn7SB1JHOHi5bxQc1ySDl3irkwbJ0A1XXw+X4Xr7tLXt3LCJA33q
6ig+mTBsQrqnhmJuzFLuRuPG+hChjMH8ZLwlIicEdhuNANHn41azjQFWC/BtY7yAZOvIW3H+sIJ3
k0gM21fp/1nF8bPYwAB18DuJ68On8Nw3aIOkMfR+ofsAs2xWjfrR1pB+YJ3KTq6SmWayuDUb8irG
qpb3NXNpD+jKzlW6TiJwwt249+2fjqUoVH36UfhjZRFOdxIzZlgxRwiF9YUux623K1m/ShR26SKl
UA8ly8ckpoBG4JwBrkCD9dQmY8bBy57FvXTfB/mA/jh1HK8xFFhWlnsEOTYxkefrbbAflUUaGXUd
TOLxgO94d/AbD7sgudxBSfwduhbkKPqJVWtdzT3z0OEjmao4fHjZIwrizQidxlbWpV8uODEidLrV
i3JkGi8s44/7glNMUtXatEn5VkNlRWI5ZC/PbIi1j4AEwkCnfE0YBGp5DpKT3kneAS78I03wzWgo
TWp4X/IQzfVdzLBYZo7yi0T3Z0UsCEz+rfpVQvzJs01QzwjDTHN1Yp0Ow6fmiWimzXGdDLW8H0Uz
ehbTTur9xfnBEto/ewwqax5v0ZPEtOTZ1oLbpmXmYqMx+r8Tw3F90W3RIlkIPs/a8wrRcfPkrGrA
5+ml2NLY7LukN6NTf/HzJtC8nUF3UzhMprj+BvMvQ+Sr7oTxx3J/y+nNRy90aUwcvp8IIJYNxJqK
tGnMAJglTVv2sRubLZ3iFaCB4Qmd+bZq2WQpkEN6bwdN+KVxwQdCaIyQVjXXcIGBR/2Mu3Z8eHSc
Al0KaDj3WTmC5ViVNVKk5XgS7MTR8nwk96ielFHf14IetqYVMw8UmEqQ9fj5KI3nCtZn5VWiuHCy
U86fh3ywspQ5lvyUcy6HcuWiR0by9NB8hpZDbDN55cQPeb2W+LD+AgKOKePrXf6RmhulAyNakUDc
jqSWaeSH0o2JqZLU06ox3WQD8dolPiynN67AuHTwUaSV+w46oo5vb/BeWiSWwzVxk2UPg0qvH7zg
miI5j3xcU5t4PT9nz5upF8KDUccOsdJyN6HHsFNODms6WdRANIx9EMlVGBFGqXsZXJ09w78xtQ7O
akHgHjuPH9FIS28V/as+Pt7r+nYVz8+uU5aDjDJ3fpRlLb3yhAZOH6o4r1zwGP5QlasbvshSH/ja
hq9xGmsiZy1ris26txAB5FKQvZvA/iV11FfudDmM9G2z6NKEVxypZ4HbZg58LLu9VU6N/+sEeCO3
WqtdtWVaLDtrVH1q4gdLN+waZla3MlquqF7lNvgS2eJ5PrbyYCLtXPfVNpo2h/R0zAu6G9r8/Has
7pgxiu8dvlI7kbXIwqsS/n01XGyEfoTv6CRhtGwoL35lH59n9J5G4FTa0HM1hreywA1SCJwAdfi6
9ZvZpxOKD8Bc/U2432RSRdvJ1GStlzqRyiSzYJ6wXcNitxCs5jJYzoTjElOuTY9Sm6mYmepnI3O0
9lM+ZSvqh0EkjRAW6gf8M80VHa8xvlGA0DfH7NC3FmAlV/iRUGSR0PMJ84IF04aTihM7fT9tCVtW
LUn+niQqzda8RMIwcuWrwW8uzuzBZnPDjgVjyQhCotMFQLNIEC8lsJzmAbAYpI2cezDRhZPJByN5
xFbms4jDmdXVo7QEztiinVJrVgJaYunLAmTKP1t4C2L5yzzmdQ7dphBlCUdtKf0tlK+uQgKfOgYA
HCX+U71INrTZXoBCvGOT3bQL2lgDjH5xXPcn4bKRVA2z4/SKp6ZCXgDcvZQB+FiRZHIFLzmgi12G
qCGXoYcsK4/NWVrvOWGuLwIXpwkjiLj17BE/SZXK6YO9P1CKBYN8u/Bnb3+1FNoyiLDKK/CKQl/8
wlr8jUIcH9YoIO79CSREkhqZvVmVk3QvGdkA27ox1Nq+U3A0i1u/FJuJlyhaiPLeHWZSEquTs/rI
bMdoLaJ2hpIyMPEi/cuYKmYgVNwR7miS0J7TPqlhZnK0j017ZeWJ2z8FSq9zPPW2VjT9Lq8xMW2M
as4mPgf0aI+d1Hc5/8l2hjMNNIN89uTCuzFyOFKpfUrMIBDT+Hwzs30ooJ7+/ypQwVgzlNMFJSoK
gSXpBqagRhaQxpPU71zLMAu12zBNEfa9hZSyeNBk2TJsI+iU8fD1CKVU1+4j1l4YXiKyGXikRTqd
URqZUYuDMakUnb5w+4QnKyX5TP+GX1bLedFamvwAcICWw3OASwq7rxXBD0a77RSmV64zRK/Fqc44
0C+Tpu1ouvC0Abag7ijMxtmUUQYbjJkmw1gg75445yVgDLn1mOY8AocKYXGP6yQQF5K+V6aHYoy3
kcfCDHoM3rhHZUs6nUSzx6Ijr/1yBydcXqu2N5o7k7llEO6e1AZ6V0hMnYMGRV4OuCP89ZxH7Fob
9WQu+rPTDhs9Zu67PCOI6FpTh1WIqc9n0xIheHsSIj2qjDS16WEDObDgrPIfjqrkIgiFIg8ds1X9
fcth2ElvjslZBQNn16Sjr2yVR8M0nq2uaDigjDflJXYUXpsE2jiEJemHPb21fj8P5CfImlRJjroy
3OYY75VzsxeJ8dStRgqZdXNflaY9UAGk2OAK9OpmXhfajPfwkShBKBT2naFaBobooVVH9Nafk9RW
g3ouT8VCNMxcHcSfwXNz20IMz5vXZ+sDVN/fwZreKnm45PG5P8+azLJNJIjhFnAqTHIRgE5p4ZsJ
Sno65Eb1jOrqdLj6VGwM4/hOc7XhwG7XGBdOx0WKIcgpGbiRBfdZMaVN+fl6KN3PusX1mcC/RR8i
B5KQUHaDwQ5gPdY2e0uyLoU2w5CyLjvks2LpH2vdGGuhk5XgR3WRyaSefLSa++ljxWxuxCz1yceG
aKqGlh4/bB8Suwk+n97Z2TP/Rp/I3DcxYdkv89DUeE+69Gwl1AxbAZDHWkS41hJw6fhBXoj2KMor
pEdvZy4ixZYSld63pTqmmuKIv7sLzod6uleirBPuX/xF4nEpRrV6Q3fYdTDWnBeJszj97HafbGXs
xl9gRvTtjJt09H73eBqeQD5UoCg2bEYaGcAXfGM0y3PwugubmwXMxFUKPkfU35axdR+Li+UQCa70
E8N2AFrDDV92tRFO5Y6ere8wgc0bEEY2VQ1xnzWomQ03wZ+0VG8DQIh3TawKLFfD+41j5MXiIj5z
GKY9O23uCKu7k6G4fZiabvG3GcSRFJSu9sdFSKZ27cVr/fj/YrYcJhhKkA2iX5cLaQCDkcDxjxpH
iwkwkGLX+d9Cqx77ATPkidDSSXxkbZmJgd27Yz8/oIHhrVOooMiDcxvTzUpbIM9fRcws4NUreYP+
WihPb70ukL0eN9GrYUvvKi51iju/4zqKkgvMoIAWLhzwbhdNQlea/O+M/aRgvW7kkJpRSi3z+lNa
mCq62ftVZPwh3CD0xMelwmplOmc6TlrbR6QlQQcMr2K8usaoRlvOG7/SsQGlR1WeA0FpXMuw4Qmw
dazvThv0n9JOQaJ71G5FknZ21ix+YRtjujhPZejmF40QBuA+uGLS/2TFXVgTXEtQ1cWUCqfI2xWr
caXnY8VncgmbM/loZhB1EbZwAajGuVLW1zXvCb6T2fcA0vXhZl/YnfMDRMpYxBlj/s+fXb3IWAQg
3So8TNdYWK95r9BNuuG2DNji/ZGPK2mCgFIh/hPcfHJOIuyCnXjEDI/ym7Y+7YJ2dK50IwUvS9m7
lOcLwRP8dMQN4XLldPWTCA4gkR0ZtRBEj30YozKFJuvJ9pNQcGYex8b+rTcNP8Mu/XxYPRIwKJo2
WXDHiX5pFgqjmdtwg9xblQu8HuOC/1ooVqles0c15uAuNd0V0XcoRg7Mz1SNZ6YB1QjE01tyyiQn
ka9GRzs5EwopVgRBSTYlU5G8HpkmatuETCTAUPhhOlEPlCavisDQkDu9j3oIvYuvKwax8e0ThIrk
N1z8WkkI5CM3r6hDux6wPpH5A23ONP8RXMiQT4NG3l1yOLsCCHC6oi9NZNjUvv3LHuLBoLPlMbBD
k3b58WXzFhIiDtbMIdyTdGG9rmCAOWNpVV/hkraEngtZeGjHKV7uXJyih9B8GOrKFobu65sqd9dc
5upqNDxxJRXxynTG4xQjBzL9OGemhnj8VufEn5hoSvBGMBOw5GHQCFGb2xww2JnmRV+2Jz6u0Din
mpMxLRznzrVzvKvAlUPXrthikrf6g8/yHGiXVllDynZ7udjSU1/gdD6wklzfY6Pi+c3HCXjnWbbh
eraupDwrFT9syWGiEGrDz5PHpR4WiebJhYS76v9omIuNOe3Z7ukC3csun++ERx7MaVq4we3ayGLg
GsVSUzMU/uajDCkR013SNuy71nLtqxz1vkUriu4u5G5VgxcSZJ5v9ps9leGQtXql1vETcyCyXYyQ
Pan4g3zNC2CrxizzgxgY31JLf6Y2VNV7QxUDK3+m/DbiXJBy2haaIzxOn4DtezaKBfTyiZ8uCR4d
BvTIPY2hJJqCMWur/t4gIy3pd24hIKpTrccU8zauCe3nCafIbbCUJpI97Qwhl4NvQaEIqgzZ12aI
nY3yYHWzoKG6ueT9ck9EdblnAupaEbxw8a/19IaX7W7aSbiNvRT0SIjeiaFvWafTxuP8L5bSnI3V
6IavolfbKWZS6/8G57PYhilKxYK/3kWG+e3eFn10F163ZgUsDSHHxkrY4vNin+5ChCy+aW1+EkP6
krDQhqwXjzzDdO19oVpaO7HSOa3EPm2OSaZcjGG0txVM6KZ3uGxSWBSHcByPgBKIM8CpXhJwQle2
Qaxuavpv4QqOKXjGlux3moiIA/1T9rFt98nvfd2oiHAh2ASczDs6+CztO5L6McSwG6/6fOpIIRO/
JeRS1bpLSdYb8+XjR6uXpmfrkPNzdTuNxMbR26Wu0K63Tvrnl1s1oHRSq6DLRAuIlAyc6Sv2f/Nu
8DG+00CruHUiZjKGtukxEFnCsB4qZUy4NhpuEFqFczRRmwn21Ci4q9X66SkC7bWds6h6XFDk13tf
eObuqMNeDitxUw9EsG7Fw33pzW0xLcp8q7t4ppDtTm+jxRirAc2VTfZA3d999zICHp12Bwdw9XtD
8G30mavXGsUu+nSbJ27WU4HxFUGBf3MLLkFRM0v8rnq/OnNOPkweaRVeNgSluDqLhvtyBoeg24H4
V9rClDVG1saBBB27xvRJAUJ2E+z/n8hwRXylVOMp76D6JAQkaPd1x5jFyv486MF4iZlZxkTpvsXe
+3LoA4t/5VRpRC3+6dUmEk7Esg9W76PzWUcn7QG68FBU5uZB7P92Zux4Zo0BMtDH21yjUnd80fSg
oxsY7CE8na2Ww7AIuGoatW6//i7fm+yNjcH06dWhjACWk95/8++gQNyRp88k+lhb6hvFYOUF+Cp/
sdJN9JRVZfgVsqvAQzjUN1+QJUb0f1E5LGGVl2sbVnGd1zEhR1Oo16LCdGu3dcP8rJvmeOP0F0Lk
K9a0vY+FKsTqTTtJSoTq0AjP6D/iBtV2xM9+s28foi47CBxT+AwMGMZ3TjRZ+ThZbJsZ9OHtYEr+
8M4Ku5OQCTSPCTV3tLtvqjRawvBI2mvYkmt9Q04KlO22DDw/QCvGcxatpdyNE9r/Yi1AptW8HyFn
u50Pvinl+SycFudBqhgxro3BsNCRO63hEUgCr0ApGc7yUIVqI4zUj+55plNM5x6buvI/2T7GsAC8
wA0UFExX9bWLPEikRZnrYcj/kZqWHfWwWHBerVedQlR1NXPIlCQecEmVkDNDX90O6+dVN5G1hVC1
7Nr1YewnQlhjbec5xq9gQIbKaRjWFWUJBqmlL3vikPzV2U+e7vZ3ThnhZKrutiT/yRbe425Dkn7Z
n34RFdafqXyzO/apn5khI8vIsK6V7Ri5u9daK2pntJ+FVhL0yGwFPeLfKqloXaolIzXe4sUlNaoT
Qe3Kwyht4/TY+bnjXl//ldWYsIid6rLY0CNJK7Sq8PKJy93qlNGaSy/Weqdgx5eAopT/xrAKCw1j
i5otD7ZkBPvubQOt+l+DO2HJQDY0nHYiC48+Iy7pNW+gyAiKQI2QlCzXrFzYAJLsnm9KuojzSWBw
uAZ3qUC+fmSn2ryUATl+D9t88g0tV2aPBzURwX0gY1qZh2geybjEwvgCU9HwQrSUaGPFfvw8mTNP
hEvJshYpf9+KETruMee9+Q+2JyQ4Fogc+xr0S3J7korF5jN0BSAnVO3svrYcAI04yS4rC0jGflf5
ab1nYmVLtPSlNEq6TKALLLI1NxcTxObKjTma2Ob6IB+9Z5mTFmWmCnb3V2fcq+4YNlzXVYzGmI+Y
L9iGirPMym4eVzvlNRfCl7fuvxJqrWG/wk75oPc6ldNNCI49spxw6bkqdm4aLB/ophd5bkrX3ykY
YISl/LEtstwI9dFrCM3+Q6JQSfb8ynCS5C+0e//9KM7KgC7h6EOffVRfh0tFvgmZyimT+VH13FWk
nQ8abs5owXyHASPfsG63WVHvMlfpy6YBRTXiwLpqJLg3tFv1QLnA4t1uxs3cS18NdhwTYnjlD0Mv
YjLpOGDI9EevBkGkwHjt8s/xg/0LpvNN0CHExp6WpACEn+TYbyKluEVk3QrQ/WnyZkAM8VswErGG
JXiGU0bDgmSUgH2zZHTBHO/54L4AIKAuq5IklKDLmQqwGF0X2cUr9AORcGTw1nKhIi/c6lYUXPiD
TxC2Mxk/NWoXIsiaFYBhIjiclaozsNWPtG1F6FQMTO1UwUBb+JjbS5KGkvHoxpq7jAT3ZlpMwR6Y
U7Uho17pmdC6WQzToWAhB3qTo039quJ11rsQjPf3VFY0VGYXZ/fRul/Do7t/9yf/ssBL8kYhh76N
DXtI6+ECnFeIJ92Aj3Me7Gw6dFNfpXFmU9LmnRHdTpqA80hsRaUQzhPMfGzRbtQ3JOKzrcjPKBNC
0mklAHIPOzNOBevVTKWTvty8o4OG5P1KmZqGIe9C3IpseHnFNR+l6X2GPOoGiFxJOF0tXCX5kRiO
Hq2rjyoQs+ywK5CefSbsIV5bzP+/PnshIKbKk3+dUa1xOgEO9++BJTSuWvA4qvZSN4IaQaCrlvrK
HJU13VYW8Exj7IrlTNHYeNcZvDkBY+uER/EQjT0aq010RjqyjDmc7eHFJ6addJjl1SMXmKpZBIuP
wrL1LlWJmB+A+qJ/qDK0Jx9uQ2uUAxrvkNRJ27rLm0U9eWN+u+kSCqbh+I8xrlmB+cZ4y2SWf07I
W8MbbTWC+3QxAeHYCb8Hd3fwPdB+4NJ/0sitAPVjbdWjOp7nzcAfYdGeEJ+5T51cYVVDewX+mHHM
VvaVO/cE8+Kr1kZuLJmwsRKpThGfrrrFCwK4CuPh80T23wBWXxR256VA4MDpiNRMKSkVOAyQMCu7
8lFxKi7/PnZH0OQ0XxM6tlao58fgx8BB1l5gfYkZQuS08OhZWYnWYOY/t6HQXmZkeJbf8XioszIT
f+6FFfLIJryoxiBM5EJIm90cXZDIuBQUISfopW0ea4gfjXzEIP9NNZFRAWAGX8HFzj5LhC1g9RRs
Epp3fTVFYBcIGmbVuksUsqiHOkfRjA/ov7B8ntUCtpGtxjc3upLsedcQHeKBn7+9a5ZRU8LEB5yZ
MrCOLExP4r2qar+N9UFcfnBKkn1fnlE2xYUUlSxi2Kg31Ar4M8WRdokAYtoqos1tjemXa3ZXJ+Zy
DZIvQ75uXOyYEnb2HSIcb7ihwFG/K6fVG9em4igjk46ugbduuhRidirEBHDNxYvT3mcEH/Vr+JDu
w00i3Z9iYO7LFXZ7Qtl+Mgu2LzPWf6I+wn1jFIBvA5eJn9TV8n9feHoZXpKe0ZcGXAxaOAUKvmsO
BwQsiQ9lLA0lCA+FuOXi1JLCFkPUZyWwwdaSI7smmbGoQwxX/YdFfMOZFwM6FvXeHpq0Jb7NanBN
346Uly4p7w9HLYaj4iQZf+WysPcBU1o+dkUTZsXsqFknMwdY+oO8VlPBnB/8v1Qrs8buPmaGSCT1
kyBwewVXa9bOz5lmOsAiC209vsnlXwF0zu2nLA07KbBdoUfpMLZ/iJ+30ZPjbUVSTdoS6wCQaY4l
cowBgfkOWWh0HOtjDHLsZSSXrrJsMtfJWXzHDxBIuM6NLUqM9JuLfkeLKySx4FuXwF7TcmF3NGCM
fnp2liXU/OKCoz9NfHAy+tXIR79l32peCa/7PJWui6T2ZMlsinC8UwXxzv8q2rCMOJl98tjywRKE
95o2Ibb9eSKULJ3kz0Q6DJIl6vrDjK9LtwGNj/aQD4wCAl2oAYjIM1uR5PT9vm2hcvwGiXT1Wr/T
+8N1E5Ufz1NZt0/k8alBRYx+7XW4OrNG4ro9+W2gHAAs/iKf9a3GKl7NcNCYTQgM5UIU0SoBt2E2
Taz19rCdYrjpAYSccW/rSHMPwA02fUd4Oewn4LnJYQ4+sZj7VSmvSQIVF5FA5TxDStxMbQ7D0Npw
NNCZ6H56QFLm8KeGeeMSAurKozrTfgljMdUP+3Ci7FNzzSI7fVjKwVKugQnBPTwiHWf77OXlBgFF
8mv6k7iFcIG4yUBvlcVC29258HR8NcAEmVUaYkQYBhck/yiwGWhcZaDdw71v7vTTBE4ekhkE5wnq
YcmkBkwpHTpp/HOgQGQm8oFqq3w7qf5mIXoRK/OAFycApFwtx9pZqWtbDX2CR6LHvhLWE1TJfqqB
x6x6yWIahzN5hp48rhds1iHZ+n7+SrK/Gf1TbiWxjJqZ7yvuzzZdn/Dsrih2NMa+yV5tLJGY2xOn
/Po/GdFHFfaClAIWXpOwNHo3ManCZl+EYJHoe0hYXMB8GoPQ7NmSAr4iZl17YoDyaWkLxPp1m0WE
YMQoqvh37ljWrUrMposavEkJtIe5K/1Ql+F+DlhFnnWLCDToVlWzB1IuDE0pKJkd9wAYo2FSlTvo
UeEG82GKz2OM/iy+p8PmXlw9uvab3x+ArdOJue+O1dtSvZDYpOsCw9vYyZJ9FwMn85Yx9gSOOHzX
unEOxhpKW7UAWGvPTyaJl9M5FjRNcHBkI4Azw2CIuEC0lRtUf2o2yD0fsScHKzW4Rx4g5PAKX6Gp
EhJegB+b3GfxcSVsZtm+GO3H4iioeik/Edr1W+cYNuXtLHL32T6wY55kdb0y5qYnA6Slvy5XMZJ/
g7bWcV9oWCj3VumKOcfNrWvWg3CPHjeA4SwuRnhJH+dhQg5Xq894+2Abu+0nGWTKf3pO+UR5TFET
t+WTvXF/qBAAA9pRkPbRzSaXjS+xnIkuwH/EqsWDtkr/jrlxHdFZvSPMGskRANEPOawb1bqsj6d4
8IaU7zvqv2POLjzrWDFN0GSOAK2NurYVClK7IXBjftsDilsaeodlqnCLsgKEUu6P6QZMURvjbvda
YXNSanC48/eAP96zYDwhWehY5p+m0utBVarQ9ME1S+W0mDxgvckVBNg5MZCz2fPdZiEjk3bNTIKI
OYt8nJpTIDwGje7rwyQF3gkGSK8guPJzzl7LsJns8/yM5RqQa8rVYyQ9SBgUEQfzujNm0i53EaNU
WWCoKc/WgcfxVD4eU6hO8l6S0oTh7r6YZNObykboZwYOaxJPVKIb0g6uQCHx3e3TWmwIBMFb1qNE
BZKo9U9AhECz2C1qx2ZG1QniSRn2+uvY1KLToilmI5EuMYhEPWNgYSSqlfwbBNxBMki9RnWkLVCC
nqMitTa1rhWvqkmN1vQuYavwF9hFoGrdEqyYZgoqgnye4tSbO2+CrieONVD+ePXkTUhue6DBAR07
Vd87iX9+iRkeje7nKQm68ekp5jpoiw2tHxZ1tWPCKTfOHRYO3Bd1LQsj4UJWKljK/L0Bhnd3YQnH
PUOUKT8Kg1p+orIuGtycm8nv3GWeFqh+OmSmLdN+/+QyrLKG055B8UdNHVS4acaBq644mSe0Nxe+
ekfPzyglWlS3RQk5O1P8KjaSrIpGKzobuLzxc88tz82n9CAXQB0Hw478nwD5UXOl/ZsTSnL7Grah
xPncsfPGyXiqIQ4ifFUVfEaoGTxWOeJcK0TbkTDMNHZqNfku6DfDMjsyb29Oy/+IxU7fBq7GMG7z
/eOmLaY9axKZ/BXhZMRUydsw+prdRaxQ9SHVpgIoBuEhzX64A+oDlFoZs/at4x/2eOMA0Q3RmxIc
B0GKDCe5G37ZvaNdopK2nFg11LonKB8n0CogP3a6ElW+AclW3c+3emw/NSs4KZj+Rq8QRY/fOc1t
FDcLVMteL9mc9J9l3So/swCYvnIeTWcbLh9IBBq7X1YrhmHiu0dSjqaVTnrrPdodcBgbDUmjWQVe
MYbko2c9d66YvHdp5nzQK6COPa996DZbc94x3gaWuzx8MTAewpj67adUzNyKEJeTFbNSK+uGveuH
WUASPDJdC1EqRNL9hJpgvCBiKxHIulOiGSIg3QAL3yug/c8noRkudsqRPDtGLAIqE4Ga3/ylyXb6
qel68+GaQHn1Qqy7VzVNAOapRQ7SnuYGPwYdp/Ns2vhnd1MPgJJgZCtrppRWn1qCIQo5rG3Pazoe
FinhQHMxaUUwdIHM3FPyHDudOALhLYm3QuvZRS5y/EGr1GdYVAy1GsBJ2+RtISPD3YBQPXbHSjNp
uD5OSmbjdBM3gLI4FQaTIPKza++1dxH/e5qTeieibqMPsT+0DRwHcv7sURIZ22BHLfoc0D5+e9VF
MedI4aEURX/7OhENXi/hGlWfsqEYgt4CiYsJtSuZKLuL60vyeC4SVovPGLyIJKpb6UJGFdV9Tk2J
gdGFUnvamd3+daQe13Po/dZFjAEVEDeJJeErgcjpbqXExbY9DmODkmLh4Ct4BfmRpwEINvMGUo/m
cCxrNbyZso1P2ODn1VS6+sCC9DCybjcZcdELQCVFp2sAaJAAugMObuqeP9IGqMKHYNopH8QPULQJ
v/b0EDAjYDG/9z/Tg4pQqyeLAKcI55Gdm3H73f+/ke1pjd7BQnynAhx+2+igMw37CTkcxhTSCkDD
Gm2l/9SdwDgRHzuMEQ57PgpHLEYaGHBnrKdeKrNhp4UPvyLyRbkRHHWiV0A5RJj2bbXxwT1vTgyY
T9+bzmGIL7wsXbK3fNStoL/EgrlKUqXii+BSmRm/pcvjQS68s+/vR10e9ujs2pZEBAUcdgFp1Np6
3jqF9TfD/xyuG3W7pHcvVE7mVmmqBw+aJvFTHwzM3BchWTfiyyHLKWF0quMXVo0AHG5IZr8sIEYY
Uch++YW7b/NXnGBYt6+f8ckA1cLdC9McqffjRQeIapEgZpbUsqYB6QBhubWqD+hB7dtFHdo+1HFu
O3LXa2AtHuExIsAAVV6wckl2bfvm6wrNgFiTF4tgWgAbnVqYOiGgGUNp23wuouYO4hvsC6ip7/9a
7lRzrKHuqgSDhibzyWD57fsQnFZwb43XFcdTY0NDF3PaBAEsrHvOkIIlqVUwz5tThIc4AeW0BNmZ
UsijESxfis8PPC/onpIbUVTy/knA03HC8At0RgMSEdVWVSPbLxyLH7xndmiaMb6Pr09xSaLctHeU
oqbZB6kmiUV2Mo4E5ILz836Y4PoePhUI54qg4m8M2YO96Q9up/M9zRnFY8BwA0yRiW5i+xRejUOF
xKq+tHaM5jum8kWfbQqOCKPBRT9rZZx6f7lHAmMWKg2yplxLqnreNh8OSqBWoEjTSGXIiU1XiyAT
y394MLg9J+WtAH9ibJJYyzdmcwtfu10VhIULrjM1ZrwMpn729+nDloDry7TxWQolzrpTmyKkjU6q
TGfClA+I6Q2c1780ZMgXIvsS/bFIqesZcmNho9DQb7T3n/+048xN8LSVhpndfEf6Ie8YMx0doXyi
EPvo6am19Xk3HBIPZSQUpLJhJRkA2sStsvEbB2LARxjTvCQ+EcWBDX2AhugwQUtV9CGPNHO1EoCu
YL1IeJt3YScy22chVgYI/lotOeObandYg8GLmdsmLJ/fLkC7rQ4/dsGKUSLIiJeAEicIwWuiEbuV
zy6IvPiSAftZAIoUmDQZ1UWpRvIiACw9XqV0sCZF2pNr1usOAfavwy9YxnNQEAMGxQQoRsz4F4fY
+MyFLO9ty+kcUGZFVKbNnh9RUrPzv5hl4haq3byq6xaIShf8sHFL3YLXRIO/X4lQF2CCLpBQ7PkX
u3kSUNOG6OKFKsSkvtm9hHBfRUX37WxHpip7x1nhc8JzT3f4+6w8NL/osW9wjC7yGbULucbfqvIH
O/z6f1t9vQsRf/77WVCe9qIhwWgkr5vIHToxG64aQAm3uFsKewMrPDKYe7oHHzLAtf9vHXZkTkuW
hu5th4aDZXxWh/98qkwHjnWoyqJpffX8xqE9aW3Qq3VR9KjGtUdCe/kkQ2q2XQu1133Hwhboqx+q
8pEJ0++CwKrgcBP+lG4QfstSJ11GvAvItccXpf1X212TBa309vRmX2hWbxEk8MvSCr4UeeJL3DPQ
iGZg+HZ91TdqcBu6526ik+piEZHS71WHHU04LSeA37YWm3ZlrKnZHvphCrYwpIw9MAdm+JyhuqNr
yJDjaGEpPTVZJrqL+OulodH9lvMSpV/H0duwCykBRvZtO0g7w9Km6PrEBrY/K27NuzCe7mXnB1kn
1OfIUj/vKMERiK4S7eyjHfdVOPLEQsNL6lzYQYK++NZF53TbsKNe5RYZKTbyh24xlvgjyWdXtMP4
3c0/Yljx+qNRzHgI4BZ/vYfe2OISV+Q6e3rFOOyGolGTOeKBIudfSPqbM/z1nTyosnR7cHbmliYv
pcGXNaiau2VPKz6/K5AeWHu358OZ6hDiFY7LCiHn+HU31axIB+LGESuBwz14oTPAkr5w8ZR4KjFi
Gi+AzpdZrx79+Si1jVANgaRG+nmXXTw/e0nU7dO3uOULwLFcjJX/jZs9APXpl7M6qIqADdfX8owX
+1hNdlT01rEGE317iLwBbSvy63n8biyJiDwnnR4ykjGIIrZmW+8ouG2GV+7kHRc+0PFrfoW59QO2
xmtSyEuBKw+fTIXP4SNLTmtwMf+rOoVa4N/vPCBQPjRGk3F3CyAO6FDS2ThrSYE/+8h0JzIZANyz
VEGTT9sy9QJOPclF3djR0obm+urZXYpMeoq1XMQaWAJnj5L771OgJWjNVv8nQJGfZywUgViUlASs
+wnUWJ4KHbBE0XvWQ9gei6UwG/OCdwVJSpfjuVUEF5SeIfFVHxwu/LgyjprpJ9X3W25Ykp+v5YNZ
SvESRDK1X7Ji4j/XdRqG8jZYNQxFc4roN4j2vbbH3mjIpko3MfPpfeamiEBatN0+VPNbDkPcwhKV
SXqd+izcXA05bZd4rj8iYSIqGXZtMQf12QN7k9hAYiWexIzTPEqTICs/4XJZTIm76hlbYEZ3JjO4
0i3Iotk+S169hqwvRkaVgwyBpH2xDw5PW85M6f5stdrQXK7ROhGtucel1eGLy8aL0Y1iLeKpF8C4
kL9pnDpI4dnRccKOSjBxr4MkMdqRwzHBvLo59zLr5F3ggRLYWbbdfUnP4J2/qSw1WXp9YHdVPI/I
hWuL/bFAdjhVpM0b8LrpCyXozk+mgE3w6dgZWBI5KZJOGjFx7ZpSTuxs6/l0i2j7Gz4V6gFD/DOZ
NBdWk20juaUA+RHzLVpJk/F0akCKnBSAnC0KXUq47EbnUKpbtB60CBY8ja51poLbVUnPkqoF8tk/
VCjv2zm3P8/MThuaoky7wko9/ESteXmk34Mh0cfiStefZ25S9CLnh520rGsM8YBwGOjByLYMTH+C
MbsUWOD2XLLuqJNzu5TWSScUqUg/PYkHXCYFJllSf2v7vC41WPcw+1jre1okP4wwMeILzCJ4UCsz
RxRftplJVlzqk4sUnttjaWvK8VuFu7/QwAeFPM6H26ky87FMnSF39Kvwy2ZS7RVDuiU1/RR2IKCl
c3ld2RmbKl40aZLz/EREXYfD7xrJChAMAHDDa8uL5ujIkOwBkn4Ijg6loWfwFYtqHgNyslkLlo1N
TOEDlXrOXxGZzoGfZ2hd8t2znmX1QXWu1VEkNTwXq2ow69LfjTTnai0a7ddjG1+oOacjO1Mgji1F
DHTP1iMnYsdrvzKguww25R+YcX27/gK+ejmZon3lfD22UCsNfAfnRtIWeFfQds/AFFFUGuBTGQyu
zgKgjFuFXcbSbe/bg/fLeZGvc3IHP2vk05SQLBc4UI7M8sSU+nMm8mFQPJHQdlE/YTKr5CZJ/jFg
OQ/TXhNNkbJ4oT3sPXwXoFndVKLnI8WCP/y9DPR82JUgXna6PjUO7H9SZoKKpSUFoY/hTilOKNei
apQitBuYrkoXw4kjsZcKseZUG3m0SZK7wo97ExLe1a+INyoZiDyVGK6Oz5BGNyqoi4CIHAViYUoF
4SztQi3/P9jO5NwNXaiKjVyL9y+OdFitTF5H8qwkdWpUNN2CvelgIDbDx/sUOCfFnGfk/N4lbnJB
s7eSpkS/kdMOANWzqcyRPgfQUiIhO0AOprHQLnMcZNN8fvmPEKQQNifEp304r+BXtXGjfF6aqwWR
j31imJds1Z0ZZtoXlQZSC7D7Clukr8Ps0beXpru5LcNyM21y61aEKcSOcoYl+OcPEnDatuFxJmXy
ztwkI0XiSB2b1qqijAhbwz0Tx076cI2NU/2sJESToAEAI7TkPgokJtzHSyNo53pqSjuROFaL0eYL
Pqxb2OOPdMfcif8cFC1y2xI2WYklLdbeNlI9F+yoNXHM32TgRgtHp8I0tMvX86tTzA05Zo+i9UzA
kHH5ScG1yUK+gseoudZScVDGi6pD3YyC9WWvpcOl5bwJebosLbXna0C201ROUUxQcnyvWgXpyV6Q
2MFge0R67zWAAfCQ+p621K/idRa4LCc+hhpUGxSri75pYxccJtshdl17ThgvIQxbsdfj8imSb0U5
Iq3qTs5GnPAqEClYsEh4eicVQoXTliEOFIRSJKShHGMYcbeNe5Fvv9RwA+anUWChCKWmFZSjcpsR
2OofhkYmIcLFCc5r/2hh88RbhNrxP6uz4j7yaTIGSGQGu6huf4TDOipZPs8PvEKLiyetkOw3vsPD
6FuC6MoWzFnn4hhWa/Rg8+hLLfv14QQyptO2mU6YAQSh4nDIwcvUQg/CL3vU0AVlc+fxDHWtwXaA
0R1g0MFA64DdOdCcvgbiRZjJgkS92nrdAURf8HwRr1gbyXhVYWlGaattodL1deVn2onC71dVIirn
W6I/Uyy+t2Ui3dF80nB4+UVrKOjcW4KShQtqmCZow1tJxJaCS0EmbCeiXv5mQ5yaAP0CxrauKmDs
z5Sf3yZo9y6JTt6JXTvcEl0UD69cosagUJxza64jvho8M/Jm3/5vdDaNFIy82IiEqMTfjbKLUCBC
BB906ikydCU9NQVGc98Vr1nYsalBKCqQdZi//Fpgy66uAeYqINSGVMPhfCN/cDBUd0x+VzZmwXqa
l6cYe/Bc+jjxmF9kvnEfOdqQw/GFAgjUkK6azYe2UWsM2pjSpVYuf2w1g1vjh+OhjcvCDsnNnXpe
UGg/n406vSimZ5pOQ8UcfYiJUe5MQSTFVmMuaCS3CShYNZWWHyeIqew0J64brwwlRVAx8yk0L4Ni
ZH2DX7x+2gTx2R8VidO3zD12On1lhGoVAznIiuJmFjXAYLNPw/cRRrSJl+Z/09ZbDsumd684Ujs+
mxEI1DMEFLpRbCAGP17JMD9h0ZP47UceugDxnmS7gjcZizDWobEKam6Xb2wFq2yp9pca9ofVKbe/
dldRu7DnbGlCQPIK4LlXHIQg9t2WJ7NgUadqn4Q+Ud+FZGlYE2bAbvxGDYYDv1De9gLlOnYrYk8U
Q2r7dlFeIDr7ZWys8YaxZqQ/PNg4SNn8Fl5GwY+k9gqi+yKwGo8xdvKL8VpBbCTGAcKHYO2ci85J
UAB99sxeKMpLla5labUsDrhXJe6BW8Ute21MZBZHzDyPlEtg9PDyCHyIDnR+wsEHHd0j3e+NvvTK
XN2F2YdsusKSSDHxVYIppGpYl1FkrjT10oju0SPR7Am+le2zUxvtVeHK3ChgcP+r6K6pRiuyhTWK
uk3kUlDjfE+xP5Ns3PMZtKL5gj3N0z+0O3aZtX+fzkSPLU/3jHcv53CQJCMSiFNGSFmr/slHG6Tm
cfJVyJ16ttDO+xnMxmaaJP14aJNoVZUUwjyOYYnP9IQg47xsM0ThH8Bf5MvQbif6xlrjbnCGm0Da
4MPy71XLoDha2hC9s7tU5Otv+7mDv6aS1FDQp8y6IU9prJoUZ5G3PpzBfNytPWPdI3Ix7Zp/uChu
B5TTF6DTmMD4qY3hd0B5RLA0s1JZuDLpjEtoqeYt+D+/r2Q4y45R1IHmHhuvNHNJMB8vmIJgB9GG
54ml387tof6vqtvm3U/L04qpz7GyBCdncibySpPSRc0SU0dlMYLKg7v1mEYg2CHWX6Ap3Hc4HjCH
Tigb2rafp/g6ChkRuujOGcXtD4hOdsiDZ9IOivF7KLrXL1PAyRAicfBAw49wVWRlfcBFsLrGxS+s
7Xubld1dp8EFn7YIzQ6uPIvkVZu3L/oPetxi22v2NJ3giED0uv/M/AOT+8lTDw+2KAcUnVUTaq/7
GER4qLSulG2oQzpvLrRfBoqE+CDELEl2W2TxOOm8Y81Fy0faFOMMFSoQ8qBYDBkKSnuEKWkqoNm7
ALKwCXKjJfWN8EBmlVsVC0DUPNsRdtMeUCWfvXU0hsVZ70FoKkgItp2vCeJnE3JZEkWG8iFUynrg
Q8upmsGoVn8XewvPA6ndsGeLYExZjtAeGmKbQFiCq8dbBis3KzynUHIAL6Rd6jT05QSe1MdBzkel
GXDgKPbserfaxmhBWONPdfbHQ7csmKBW7Qz2Yg2pM9Cc+IX/t9DdYlAeNbkzmPt5HvO+w2vyoyuU
L/jK1nkpqhWCbNmd4pYlPW4k5gVYdZvfMce5e/U8Jf/bPevsWEnis519xSIN302NgC/H5CLGx4uy
9skYC2U+DjctCYLcv3Vyfcnh2vyKK5QMlyHhLss3fYa/pflADGd2zjFkS/N8Cd1V+6biwndOGdH+
BHapnz8K+XJdCp7Tqv8e/2DVWLfG6dv4RoWyVOxEkrim004rTc06q6R6/Rg2qxAiAO52+FGUfGm7
fJ/YV1zfimULjTp8Iy7JGbrwvOqDzoqSWksvkPKVA1Mgpp5s2zOsFLyrRdaeadkNyRlen64xbLo1
yYoOWXRusjviifOshUWLKdon93kwc7UxY6BL/yS0/Pm2yUCJsb4C+KT8e3sN01ocUVNJ/7GxW1NI
Z9AC+Pn590lOCxqNxGXBvDNSnVPnybf92oRVasP0L8C+bmZ7X9MdUk+tHRu5W4ZgNxu4LoIq70tn
eH4dzk01996LNYd8eZCg0MIf4zK+BHmsTl0KJHCwnPGImd1WNlyZueba505RI+BM0tPhhlASppKF
gJvnYUBwXpX1mEb2wTTizU+KlA2bvVkSNDlS+/UqqVLiV+I9gAD+eLMuj8yiAhCNLi7Pqp0VXJG5
p9ZvI16TsQTXQHMJzqwlxVNNfXwFo7Gum1bs+8lo1px3eSIq6NIxGzOiMXuAQDv8nBNxRFnY8bCA
QY4ZJ5hp+GI8ibgZsSgOk/h9sprlhHG1JvlXzkVqVCklkOfCvOV1OXbwytvLH71m3qKe2dyD884A
GBMXX7sFK4DIB18jBG0rq+uJI6L8gsqB5xT+Jh06Og2x9bk6LR3TaUhZYVTZPElTysqtO3DBpHXX
2RRB0aqhVvp5p/Ap07PAZQO50D3L1zxQB/TJCYBbRX6pal9qXJL9aNxnY0Qq+JL5EDJ9sJT5CU1x
cAJFDsL6edmGybQnb8YoRRQyjFVe6ZdUxdThCkDuf6LG9KAWVaXgTnyq9tMH5zj2HnybxXvUV+rC
C3HR88Dyz7ZRQAh5XNbvfxxkzGJPnipxY/EkSLWM5mTagt0QYqOZn2yeTNOPe0B/Fqd4/Mp0OWde
c2FkrLPIGKsYHPgv5tClW+qr/Ta2xLemSq/v+5TardPqaGD+l/o4rNXPxnC+d/oawvTfazDbD0lQ
pz2fVYF6YgpseZbfrawabN31NIBr5GvOpGbzzF+4wJMbkOad56onJuEh/h4lKZBE8dMwuLXEUOnc
JB18SOfZWF9hMpIH1885+GO2Q5PRg9ShxaD2hSF2Q3TCpQosUKrK7C0rgvHHR4+jDpKJPLTMnY11
tkH7ODXoExhn5d9V+chTuUlBf8rYMTJuxr39Akh3Lrv14wlL5UIaOG4I/ePD0cI3jO1fSsx7bVEx
DRfmodIl37FWXK+x1RUp3do0XsfHHPzY4fKVI3F4SII3f6HC6MwWl2n0R3l0uL0s490a3rO/WjmN
Du1zHbgEly6QvSMKVJPefPi3KszUV6OiCvyslfYHQIGP8815VXBfun6kGvBsZ9JCxavzSiKQU1MY
xHwNOh/HC5kYsU5Z4BqCEUc1ylGmtAvNzZF5ZvsgTMMUOfXnSDo3bzJ/yq00Fnnzg6Ff48WG2zVz
PT3lmkc059KvlQjpZbmrwe/a1KlYDhypySQBL7uYE6oD6RpdET7D7KQvlgdG5FgOFJUDHG1s24w/
UD6X+4XqZoVID9c0YVJJnPOalVXC2H7pvQM91jBgXG8l67Xx3Eqf3xv2tT+8E8ITm1Pyd7OksRjR
ugUBGltGTXVpSfryVgU9WYKdojg1xhAIpIkmXy3gwackwIuK/oT8BdcgHGjxLIIwVTAKkesdRuTO
JCyvg/5P4q+iDBBQ+BM2nUAZpuECPaLx2Z8d/66uXCSfwtp/W3igBfBWCiPoLToteLdzEbiMg9KC
l3CLqo4JfTSDPv+/9yAaBlOERs4UST9lU707wW8e2+SsBLOOLVRmByAr+IkirxBFXXy6Le9JvTMT
Qz9ek5SOmg3J/ixZGqD2feNfVvxuQfcn5DrISx1bk3hJL+pYxXUlRteggmvaR4dY/I2PMdd75maG
VkZFMFGUvAY/fGYcCmEPbW8I/hlUCceyKtxAZdj91tvCP8ED2yTmdlwCAXDu9y2aofVn/O6gON2K
883bZBg9ZHOxPXHILkg48fQp9NSCjxNJCc7+r8Ho1BlM5KLDohm6RIbNDdgr34s4aJryOFuwF/IU
xaeJ6iPzsiVZlWp7ps9RPJOL1P5T2fXE3il4cfp0vzzYUKhR3NLUWMaRzuw49ulSIprnY2YtzrJA
lFJVCQjDPTqjmtZdD+INdX4btU+zs3V1tIvND64G/HXwPkoOYjX6dTUuJOdFqR1iNHaGXIwIyjtJ
8L/2wxya8NH2J+awJY8MiprLSnJMXos0KQElultVRP7pJAkp1iGIIYsw4wo6/pC1z9bdaZfS1yCt
JlVsMT8cbM8JX2PNHvY0w1RNJkzT01Z2n+rxxAKMWvSbWf6uT5W0AKmDNem26SGsjToGDj/8mza6
U3fCNlQspGMDFBJFF4SOFuaSexb0uy/Gf9BwXOdOCCE1w2b+0oFQPfqxJ+7MrtLlY6w4gDQJllA7
VAGBPpjN/33rVfmRkfB8Nzza+vbCEC/llabc1b0H/eudjtPTBD8rz1iQ4UAtyE3SZEH5zJVF31J2
zIsTcwbtWSRFQK9znC93M4CZNaYGLz3VzP1faen4jqfm35nwRsPl5bO7hrv8mBLeTNBwiVco+8DG
w9a2oS6RpVOF+7I4WO1vUYJHenaVqH1sPfPa6yJq6VyvOMDsHUFzBBy+tj237thOUfSxKSnNA/tt
0Q1t5UWvb+fz/UzuvGoeFDCz7Q9xTsNWlpK+IduuIMrVQmZptH5AvscswuQLtH5qyJMMXko32UsA
HKshdzTeZHpBkXkHkppiiromqpH0UZKUK79yHrX+AQXIdNpwZKs+q80iV+eg9isQUEUDUa6f5kXO
PkdrT7fef7mBVy1IPZhdQ5zS+AbZ3s8g8nmlou5YrQF7xU7LJs1eqCd2jmoAeUTYUWDKP+696SOX
CqK6ZeLQC4nWRM3QZiQBVnzooZsPD4H+KUHaICyYqHfw6bP89SgKEak9sFeNNJQNoyjRcLKwOgaK
iZZY9nedGenAxUmHffUIlqF+l7naSO8mlHQ4ik8QaeSHhoJlThR0oky2R4BwS5SW0ECjBVKJMBAC
aljivXLanvwQQ/ySIs6JvnHAge/Y4qvRcylcG+cb+QnNJRXZXFvUMZCni76dH5uKfVMciJApwxBw
Pee2ObEhVpK8c4L7S0W79Uf4GbrqzxeomBbbyYAKVg10j/Q81rNHtA0f23rPZ8/5C57dLHM1+7i7
BcMkirvtI5DxRt08KJ8/o6vaIb8UIghDq8fgFHgRCH3fGKLEIIhdpt1hDTU97VOAvA21frDT3xec
kMxId+mNy5WiDm9lpXncEyBhvg0G6ZVhe35t82ImsnHwkV+ZYHWZjd0YWLCwcmxoGJloVDd76m3X
2nHcH+uAT8SMeeTVhbzrP5FWpMbBOq0sR3kr5twtkyz/JKIxw0FaGwA3bFHTWQy7iwcyckXi/c1u
Vw+KXpozjYvJI+17BZav5IZ0GmxCSdyoD2LvssEN0ioFUJfWmesFhLiJ1/yoPB8UfFp5Mbbux2JM
xzs96Ywi/EzwvSqQ2nRtCSd3J3KxYufHA09ddK/gETw958kGWOe6xylk2nkJ34o4CT1HEGyVCzm3
BT/VJ41CDl9Mf8LShQu9lY7gIT9DIbv3504IOmZQbtu6nkg0sEw62c4RS36BtjW0LaOKqfXLC2NB
AK+iBZUk5vW7QmEbahYU2NvXiywsGdPqyhpT0Ukg11oTxKDDfU2NvFLHrocImpuOOhoOfGIBz8rE
gwUVM7sX1BkZH/rj+1GCrqxHvS04NirZ4swhqvM3oByBI68SsF0TypiNQ+kx5E7+3nvRfIJ3ler1
1gBaRHWWWS3E8fYdhUEl/DHlXRj4g3CqG1zQvOpHPgD5GcoftpPHSxYS+nt2z2fCRYGhbZuXZREH
HjT58RsJR0xZa8/Az2I4L2SmNroENxmCLenAS5n5tkxT41zoouqNNzmk1NMxF/2bDmjrWMBXebMk
u5wzPcRB1FUiIWd4k6gwDBZfQd9GrXaPCkBccnJPJFpxSMyc/YO1J/GfYwi1dsxQtutQMcbg5Ffw
oN6A0PfGXtzAeNo2MDGr4xKQPm09OqLWnGY3AJ79IU9WvkCn9hSKaEk9sAZoBTeSHJzj7s1BWl9B
q08d7FvSLlGQ/l+yPKL2VoXvq6lx6rZfw7kBTQAlESAsCtHF/srnD/hF+4szfh15UIltsBI8t9+i
tA7ha5z81wi+LocvHEECczMwbaUMnYQ6Y4DpMdB9QL8sft1MLXYVdElSL+EWV5zXwbAw04Cw200v
J3216wmO46Z/RNzxMwxRwnvMUcOWAIlDKDnSjMaJOOPPxirDKeoKoWX8rMD8N26QFqJnjMRwku5D
HfoYE7HQ4nryO6+HMKafdBHY3R2PZV0lh5UpFkwUu2JRyve7KRCyiJj1EWmSPKkc6llogG0Q0JFh
cpsFAZ/Xieha1+dodInYmgjM8/aRV/J/0Avj/ZpzY3MIg/79kSugyA77sxbjugMW8VI6GzDHIL4B
YhyMeWnIE9OLB8O0h90Auq1YE1kLJ5ZgF15hn1HdKMTxKaFd0r99qYx7rOq70h+HRHXPW/SBQ7/k
196cnV5lAzbw49V3sna1T0RbRh/yD9UWtgAmc7X/9Yd8TGHCzZ1N81q4/eFcSN8KsTVLNtuEsdj4
ejBJdYvFTsMtmh5T5do6rPi1mjk0K22t2gHA+ZXwEq/pTjC6POVyPpXyWqlWJQl6otD6KLq7eari
7n31C7es6rMrBrqL8xLknK50lH+3iJeSFUm84MWJsGeX29lah+wGK/dgCiQILQTOZf/QwFeLF60s
7ytMWnqOgQr9xSMewfpzGqK3Nvk8qo+QdOLIGtpf1usxvnbDMdj7ETjYIPPVdmR56h3Bd9HBqZO2
+F6XSN3VicEbQ059l/SvbbVRgafRrG05D3PI5XpX4tTNs7Ga2GEErCXhQauwO0u6caZk7r06mu2L
IH4Qf912qXUQtyKi3G0NYPtiEAuKR2Leex8EyVmz4pDNa49oJ90NmfGgdB/TTgCUgau9vebRt5G8
S8EIFDolHc70hCNM0bCI0MMHdnyPbLJcpw7aEajxcqi6I0LZT/ldmwgtkbJGmJQY00B9duApM22a
fXR/KuUKORKXEdYwlPN3lOw1rkPckiwZBg4VBZzVR6P0JAfMvCvcbpAFSBMZmLsA4Xo2mIHFeDZc
N01J6tnsc58FqbH53Ly9VB669Atmj+LSO6W2uVWSioaC9+fIsiVfFWPK2xOvLdBJzCxE4KBEcnlI
cCImzfol9tajjWjDnBXiZIdWA2xLl/mytjFTdnU4lQ2uUzrk5jRjYYW6fZT1Q19BSrOHDHaf47wd
T+UpWSCwUFUZGXr+ZjWC0TLam6UK9iB0JNbpRdgbB7k9GEF6fyRa7VBggEzAh/V7OwONSg1ZbIdx
LCEStD7lZbPAYPVio4wfnSZph2C0G+/Hgcc0hauRAirZoLWJ8+4o/txvKxSJ7c0HFtcC+iDezbIE
wg3uP1Fy1GtscQmqr0TR/W05U23g5jJAdUqtLslUNopN+dkATn0WML+M1g3fgXyAgUMB/OQGsLGf
R7h+JZWNrWlqBJnqevcyqGyIh+8aOEXdVbEgKRrv62p+mjUGRfxXp/HVP6/T3hqDrhRmhx4jXAll
U+elovJfeADzUT3C1Ve53L6caPcIHtPemtv46iCNzKmV0i/AnwS797tlsUEyUt6TJSnJ1FklYXPW
X8ULxQKlozZfPriWZmfNy/kIH18QDSw3yRiil/+vIhkwAeCzFgUSMnwdDBNA8uWVaNsGHsC9DC/i
kzZodzbv3oJcwKN9BUbPDjEXcZzB5JEsMBQqHeXrsmBsuryBfVcXTXVu5UTXleC1mTWDX5wZ7BGX
807puPYqCs+XK9OGpC+vAa85/EFV4+/NnqcTO6iEl0M/jTyv8UlRMfAGYgCp/tiU3q0JN8QIv/YQ
DvXroRD78IGTuyiZsvUgR7IkVCRC1Uvyfqu5ZHw4iRJaYGPEjBAKnmou6xyunxRe1ysklMk7xsZU
3AtGgv/99Yb4/yk/gQBcchjbXDyDXgyQ7zCMHBbeCj6ZhFQUUsrsY4HgJEOHerIANl523mezHX91
DiI25BZjJfLsiEldOmMrIxGOCRQBNzKKnoL537fO2QSxBz6WVZ1lN3tdeUzFkembrODYuyVkoc+o
0qME5o67GOFOPSxkVdtfV4NdLU2QtH5DLWPdxlKIQhMNGoCWy5bbqyr6/RzV/qAGLfK9xVtS4wKL
ng5lqs1mcrtghVTnE8Am7qF8XLMkaqSBNleSEVPStOF4zlW1UDHHE7FZiWMHB4acLHsi8cVRaPkM
EZM31Q89ii1bqRUNZdh8gcun2vyiLUHIucwnkYXu+l8DTJnQjEz2CK/pV6kwWF/ZzFU2/4ebKsq/
rNcKpHL/HZmCtFlPTO46ppVK0uOuzmg/GrW8O558+ShVNq8IbLFpK6/kM5blQbo/Balv+Jb+I3nv
GBm7FxZoP31thbojXQWH2ICP+WU9XD60gEjrqFCaNPemXYJUtHayUtAcDPdITulxMG+1l+lMVDgb
OSCcHSlIhlY752SU/W6D7AR6oE9a1yl69uaXwOX9Z+C90YeGpEOd1owsHLMpkr0Pik8QPVC2w4Jf
1iajLdN2uo2Hc1UIAfT900PePGpjtlKCqB6vAqkNCN8komBwQ3eaio8cd9jdhUmf+Ah/8nvy1T/g
Y7qfv5vyZ73JT9R0+n5iNDedmYEupAyKOTMe4WNRzoI+HtVDOVVI9P5ZkIx/HNdXH9V2yvd6mov7
s4dNS12vIgv8yEyaiJf4h3Xu5yePWXacO3sOz1oAfd94rRxQpzefbhEdOHgzeBxA7273MSboGuib
RqdmTr6MMEoVWxC4mG+BwuD2OuN7so+DOyJnOC6QBLKyHA5jqMgZYhhYk6DMi0VwV2OqDBE0hNme
9dCduQ1tFjboriSxI1qmQ88dy7rTq/uwvwZHotOKsyYZcnzkNCDm4xDSiHzic6q8UTKEfWeRTRcp
YM0s/xRZXNvXXGG7ebrIbsPSD0KusQlNFPRXoPL23tGViytXCu/oGI6QT5/rcp2jZRZTk5uHsWMw
HwbEhOAervFvmJLnU1dkpx5uJO5kIFppg/8FmNGawdKH3/M1MllPiSjRWXk5HK7Rcwn2uaXNwWx9
EeRw/55Zb9BBhCUeF+bA98kN6f75yN7On1hD6bSLwZ1sIlMeqFsDqb/B5dkRojmKrN6KFPQHq8Za
vD6BhTmKWaJvb19JRQAaNPVh0PkvzwVwfPYOdaEGGukpnuU9OgRT2jwLCN4zb6prqDYP7Y0axhj6
2P91W6pnfAetHsI2LTaDNW/xDEce9CKGOuXfpnOnbuaGrgic5tUuANNq5YzoAWmggyBL6OXhWow0
WQhOaKH2asr4ytQXqvje0emxlVwRSyT92HuhRuVa7OFd7p1/EY0kV0zQENDSpQ4SUcS5rP/Olzc4
ioFY0Sawz74GlJ/Gfaj2D9B6t9NgwVYvHLxiSrsDeZv0gOGCAprSTPD9k2NE7dkd/eX5rIO0gWAJ
sOdORGuv/9NP2l1PelwMtasW+6WbtCswOOZPXig+8pxV48EjmGMlYt9VkGDycv9+ryzLrhMo/MUv
tHorAKL+pbVey/kFGhgcOFZz3gVsabVVaE+HPOgDlfSk83h/7lpZgfSdgY9Ge0uV+FhFtfLP6Y68
kFDZ2D1RGJ5C4mWig0ksrAz0v2zTY92o61L9zj7XfwkPbviLkuFmJ0Lbq7nvRCyQqDSUpXQCzFgf
h4hTk7eFpT/DaSL9C7RA0IZMdGEMD3mlxiiDRRrKdy+UZbIXLhOqItur42iRzWA6RSrAj9uMPTpN
qkQ/APd8JeBRFJCc6ruFGdhkUZ4LnJmvdytqWVwsMhlsVom6noNUB9Rh3HsFyTAWlPDl1PRusHSs
16YREABHEfEsZLiHixEaMdYUa6bAi0zd1TVIFV1a2/EFPUat4ou5ZEppEHZm2m/rvFD+NFdi79Zb
hpxY1MIjh1p55D80lPPGDPvs5a9icv/XllI9UhAwyA3SLriz6+RkjFaFOSU12QWNHnfbwkbrsj75
wFOoYWFiYu/Krn55QX9maNMZRXM5Mys7X22BfmAAg9mNEQlbECmjVEQjGIPR3gaaHT8ZontKws7H
p3eXI3pJB/xWYPIgxoUnQFP1Mb9oGIIU2nWCpKBgEiceymR5NKFNh+dEFdXsX8rYLDM4t/4qXFzd
7foECoCk3lqbOp9XSDen19uJiDX0u0P0FhMkisQWHLZmtbjuWxeFyaWhJISpsxNGCJaSoo2yyd/8
pa5cBUnnCZa3uoVQY1QTzLedOQMeAwfNFeYg18cqzxO1GbhMM1O0xBxksK4lKL8xMcUCssanhHfD
jxQHSoKdxnARdUNBaa4dYMTw3feaF94UuyChuSv2lWyD61gpz100efrbjVyseSHaCZfGRZvJRfnU
uKX+UDtfpWjsTvqKIb27VRzeo75FUTesw0DmMk3ZWIPNW0sk0EmVdvchy7LDaDmoCMBfha6VhvD+
VGbVBGGUw2oIK7m3uYq8OYSYhqhT+RRYSRwq7uPb/9AcAeifH4q+FD/wzVRDVsdSLU87BFtKN+AJ
X6pHdx4aONIkHXdYmx4cP2+Bzm8XUDgEc5mjlGzvzZle88LpwX5NDtjzTF7jgHiQwFVm9xDoaIy5
h14BsfCHYNm/tdpVfNjMZfGIfhrjpY6zkpX7n8TzqBDWc6lf22Nrk/AMTJ10RFZbYJ92FoSdkGxW
r9yM0MG4VYduLnPIPm8Om2IAnHmpqG043DLAnAuXzowvPqcNqt2R/jq6hWPqh+VsZTfEAdc+aGYm
WeOsrglQLouJNRlXKz37pG2MKn5JBDWVh63ALrNPmten9/kx9x22O/TAdbZCZVzC94a+A9Kf5DgN
0ql0dPVXHRkNyKu/X7xuQN6xsA01rqz+pr1IIXuawg/JyZhsbn1LFWPxu9mSL7pBWMJBwKorooAy
MIglzsUd1qGhZt43b+xgrAcLGSMED1WG1vGTdwN5mXE1gcInAaGK0GvhNzRRcfkQJMcCm9RopVOF
VihLFeHBBl9c06SueKKJMcxtruMi5T2yJazLY8X7mjVd4rVmr+5T1Pe3FSkxFM5f0Ch6iAniO4Wd
hnkyyy0Pt++4LWFrn+8FaGkQu3EHFdqRFKHwbo3W6UcxBUFsn7JAsRi9FYIYKR6knhKd8TmA/ISW
raUlXmRcXjMkfFf6z+UyaVN+5XbLqfPiimlUe2m1msuCDoE+IPtNvxm6okpYYOqzXLpxMDPnyCZB
WlIh3chyIWuupQ5zhptymsmqb5pZyVicKtC376wcaJRrJXtwNvCbTVpVGZne29E0mEsGHaKtIxjV
9dsMXc/NVbzmy9dTidgsFRL/wObGCGH6/cEjBEwAlwZXeMQcGXMYLVI1y5bhiEbix6BFnYoIw4xf
v5CRCb3E+CTTF3r2K3v6/CwAUkXhZ+dPds6lz+ZFby5PSqsr5YzOB3GcoFXJcWlMt3dgBCKeZ0rf
I2ShJ3xvXGyTB0++GLeKMXhBEXthFNP/VQXZb9uT/HKFozHZSl8jPApjFjNXlVkacGoh+yteGg04
bTzGd67FgSIaST7DqmrB0jYPWXswChw+mS87lRxH6e+CF4dHiwA1zZot1XVRKy/MO0PhBZ/j4+k8
NHcVrWzAgO4YNLCW15/Bh8sI/oV+8NxaPTt0Sri78MuVxYQCxC0dMr6atzs6jLe7BUZDhWyouDdc
3fKcFe83P8R0LOFJGOB7fnZUr/tpW+3Q+Ezcb9CHjVdqsUdjEt8inXeBGC8NQ0Y7Y2tuMxZ1CZRv
cv3/yZV6i4/S1YpTAkPmohjbVoSft7dkA88soA68Lv/RMD7ktqsyyAAxgLaHJWskglMCGoiQK8D0
4Sd9/qEHclbOaABbz1eb6crPOs5zUkoVTONjuffJuGGmQ2esxAgKgWjBWUWU4+zEe6/U61tzzuqv
+s9PZDgFvxSKPEUSaCJeHRweEA9m6cvgzAPZy8Hvl+9fLcn6emrnM0xdC4QIvO0Y+ULermlsyWRr
FXFpUvsmaRCYVXgs1khniY8Knl3F3l5dtuW3d+ZHzyEGMQ9Z7GovEijlnWNfuM9Nk2k6u1Mp/qFg
qhHtBw6YTDFied1Uz2tffvVPX8Pv0rHx2DtHwFjhOTfM4V1WLwK8LLnniA6Xg75m1kRdhT9lM+Oh
HO8BLQeNcJ+TbnhSrhoIbAC8A9UEFUd+AB3j3bPXlMtYJGPg8bh1DsBHaK+jHvnV2eO9ke/Hg7F0
XWp7TafXZy0i2gYphkYL7ffpOQf3xhJrQOQebWLyFrQ3jzarW9wZDYnPhP1YLE1+7b3jC9JyCPft
YCO+R+QM5ClXYYIVJkcZfv+hbod8j+sl13aMNRNtac56kzkVMaE1Q6ImOLrn8wKbUHQvk9fHYvSF
W5mAA79FVwOzjeewTUYkNLy4Tsqw60B79ZXwZIgsDSfFj+MeE/bUhDHdYJljGzV0XgA1ncgNzAAL
DulNEtQuQPxx+8A2qR8dj0FkKl0fL0Lm3VqqYlyIVasj9JRyvVRxumsthsQecfd71VtlLHDIHUBE
I8IsMpff+jUAVxPfLkxP9sE+j6ptce6aOVKa/z7AcCtG/13yD7kIEHWRFg57VtLL3jya5hj8dfbW
nU0LY97V3o/XXmtdseD51svYDNaNRQGK6Ruuls/F7OJdhYrYDxYvAz21bupOQQ0YsbdTLhNLwVnZ
5fn3mh+Pefh2SzZvo+ZkALGqCnamOLXlVFNGBC9leSRfqZoc1rRU4T5nsvVacM8Hsu3QvHpqnaIO
Wfhns3cIzRP8eKG6wpqvNNs6FvPE/FXWwZrBsrv3CbGtYjcg7+pNc0BJIfF8P8O445GpU7WbuG/A
PoSlAFQnx5l/kWd+6jsrp2eP1FaDM/9QcHx0FlsN4CsxdJ8yHkrP855/i2FmchyUZ4VKZv0Ylmmw
uV6THH9Rs6TN59QP5H1FQpA9S3486/SCoyq+csKIVSqAWbRvNggMDzr+a4QNs4UvTLFouoZNaOar
Bk6cW4u1mSGQ55f3WeA6Pb7Sy88eh0FAmCdfovVUTHimHQl9IshBZhTgiPFdyvM+sKL/dK/w/RK3
WkH1yfQJ6lLNlIwstbVjCfn5415/mP1okp+5wrjCGfLceXBUajPq3AMRjCgWKPusBkmS3t2fCAk3
LKXTAKk3XVVitXev+aKv7s7iuoe4Y9jPbPXSZC2BmSDwc/VwmsDS4DBkxXlekHQF35PmlFxa8Jfy
3GXz+D7yaekl+uzYkWlWd17q9ebEbDOimCT0YejXLcG+oHyD7O08XcbBd/VFaSeXJOrd8PWpDYpQ
37hyTfUio4DXQLuN1oB1DtqJ2h3yOpqHNQJaC761flXrmU/dVORcQ4xz9qXununyuIqHiTFtfFg8
7pD2s/mupKwnX4jVvOGoZZJ0eNLCgWtjP4oBW1Gt8E+oSFPK2nBuChb7R6ibuLnGUZy68lotx9vB
mgY+w4UwF1AlNTdu7GFe+hQtZSWaQpMlBSrojPbvZWU1ZxjntpdtnVYRomQwpyn6fnaJgIxT3Q3e
egP/xcoSOlcZ+6WHSgdPHv1T3D1DNCvzU4EOvGGII8kwl+vJcMKo4ltOsefW5AqBCwnNGZDl3zev
2G9ITPJ9R4O3EvLa+ntbpLrYQjPZTwV8g0AyraVaj43TZQgn4LxH36yS4JcGLkzi0yA3Czr2bbMJ
0DcexXJVw6L8DrimctPcuwmnN+JkaBx5WRYIXsj20YXfqkL5BjS9wQ+tdHsDrCneJvYL8gmXJPwU
VlN6hsg5ip7jxtO2kaac4dx25BjrowWcltQFbarni6sZ5Xrc16LUgNnnqRTVxAd31CWj2YFxYWmN
6baI9vOv1K3qBErHKSqngZgH55DxWUOSjzLHqwkCCYezBqm2S/Zjo/2no4qU1xd6nvY3o1lH38z4
+AUvqKAeKX3RAzxtjJT9IuzcioM70p9oGk3eSH9zE2MK6eFrs5yJ1ixfHV50Xbgmbqe9ghpyqLDf
PIFn9m96tL3bRH4/oH9Hao7oE95gwh1laQcXr5vJ616ZQAuO6sGH95gL8xDqByWdbJjFHnjSVfiQ
dV6dBg1CddQ8VhEgChPI4WwnkU082C2RscrvcmdHV9v+CXMLCsp8JAnGiy1mbmcu0sgBUF6sZ2nW
1kuaIlzkV5xE+EpwWIyGAbAXCR6lJAKapsV3ejJbyNfZvhiFUn1E9eoOSk35iuhSxighkY2yY7IF
p+FQKYNctYc+qKOjVHhEBSnSUyCTBKMk2GF0YsDEXjaU2B9zMrZVNnp8/jEtX9W5rhaPVumC4zLX
7rX7PnuIgKsXjL1E1QT9OclLqOacAqUPYWujBnSGwRXhlm6b7qX77jh5TwG4e/aDOgEjSe96EOmJ
QE3sIDYKoENcAo78VGnNzlb7Gd0nOi/eIK7MSn4peRIinpRB2qug5KPXd9dePTFz3cHEeAAVMF3A
Yl+/6Yi6ji2MBG3QRYtAYXCsOxTuOtNQu3yHJpC2gS3N0qk+wcsd+hWs5jDYIWu2oYT7Zr6J47bc
ROnOt6Kg5XVeu26s1S9KKDZR2KNUMyvhWwaiWumLaq+6B12Xg0Y7PQIfAELwOp9d3lU246PO8bcc
iyot1llWAOPHTs54RmtGjgK6J44Gs5ExvfQ4gWVMjwwKp+SmeCtRkIe8eVZTGmFCgzeutCnU/ZG3
aH30xMSRELl8owu2YWAVAhIB1jF0VgR7AcakErq9ICVFeIcbPtcYEeAdAZ0vt+kvw9s2V/HGtJ6v
D5t/dsHAzbUy98I7UEHlUFqUVWTmJMc1zG00dmf6i3a8aNo+4yi864gewvwVd4MV6aAsbjANxwqV
V6Jc+Rll5v90BwefZWRtodUWL31wc0pFWjAzmhrQcxylt8SaETVyvhFsq7+5WUzZuoThEezAT6EA
tWtdLIguPpJzbX0/2HTBCZqrnQY8MVz1U5+qHRvcMMTKJXu6pkC2AaKieyxRJdmH9mTbewj7LMQc
9PErS7YhT2GprzP0CF39imS+RKa+GaCyjacVxBGzM9XGVN1YsCtwoY/Np1AIrrSHu5oY1K2cPZ4r
/8l5C3Tqpgam/bu9/Eru4L0UxAJlNG9nXuaIvTG01fcc4mqcgDJpphXI+l0pPYn70WJyTFemG7Gm
kjx559jIc72fj5J5FMNQ1sZIjl1urmVhG+W7E7odTQPZWZXi0b6nL1IUPsYo5p3nFADGkl/oudER
wH6opGFjKhoIcvw1Vk+7rzmeXlRugym8G1OaWiY1v/QfArWjixS64IDIrtr8M0SP1b63VlsrNoGl
Tx1lODXaSB8TsqE2onip0crslvUKsfxiIGXhD4KviXhpe+AZ4ZDymEmP2d2yUvIpP2DSIMWaRabs
6L4L4ODUz+RZOUEJqgfofd+ILovzTxRozC+sLEkV9nMFMPsX7g6GzFPR1nNZwv3M8f3FMw3DQ6tv
WBue2Xz/WYzG7X9rEUrcu52FxpffwlPuKLqOHQPScVqv+wRdKmGB5vGDojcDf4myxAyHZNh070A4
PaTYG+oZC/4cLAYqqnRwSHlaKPsuFYwr50u+tKP1BuME6Z0PdbCBTU2mmU4v46TjW21Y6/w60wq5
h9QQTbYpsrbGnb6XO9POezS1qKk7EoUj77qqmmLtzsxGLpOD9BKvh8lWwUir2LtijxlgQMDuLwYB
S5Fsz0/vrUg4i4sVEkfgDmjxIlHYk3wC20FGVBlBiseVZ/b3/byaNNcPTOMAl5EJvFUgf8gsD2Kw
ty1Uc1F6SGw9KWZXwAnN6TXmvBftLrHB98Fk/khHGVn9GxY6Z1mKpyWBgAuARpDRLQLMsKg28y4s
Hzb6Yqh+ZGxEvlI7BT4FiA0a6lQWNSshMFG7yb6bhrI/w6jXsx9G0gEvjBRExQUuIXIn2fiQ6e0W
e0XbBkHqRMCTjXKC5lC5wccxuR98CGdb3puZ33FygkDdI6By7Xew8Z8zfjMM0aAZdXtASNz0eC5h
v5J2T1RqfrQU9It+Yoq3qUIgqk7u1dywqtfRxJjuQme1NHqLosDaLLWHZBJXMkNUrCWLhoOw5twj
BYVC5K7JECROjx/WBMjl9giCuEuycJwIKx/CsXu0lXCt2BB50qNwmo/1HQwwB4dizjJPyxmVmUbF
wS97VApM5g2EZ8u6zh2BrYvKPBN5m/KaPexN8903k2NQm2WRLcLQq2hthP7xTDjtCPYrYFsj/Nvw
5eGUgJLQE09MGNm7X/VV7TcIFmN/KbdsKAyl5EikYe4fMSWGZ/DBJ2E3gFfSD8DuBUDhnrdGCt05
w3B04H+OUg/cvvY2zk1Rpvf0buN2YwYbTfCSk+mV/D4gWcVgyJ7ZWbAUSBZdcXrkQuuiwgNmbcMw
flPngn/A1DlAOd5DCftJfNduk8R2EezaOBBHFR+j4BgDYuSQvLxjAB7iAJu77DfY/3y49dr03PxA
St7lRaFwx8l3qY/SN4Be3VEZIUtUXjUGTgc2xRQvV7/lGid8j0oI9e/8pNHtMHIsBLRe3AdT9U47
ZvUA7ACguxzqoz6LGt5p/Sek4UVrYJP7d5IRVe7Q29L5/6t324WWciEB7Ww47KQb4s6y73F1o5lH
cj+FYOXxVfPvqPDugMiBLWbbtQEvuo3Q9S8nZg7VwTi7eDGLvFLps5O75ImyCPvj363+oahVnQOX
YKoxPmyefoIZW6jRN//SSIzYHra75F8cKik+aHIRAhCu2EsIANr45uG2DFeTy/L0Vxa2V2VewCix
fS6uDKjyoV0yMExRYfBUpSKLjv7y2YPqkckt6427Ffb/aVjjsSqjTA89gLn55NjJoK9JfdtpAu0f
O61gT4PTIT43QYT8/4Nzx3ezmuOmXBx+ouT4eeXJLSQ1jxScyzzuNvb6wIEpz/igtArdqps0GSV9
34waOMmwzTJmC7u7pYxaRB/F9AKTlkuJl4+k+K0nVMkdpZx/18TEsqcE36CreTNGfn+RmGMufvcv
+VQi4WOLBbfMn/dFotgJTja8qGPXY8v0i8wgto2Lh9Z2qv1ugSxosT2FQSezF9f21EYZ6IEE5aJZ
9koXaO1WiMF8kQkoXLQBJ8F03gvsfA2GYoRx7T4sfKIzICDRD8cUeCpSAZwkBak4yqXDyUn3JEnA
xO4KmTpaWpxabMLnyCeSRD0hg26qntC0qsvjKoQfcehkd+8wdf1nieVilTcvHTWx9giG1h4GSFMu
ONx4Eb3epaISgHtxlXQOvgPSnLrGhfwiIWYuIwWYAwWVxSCgPpjcB0xF8l3wZGGnQ9nVWcaQLpfp
7tD8VStcYohAqG4J7jGohvv/Vbday9201L2mV7ss6ExhfTorlKcPhRiVvOo9wk9s5CxGID7aBIcf
s1wvKWmzRpnhk5/8iurMdSwOvqotQHXCTxH/zPi9z87W3fI/xswVKOXUSNvaXMn+eUJtITuNNpOj
+zIt3yNwmcDqgzW7U5/rqu/phiZ6bVLVmCd6bEPym/4HMzJNK7XLVBrnP1i3wQbE/Qk4wt128bX2
8fvFBKX8OEyvUdl6oB7KL21B4EnJ+MygZa1BzwjQW6so3xB7nGBABMwJya+frhkzq8jbKxHKbehY
BH4e8LOTk92Qr9hNnfg8r9WdGMYlofKIPyOIbR4wPrvLxI0/F+6v64L5HbcqHj+HcTdUCbxpzm8E
XKAIk2KEzTIAwGYFzVJ/FQ5sHOcfc6WENHRd5xG8C0FgLXzbWg9QVJ1zgPwpx3Nd+pTGHoqbcUdI
DfB0ZH1YYbNE9WmvHRPpQfk/tPK65AsekGa5YaGat6T+IQ2GO4ZWSVUXbHJZ3njcked8PEnY3Ru2
OuL0jeYovN2Cg+/TwixGEV7Yy+p8RE8hua7rD4QtUiMMrBYw7GBQVWOpml1w1bPm+GLc0IMpLUs4
0XCue3QJIxcTc3gfNfq/YwB/avrb31mRPzZR2w4eXGXzdOanok7uuXePa0CF1tryo8cvBCePudEZ
01mHBRH18c3kkl+69vl3rQldiyJJos9YLHcu6h8ElDl+/4tIOrKAsD+rIye3NvzlVV7Ze9TJhX8e
9H9HXu64uzClhHVa/8bKlBx052XPgesINJPs6Te8sFJaa9hpTpUmm4oc0ECh665uk6V8UGYWS1W9
SKSGqhN392ygTXYJHRwQZlPaE8ljS2dzY/qSuPKJyteWDdepTu4CI7srMuXGOZdpRB8ENpk3KP04
mMa0rPvYVzkQYynPNAlhEBHGZrKQ19naOaRpC/nMEUZQ8hglpj7i1r95dXvajYjGztlGX37zJnCO
Qk9rgqK/CKDQNngjB0V4RVWnQ8k2JXau4utIzwd2UPQNlWsDh7D2ItFMVxdPwYfnxBuho8sLZlDK
VENd13mdb+7mpH+bstyz+g0Fj5CsQ/5V6vXp9CZDY71QcceHtD6MUNThDQZ+JSVtBGhdBCtX4HTM
HTDNCiF4wI91NUtCZb7ewVzLCIiqUf2ukUSt61K4AKu7n39hugupIqQhCY5UG2QkYe2XTCRaroFv
yZ5vG8PAJ7yX/s4hpIOHaV4NAlk74MEs81dxrgBKlhA8gnYIOrRtqUjFVnHqjO8WXUq2CgwiUlVK
WFFnyLZlg0KHh5ckggKHjVIpmdqNyeJOPyf31iQh4miRQ67Ynhca0wzZ/b1ILoU1TNI9S8pAHus8
SPXe00QpHu+t+42BGjSNB3qheSbzJNtoV3WcLvxLw0hu7GUtnvBdEXv/7mFWKWdCMhBT1MgUV2OM
tVp8R993UM10iNdPJHHdONXkh8JGmcOZjx800CtAnW1Ya7Ut4WaLskwf2QLFKPS2NLpoBh6L7F45
bE5beublFGtUse/YlWur5WsUBqJ/FQ7Nd/UcSd+IrJGUgFogE/RFGdiGipnGjl72KBm6WfW5xvLO
+9QQ6T1HNJljwwVo4miVhNmmAC/Hm/ASiI3ZQ+SKjHUk/uBRjIRNo+1DL8HWGj1Zryk03KZoJLU+
x8mT5Iq9nKGK/yda/e2TolgFPiBS0NgdvtYroFEm7YM94HFNhN/W19pqT1Fu2ieJH5lH7m/+/4MT
GSHkeMR5uyopLmCSKcif6AEHjD2Zi6p4xAm9cRhoElKtJ2Ug4MYvR39IVW4FD0kyBYj999peKmK9
1jAX75F+SgwGRArxmuiT4Jsq49qhcD6bP5mFvOS3Ues/GH0lKwUVKy3D0L1xdyvs6BXaDJrNVkyd
lf3QXgSCwOBJ2SmS5ZXY7APoUpB9xNqwKAiJwt97iFV4UkSK+8pNFu6uGI+3vHiEYDSLhmXIfvgK
ofyuD8glylTS8Moe1ygvhrSJNA1Mqam23AHRxSCG1zlYV0XYQe/3GtShGybctTKy0Jz5CZ80QhYi
eHBGXnf6bE55v+vs+8mEO7R5jLV194XhalplK/Jtg1/ohaZ+URsinP1mHCHwos+ZfCz0CGw6VV6D
s3fUv3IT4rAq9q+8QfcoHDShXv4WgEJwLYm62SCzkcbjYhPAP/fbl9nfgvoz4qUTzRkbifRnOAw8
FPES8re2FPdvzlqtR1QcnV6GhkiSirH4dizf34PHjGFPtB4wyhrs97nZvfmLqbwg3DVKIPZkoZhx
4VqjqOTGbp3N21l7rTQUeWaycO3TvE6jh4HTUV1U41vLEPz9ebJ/UTA6ml51NhB1190XjaqKdRnm
8G2k97e3a6V2GDmK+d/mZrTtwQKzOMFy9gp42Y0z/Q38pnQHVKDOny2TR3YxhbjKLEv3bxFG+gyC
rcOmr3fSkpyyVm9sGnYrePaBFVndB/6EaVR9NU6jBd1nsnWJVCOoVRCnRFH8Ww+b4FLV//BH1cE5
dHndss4xYRyuXc+IvlDBEOZGTEJqgGYxH8eGTfY2Js54uoEOrhE+NNULsYbp3eUJb9mdB1VNtQt3
5YxTL0yE59xfXTVS84XFo5WTHCSYtd76ylwmNtPLhSuyslqXGt1VyOvnOZi9MfwA0i795v5rCWfp
Jt/Sf3vUKx5c5T469E7tmGt7hvORNbc2fntDdISSR7vYJG7xN6ATSukOoVAQfSk61VaNii2etdTF
CgI59tBs5f323ilqHxqYVOdiqRx4tVbuWbFkwfHJw+wmJ20N007Own/5ptPyt0NJ1/QJg6/WR1ft
WF4u2WJ1z7S5pqPCkRnw0ikh3opTMHdmT9EaqFjwnc3MDt2j5pK74+H5dr6F/YBdjatjSEJUcgIr
PVIwLbZn5teqoLI60b2tWmdvwKBmW+Q2URUXHzdXnoVQJP8SFS2+/n9TJJJBaW7o6uD4wVDk7IuX
/S7tCFI+qvfOvgAvKbxthVCtzaD+3G9h1UaTJKlCbLUfqYqPnujsnqaK2wBFJxcO74fv+RtxiauN
2t1529XCIDBKn8ghsFeejUiNFtsYTG87xEwavkKAervYqBGnPGweZoSZqITLzv3YJO+MY/UK58/N
mllnYwM0xd9jyyttKSfuOTgcEd7DBPIC7iVfVisuQqfBU8Xt3KWPe8u1yIwbdPo/068SXbfuPv31
N8wzRF//EUpP8n7HMooSNvVWJr61gS6JUW7mEOwzoajr7VNMRKcwRHVijSxEk9ItDksyuWc7iOs4
dOfbF1FOoWtY17zHFFbrocVLkSp+XrAZO1++QN+03Kqi8ZBgCSvio/BQpMLCCgD+tJMKdcBM7YMi
fe8WXm8lxP6AAXPll/RY9JGDJCn2XN51UmX1mF+F0mfBJHo2kzw2GS230emW8l31dOa1zN8qvO3S
Tb+lCJTCnpg3fenjkRPdZ4tGGEuCnfxMLWVUu4Zph3N+Kl0GEkKR72kH40XL7Ix7+u1QILU8mAYG
WGma6/Wd6x8mQYqY9vz46n1KjCit+3DRmtHFd1HByX9DoBOVp4i9DvdFvaOHXZa8MhA6YBCfH1Z+
YiQN/0xl7HqW502WIuy0AnDLNvKgEaypHSkcZQBCk1J57JgCdlqajtLsEGa9Nqzj/IDtTDu4N3pb
bXi+/j6TOCaGJy6wZ43uZnD/x5dorDr59t7s88dXrX3jUp2Pn3mLphQVCII7BL7fUFILBS7fB6/g
uVBZ3sj08rSqzeL4/ncdxsBqyN/v2ztyRkQO10BFywr6vLWI3M4Q5OF3qhyQlipSkwvIcQ7Y7bH0
U0SD0ACcsBzq5kVXjPUZ3pKlSaTNupYTCKzvP1n6bl0v1DORFhjqCEIk2LcOOfs0iQy7aUC7Hb4F
73XhD85QmdvqYzPV4AudpbidYfzddDkJmTP7qJAwk+G2Q4KfaeWZXFL3TxMQxL2dcy+a0yiF/QvU
k+Nv03aLztAxVokiFDoJAWnIEpnAFdoJ56BKKcX9XbB+60CdgF8qOpHoNsWlaciWTXpVej+iZssH
D/tWLPpaT9ex/npnXCrYQzTxbXeoUbV8dX0/qpIS0DV8R/fHUAkgCk+MqYFL0aXg3Ty1NlMZ5Urp
4PWNNEJMTWvzgUdWtixjmSvl+vtXhrtL0iKA8oQvKOEBljp0wnirqvxzPQ0a26f4UrYvN15PRBNC
xq4bmj40LVq8ozGfAg7IeB4k2VxqXDLB02ss4wGWJd3Pj5K8GSQ+z6rOuXS5ce6QaWxv039JqEf1
T+I/DHyXKetdMvzP6qFV0iI9qB8X2S92jrtHERWHqHsG0OVxsdq+AjOgMAXDpX7fPLmW3eG7XAIG
NHUIimQLiowE+Ne40uc55JjS3A0lhLxtp76KHfJfAtlB+dMrBHX12s4QiYkC4gXyJZmgR2xlovFm
+J0l5MGxiFcZMxbyjQX3KSPiTZxpid0ABzJ9byb2S43Qias6UmZLX48UvHGkP/rXNt3KQUYmdwKd
t5LkJYeWiBnv1CW0qPU84WAxjuDkoGgTAQX8/ULp6toudrcdnGiAyteVFvB0mcGKjQtJsadbbqGl
RU8CE4IevWi1Ji/j2nebBZxu2q6FZoSQ6frV8Y+dq3skfFYcyvKtN9WS7bWRJ1uUp9bgF5ZZs1ux
wFFvTvknghgLD+Dtgl0KkvaNbUY3bZ9q0mBddJSSIMiJWFoyQQwPB74b6GUFzyi8+LTzETLWRjeF
VeBxo+nXJUNpaUGKYviIt3MvIlhrfzml8J8DySmWMLj2fEhofodAazGN/QkSZkh56v1VvN3km01v
N51VtTZkVUWBe8CKC8qCumDiNAowbRwlnx5TOuBSDcSL6F8H9FOLnfPNv4x3mhnitH6gmlfgWMYC
FO23enMJ9otn1sd2Nebw//mB0riPoexjOXVLFm+cJWuSDD70hyBOLGc21cNRh1wPtbv8FKUeW9LO
+bPqL3CEBqBPgXGNM79asfG+sV8HzVgofz5foeDVvW2hzb0viDfwIiPoPXBjgDSl8J343iOF5CWr
EnwShqWAWp6h6WGbzp0TFqyQNgTKjcbh4HI0B3Wk5XxlHd8Vik+MFGKRqXCTgrwlK0CIBge0prWk
k/qarMQXRUiX520nazHJW+y559U8xwa4qog8eN4Tg3XyycXb3XaTz4YohWbxfr410gVNOw1VMy5j
//KN8lFKDSKLJs0mUol1DAjlv8BIcyZIdNWM4qnXxMBxmGPVoeD7J3iYV1arQ2Tc9ydZpFD6Yo5i
ZQpOtFX9jLE0FRxiSAn7h+/1mhIN+O6pPqzaMBKnr/huBTZUcBLaZ28Kfa1/nAz0Dr8MrmINWKpG
ely5a46QqTVtAwYY2eB7T0yE8y4Ojye/rTeVlFyV6dcm1P4YlPCpASQGtrGfIitigYDZDRsNx8Wg
6eUce3hRuoAZczgyowysdxLJEvi+u/GMjyMDfvDh+8MwvbRKQKVepAvRQW4FBg4aSGLkHYw6bGCR
ihQZWNy6Vrsj5/zpP82dEnGUTrdpL9beZj0Dyx3CZPGM7Hf+oEkMBsAbaNJ3JFupTm2Doal447cZ
XJt3iWq4HpcBVdSRicxcl5VYtkNs1rCX7uKhuqof6EEF+62EPzpYj5JidL6wcDrMmQ5cfuQ84+pC
t+i85gBE3s+JqzJK7+SfhnPL5aw/W/qWgSLpzojEfAxsTvzVJWadPzoitE+IMh3a4M6nFy0ZNGg3
E9vQoN5dzZv9HzHe4evSkDFqucl1YhZUYzUSrAxEvJtYpQvH+wl1jP/xdpF2irc+n9tOxhjtBTi1
VkNnfe37KOBQwGnHgPcBxYfmhJViYaQcCetRMSrKrpB87L9UkapiMNVYUZ9y3aP0cd/I0JtcQkW9
UVB77FvuBT41qlelazfBX3CBDeiFP/aLfyukgSmZ6CBFzfK6LPmRDp0lWtyAEKEtlh9FplS66dYB
yjYv+BWfI3NB+aIeJFYmtqNJ2ZVjPbfsLtM81qplQZHLd9nnLyPkMbrPp9yOVXR9IiICCuqIT9rb
ImrSRtO4l7V+CF1MIhmH9VG4FNBH/FK98NiWh7RUQxLlnohMelt3s8jSq3HNeawRXCyW5LTVhrVe
vUxLerKTDUwAcEVe+YgTINKmSiU31fvh1MZKI/4bdOdcs2wpEIR7V/I37cowhmAQOicqt7Iv4PbF
Aq2+1YRxNcS4mK45fDCg0jfrNyObdI8xUh36kbMehQ14jZ8W8vXOTJLTyCNt3NeGO8q1WSXUQRvZ
5kw6n0OoTkZICDDn8xYc6OS8YNvTN15jV7E5Ngcpb+grAAqk87mUH1Lplpt7jxz8sjnvQQuiqQD/
aHlV2V0LnefjFpLgdp8Z5KBgG/U6cHhFj2S9zmoPLfk+6aga0aKzjz+JNcqABtDi44KT0vRJ122e
ZgJT1pC7oBCUtic/WwCnHf1CqzwIbBt6bZjjzPDK2q7Ed5lcaj3yvA2KGCXnR+abxGFT7PmgNH+F
U6DJ3S6ySajaRwMxG+l4WpHj3V2LKgTMN47NFk+6fcUz+6uQT36YQVjTFyDCX0kDmT5J74yTIF5i
MnXoUgYw86YfgNSMzLPo6Foru0d5ZOtUKdhZyxpWfxmG47eWbhjXrLchRXEf3x2bIDOeurgENL5U
33qWE0VGYxoQAWkQhDncqt3ZLrwuPHPNhOpZS6huzTtJIr8GwhMCULofLo+RmebdgNRPTQXMLSU9
GEELihMAwrXX1ptUGB/63oJHhDQ6Qa6RtJFrFEftTFqxGSCuXI36ff/7rKaY7lBC++6Vw8GhT447
+IKu6QG8cSsDNlXT0/dyFHIncBXlByLfl0Cn+MGwDNRORpaU+Imi5TYq7Kas+gzJPx96siMX7nP5
yiaaCGJMP9Z0GJhrVrMxwrKTn7ghYL/5mYjZK498brKina6kCjVdk4zCeb9g46DT25JBfh7fMKcx
RpNkgnb0nA1IHxIqXLwxmlrb1zhoWG/CR2/liwNSbbOF28KT5qSRSHi+pHr/ND6J7PpphEf1JVxF
qVTbIzLCQC+E5Im4nMF2+eGTwATa/hIuOEU0pcHOadxb0CH4N6ltI/Xz3NdnK+vVGP3TYb6WrTSy
+w6V5FSRlEvWddLM7UpSZyhGkfv3dMjWto2+Gtf3YF5ylHyyblqLyNab12pFqtiOEnThM1NP3dGo
+FkRWgQTfrXzOA9FtlUby4BCnPfsSQZ54KvkGa8rt3LGdVUxjCM+l0R+767VmKc1MW8Te0e8jJ9A
pLfP14XqdfcgBIGoGfQEYBqzbYW5cAyp/PHjqnyfjYwCx0YIwKGra33M8X/7++mAD2YhYPQO3RIt
JNXZvZgit1k7VRfkLC8nXkal3IDe55EXf6rz8tNeR9xOK/scKlZ2usFC38C66hcuS8spSasAt2Fz
WWytPr3DGRYjOr5rACTM/FXyGs2S/zlMN/O74GrhQ0kIp6CI3RjWQu0kVeotvezdmzNZPy2jHe5/
QMQDjN5y/cVZYrdkKtQhceZoC3j89D1NEpx9M/POAkk8dLBLlxxtbeTDyLM2Ol7X4KUIOB6tNX4w
kZ2WjOiv9IkwDqliAWgXY4GE/zihvu6rRTHnPoVmrsKriWASzZ7S5k7KWaA9nQj5wtgZ6RFQGLPH
21BI70R9IvxkC+ArDaABvH1H7mcXc66xDMkhcLWw5nzuImZ75fElRR4vknU68rbjpC7hF+xrZIZP
khp3SVxAvYZLcXXtS81nq5jTG/O3xeDLMOgusNCdtpR4v/6MP0Kc5WyrDTvG/6ijEeTuIliZVWZM
+kggSewWIvNK7f0C4Zjl/A2pIQSu8IVvfP2pgQp4zRY6Xd8Xj1dtR82226aMWZIIGPfs3hCPrOnd
sw5GhK25AJqkS/ussmDAoBV0/TSgFVL83/xXYdi0yn9GnFCB2uc9prVO9yFFtfbjl8InNRRXba7O
OqawImSdL6gcApzs/XAVrEy7wdO1nT0d0fqTgYOrNOuxaj228HFg5jlCN2SG3O2hxXr5TRDRGinO
kn9H+5anoTzDT+mB48ZWXnt5Mu+3/19QqeIL2uF45KwB42r7xMLVlAxIwPPJGMi69tdrscPk3GEj
PjOjhcvGgvMO/Z5AnVjKpfJAN3S4456WdZJECfFSZopagMxDtTJwwjsNCprhm9IDfY+HJyOU9S8v
9H3ymZ5h0u5VuIuyUxpfeah27mFsZWgES2i4976axvQTDyD4a+QUgVkEK1CN9XkAWWDsyIFv7LEQ
pWKS1HzYlWiyajFv6ZjiPLPPDhGtWS/24NHOAdmJVbiqWw1fr3/f2iBziIU9+U0xq06j/UIHg4ut
KkPjrdhrMS/cDkO2zCtxAEkV/LfXGeQJC6sDevEYYZJ+mzTmqn88xPfBgoTOp+2+lIzEvIGWG7YO
YPNzOxWZt8NuPp/J9AHY7h//VVXxFjl09VaOTXj2NP1qX8Fpews/jzufAvVczJiYSts18M3ZdkBS
lFXss51t7wtCbjNDA8ckHErfspZX0nR1Unea4z4GNhl28ULIQETLjP+VTr2bF7w98pIu5t2ScOt0
g4Kfxq9crJWUUZHIxUP4iqUOgsh5ycK8w67+uuumsjPqtqKvI2W6z+vkW3Dqsy/cMUUygUTWfHmq
YJJ9fPwtMte0tZKPdIQvOryNPSD8xDBPkD33c8+M8J+QMGXEbM1oTTu8U+coEyEPf/7/vPX1tPfa
iTpJjbppD9OBCFBz7wOa5n100BQxqVU8LGjXslKqzGp37VZ8C3FYBDM+E0IpBjHVUs+bSIdYhu93
+VnfaiknFNJizimymtjrOjePDbxusRDMds5zW4TmIcr0cwd8B/5iLhfP5MgCnhZWSV37U7rqLuqC
dS9PAm2fUO8FTXNdDtmgHm7kCeAjh7qpPSnydbXEXJUWcHBS5SpV//5Zu0THJmhvCL0yD8AAbDFd
rwMgMbtznerxfrmcmyb3Bj2VnLRd3ztMNMkSaoGtEAK1KxGicwr5VhayXwyipg+pCZ2J1Kp6eFVI
NJeV+5Gw2piV8FSAjY719QdVLTymZyd/0TvoOMRo9BQq4aSUd/61pFGQb20EOhBtz9GfXWbG7uf2
GZjJLRbJ+85IouxRX2ZllR/QL3R8FXQJdAR95llOYnRusJF72EDM88bZ9Tthd5K0o1JZlDOwsIG1
YaRA6AsSX4ZmuyieE4mxN7AmuJ5J1vMpwY+FgN315upXWql7zO3wNlVtpzPO0ExGZ5MhLVSVfZZX
iCCn4ChiCmCJzwWw7YagJiJXk/ekzbKtU3p8N6lqOYdrwdGhBRTZonJMquzXGPIyfpqsIpm5DWDy
QzhVFuKt1WgpfK05ZjwVell2+hTLr4P0KC+im1zpKi5G3d4IfptMUPf0PQHjE00C2HFLsjaJdBp7
IYdKx68QOGbu9gL4T79163U7LCAmNPXzdMguNfk4hLf6c2BnASjDu1Coj4OXaPUXvzkgdw3kHvxZ
EYz0YtctNNMCO13a46z13Nf3qFhhpEuJnxigKPW389bFHU0QE/J6Aze9jf1MFsNBh2K7uhc5WnO/
FjvEsKxzEM+qfSk76gmzBl2WQiHgIsJMGWs3a1mg8nWbjs15oH+U/pPH+zr65s+QFeB3cCHPRcpL
g/GjfLpf3Gbq0lDaGbb/PWz6HK3RTU9GGBc2pEO6QWFazAIDwS0JLbY7f7Ye6fM8fZ5WkPW+7V7k
+/1j++ZVHMtySoGkQjJVggnAEx/Ndmhu1UDLswOOKLegrUJLHki1I84dZAS02izX29xQUGWyhVQH
tS8iE8XotjJnkLencnFCQnutoeCvrBbkPUjniEbniNRHXYPmM3XHoatNKWTHMWCNBQkw3gAVHndf
EaimueDwTOLtCqwLvvaH6EIkKn+1c4cQO5yH2WJ4BQITzysy1Cv5JjYq/eNWgN2gb96fviSOBnAY
7jok70CH0n3hTRrpsnTqC7UpTFXcfDdWE1Wr9Q7/AWGic4t1FgWHKbbNR1pdVxjuLDdadDtW9VRp
MhJox/m224DxoJdtW9V/xXNajC3ZQAu3MP8pzAtos62t/ybjg08XfQBt6i2Jx1WGamMh3rJw73P9
wNOD56WGDxYh2kmlNNtusE1OpBdvUJkWKLCHN/ksg3ynpbULpwJPCv+SdN9s9lO0wljKHZzUAY3L
BDOu1rjM0kci6os4ImS9m3ALuj5AS3q/IAIYoaPsUd2/jawxolIRfJvtdvuLFrabwpBhUlSjcrt+
YqKPA9jHOhX2vGME6e6+rzmqK+MU+X663XwFPnwIPr7hKNbuYEEtfe8UOeduNIUEcHSUI2iUeikG
MMKEVYE9mBDzHSiPcq9fCx6ECYed2+7Y7cymOgYYb3LeaFXfFM+n0SumiYpkukopxbB/gSGr16L9
K+6FRvqsnujr2SnaPKs1Qohd8kS+MWKMrrGHEW/Bn0xhS8GWgf43NhoxicEI+fnyQvYMO0oUiaI9
cXX+oal06gsAzlEmq4/uMB0s96wDNrntopJe/Fi3R4BU9155sED4Jq8Cey3g3sNdHTawU4D+D/GU
VSoaZLrs3hBylNWmzvcCvCP8yvn3PVWz6goeS8+ciPqEYZEJfJ8ldbijwun/HTBjvW0Fg5t204SS
9OWlmUk+mvIDzRp1c3ZFYuM33DMxQZmzj4dO4I6cZIub+gb4jj0L7hANPJsqKJ8Q7I5Elhm7jJR5
W6Xm4CHomIlmqjAkPmdV99Mdefm9NKOugMfOVzUwZkARGohUyD2b3dpMajzgtd5dkRGg3YbxdjjR
nu/Uj9jSPtqf5MXBVCGqOX2sf5PLBNE5leKyu3opcVaMPIFqUh9MaYVxFNSVXUv6/tdWbV2P5Q0S
Cw31PL+Dz3hxIQEwLw5w36HFLTmDEjrrvBUPdiyttoHRvO2GLu5mCS1bpjdGOedhvKoG5wwpIlLe
s/u9dIiVp3yEJhkWr9Sy/+kDZkKFYo9xdvOlKojgHIO5ebDd5K4Xjm0+xbrdU74dPDXIm8993BVV
2XISPLCZMf/uu9Ei5EnMrrN9+UuTgbChKcahyulgz4XZxgD2+7MGOakLGI2ZPP7JCoy07Mmbf89m
v2qBF0nQ3j32VrzqUo3lsfDBDwKQnCzYkhmyc5RWZ0aVCbkMj5XmzzXDl23GuMOXFYVIUXk+qPje
4gSoqt08f1rLeNgaouEukCYYJEJwb5zzAPDszMxiIuyZrsvyzz355+D1x4Qk8VJfyETU1faH3HpN
Zo+369y+lvwbC60/97N0QcwsO1IW76oFPIY1eBQZ+nFsZTe1RfHxBqiKj9ZhPyLFkfKWhhVTYM/5
od1tNEkX39HyRfqImvgrK27jHBseVFKPCiNHFpySRyySgnu8VRIX8KRlauCgEtLT86RYUgAUZl5Z
EH6ZXSLUGVXmoPyc5ANSVl3L16T/LABHMpx5R8kExwQQ8zCW5Oxu310VhNR9yejGOF+EDeQz4Irv
d4wGXZ+LsjDk/EIRTPjNx7V7yS4TT+AMAuHlTvziu31Qs10ybBM+GgUxZw6/aY8oHB/MJqPAA6oV
ZE5SdApzIS2aBsTBjT2qtZZuiCUmSatacAWS9Fb5OGf1cLu/a7WtsGKhA9FcWxQT1PkfAlX8kR1M
SMqpk0EEzVg7EHpZoIGjbM3fCXebSI8ReltZgn9akHRd0TgPiKitO6YVR0aFuh/HyAN+d7+2OrZq
M4qq3XoCWAifAnF7nc4oaxhpVx+05EnXQD23BoyiYTEe7bpMowdXzthERxSyJ2T6+HeaWnFh3ScJ
cSJNfzdVMtpn1m9gnr+HoBXA4G/dywPSYW7K374nvXX8rdlP+LqEGt/HA2jiTIoMRA9QeAjsM9r5
ZnnU3xlPyy7zutzTV/w0pBOPWAoqdZdkDFx+6iDDWFWBrkawGWGo8M9shUoObPMbrfQFHxZ/jTHm
n8M9y5VnkcBc9sLcotmAMJDfP3hyOFPyeQLBXAdp8w0xhU57trXxpOqdiOI3vXMe3yorO7EG1sTA
zxnobr2T1PZnyJstTA1ZItLd5lMDRKKRuVpZQILAIkNAop8DBTWNCTtxTbamOQCh42hesMxUaYXL
hAFlznYkPxzmAJPKM3m7TkfAE5hb20FPqzuiPiGtHMxQZaQIxvb7T/Mo8ClZ+H+gxO80XP17YNJc
P8HZ09m8uMwYi+OiUvYOKPXXR2HRo0PlgCMNE21vwbIgrHcjH9ZNFsnFXLVEuqL5eY6W0Rk5+Zyf
4FEGB4agl/yeSGT7l86ctLcQo7fywDeTxluD3fCvTWWbAooAVa+xOYWqL1rCU6hDHaNG967RDJSb
j+Eyg9vqbEo9ObViANJS8GibkCRG8MlfT4faVh9VjpQE4uKMYOzEJBwsNk2wkvGV6riyRcgqTy2A
IZAMLQsBmqtBpd9VBHn5y8VAul4deFHjjqebFcujX5bGOQsViLDPPYrhB/ceB2zlM9akj3IVhfHB
N6BYDCTaMZNz7j6/BptPJZArhHk5OltqakFOiM+ohiparXvBCrlIEvr91wTPOXFeOxxgvEpJ1rJD
FH008LMd7X3+GvJpNN9D2qaoco98NSsijJxWwhAheF0NiWTPGWwCwHNQdJRVU44X35JpgFSn8f6Q
Sn8WM9lr+YZh2p/dLV3QvGJfTr3Wg21rb/1OI5iOJgP8Ys1iTRg1x7/zbXOY9w/wSL66itzEGCkt
w/Swvzxm9WdjDZrjC/QSJU5AyW/PWbJ6mSCE2bMEgV7Zs5buAtMDS4U1Bfe3cgfxdS/a3G260YBS
mm8G17gaBNz40pzOAt1TWneSTiLUeqB3P4Kpy9q3hwprPjChOw7Nj6YcAIV91pZ2m0xTToMHrW7Y
jFHh2uKX5/V08LsyHRJiaU/m342tAgKw1mELJNvxZvUBYylh1jg28GSP5KpF29otrkkntMnU9w8F
Ibjl15QZ9Yg083IWphj1Ib9SMU5Hutgok5yWSUMphtFX1/Dl1Vg/CZcwZrXl5tKFK9JFQqn3cXh4
0kqI4ls8I6ibV5C0m4QkeCZmlTifw2+lbeCZPi4Y4ZEL4SursqqdUaF7aDBDc5nIdl3z4xXuI13X
qRq4u25mW+zFRIDeaEsr7pwsCZyP7oKzjSmsMh9nZw3iri2np6X+LKX9MXx81+8QIWvqC3PV97un
UlxJFrOhIIff+JsDakOVQmAVQBlQ0HKlY1Ye/DPAW7pWU5KkzU91zOMzyXXJxDvNmrPTWMSk0wrj
rjENxGCPYtIalZZdXjgmn/iSKzJtts4tVYLZ1QUS2OzI0VtpBsgBzGqPQiHKipyBzMoksQ+Wuxjx
aQMXZMM3bRqgCm80gc81kMnszlMgTJJ17cgJu7krVKJdP7nviN971iIY48ugaIuwdgc4uczohs0m
N2cuzTrgaHE9nGg5ZtczAAX6x9xJeqwnkBAR1x+J7kyWIqWWlQiez5YY5M1NwpfkY/7YsUBCM4L+
6+FhRNrtdxW8j6fkpopUhO53Jxvlaf07aYQnJjRzZADaqYmyQMN2xxSV3GSl168Z8ZkmlUY7PvWZ
Ysy3JMf2r7v99DICWMdMqqLcyYhTOIGlBknGrmQU3hRADK8q8gxhdz+q3BS7rx+vspc8R/EaQnUc
yzGW8oUauWjdqAYn3cY6R1oMHIEbQS9ZNNnh/02KCvo4UWXHJ54NUKt5AxnHJ7Tj8mUZkAYWHkGk
P9elurTzb3+4nHrCAwtpzsCIH4nZbiMqpKrxfQraw2rbo5qiqRvJ4pLNLz3zyVSJJsLInQY1z1o4
c3b+uTTlmEfDOJvCqamEuQ746TagRv50/SHsmG0vgvf5Fu6cDabs/rSSf89oJnYkp8PtjZpm6qk3
mnUZONtq0dC9n5e/4qxs1oyLWyY0VDiq9G6LQJwhWnbwwSN2jEgdm3utuEyO0zacCoeG9OFtVR77
qxIhzmimUcYv8AZg02fM/yFMZSNOY6bUTvjq6/B8QZ+Dkk6ppX0PGqes94KRvFs+tlJZ+W3+SFVD
25U1H4WxdNb+RWUTwAJjISP1Cjnxh+rCGUMSTyN3gNp/cmBf8g0RWyVi0y1soBd87FF/NmNInarK
4G7vbGMkeA9kXan/PHChabkj8Wr6FtOyFld2W7ollVExlm1Z9OowGxCC7/uA5422ah3sHFrc0Whi
WdFNquTw+VlVPl8Bh60Tqx/Nuxyoa4Zz+rZt3CKe94R7bTGycPDSS2DgyTbbEqmm9lKAK3oIwpr8
Aj4buEiP2C0VTKEM/7lrUBEZdi3EdNA/wFwl4r/q0ucpD/P2OaFZ5Sf6gtTMJB8j/tNtlnfUevU+
8DM8AX+ACwU54g7Zs8M1/DiE0qbgosOHlj8m2nPUTKl+m3Oxlg6pDbJAYNrCTkgeMp4HXsm3ezNE
lwV+unXgHHkntHzgBPSXkSot6yGw8+JAU1hrnnqSUDtJGFYzeYgI52BvLVAwcmq5F9uJ6OmpQHYQ
2oHi23W7aTNmXIRaSj8FQ3mqsegsXCNH5cSriVOx7x1+EskrfIDRwBtWD2h6w4R5kMdTfraLj8cZ
PrEmT/Z6mh9T0e6VSFzSHxe6ugmN3qSfykAIdupmlweAv9ucqwb24sdZuW+sZz6iBye9anCAUVq5
/hpewY8iy4BfzhNhPL5vNLhalIvvlvwnqLVKnpbcMXtrV3Q7cz0heUlwsBzhF8dUd475tboiusvp
t9dZ9dSo7RK+fCp/ZFrcjxa2T/e4k12JfUO6k297vlBjibbRN/l0X4JSuqjNO8hgI97LZwVLlfmm
SZ0AWEAwqhVsb+qL470RqfBstZwmoRkrY1LGTh85WFdTxt9wKiunG9CuIfF/I2cgAwsU9NnTXryu
ZjE7gxl1QmCG1ov9SqxeQNh/DpUwSd9eUoNu0pz9UL5Ubd3Zo3G2SVrIw2a/KSLYUyQynNRRuugK
19wFDm5XNz33NKueYlJe17j8vu8/iJ2eKU3QDNV0cn90a0gQ+eKVf3/IPDehlxUe5jgojdgqNC/2
mjRj+MEq6k+Ypfv+065jHwfRNFOdULGeDCQWxgiCdgHDMDMkf2p8wzDtt6D3zPAhALXtsC/BqRx1
kqak8oPd1I476cOkYpYUyLxupcx7gWpujBqhsoFoLNdXC9i4D4oSAD5ErMb/JfTLWxpUcIuo9eaw
IpnHDI2wMlXGoautKT6UPFpQW3CZFjTiOAnVsXHmQITd+HRQ77MTkIxx+m69oVo/P60g7FgHjb7b
twqgU6IX7hrd/eBPQoyOn23SMQ8Jai/T4pzMe853rXGrcSgC69z9bA4yj1QsQfPDBvlHdlcBZpCC
q/Xi2EezpQabdKyarZMidLa6SmKbZXlOYauvjyMkJSd4eUrcqS7rQe7H8Qgy150ogf4kqk1CLqBB
iib09PuY9G7u+sxCJ1UVlji2DnIceBtEIByEBystd6xUEbUYXuzVAM0axz9Ra9usOVVgiXhznD/T
+rc6E11z/Li6ciUbcqvQVAYFMKQ7gvSrAQ81rYRiHyqxhrzBaMmRgUmM38TSs/emIa3Edg+HEKSD
r2aCNylgbRynxHclRNiEXxU/3z16tWcukYcJScoz7SuffTdQZnwEw3MHOZfz2+1D4Rt1cszvgYW2
jDt9iaPBniA7y0h9v1+AjQC8mT4aPxI4XMmHsOQeM8ky2WBwzBUgTonTslnBDlkJ0+9lJRfFsRKu
j7A/o2HlgNCvBZ9KiFIS6eTIJopeXmKqS8VBN0k/U4XgAVCXhVdsVpaMEd/xYGoBuW/6VWzq6TMO
qoxDtrNqUmUv2fubvJ4HOnYtq2hLPIzAeenil7VGi8umSPvvkytUOeGCtr+SL9/GATN5SDJQaTV3
7wSCZki68UAjwQsIrmivmMXvH1X5X/MgVWs2Owec/L+56gEcCyKC8up8PbZAs2UOX10IlaV6rDoa
YXGhbRkM08Rp7m9Eb0uHXlVa6A1efAvudMzv1NM9NOwvtKxjHqcmu+YylbiY68XXLc8T1VeCmslv
mTsve6eVlC29kNK63eBMNderRSxkTHO22OFG540zfiRCNMPBpU52IhraQolU8/NlgOb0ZGorvu7B
YeYbwR7/yE0z1JzjNbut5z3QCh/VU6pxJT4+H9a7VVqz5QqzrAOMj1advUCtxKLg8XDKTL4bgr96
rSKgufaxVrUa96ND9gLagI3YelkiklAU2C751cM1s3Q6sthKmsoaPtv+oiUrJFOGBKO9iAWoP2/+
ibuP7nkw0VsRaKLEv5RVRS/1p1wKSHeZ/5ZLlNvwKVkre8gKv5zlCxGBOcyAIw7SJfeyuuSxSIo7
OTI0PJwLuZkU0mZWIOxHulZ459HtVB39TUOGbpPhToFqgqGthTxBq6yn0KcVdJyk5fX3pSE84AAL
UYCVl0QsGyH2W/1ooG4KuEak3BYJ/KPVqzwakiI7TSNDeE+nyQy+35zFbvRgyroKsKRuh0ulTaL6
XHyPZ7nE51JldNqquD1M4BWsbWHqWAdFqvq4z3NoPrZBpXbcbjLPCPkPu1HsSSsDuLs/tDWS0sg6
Ltv75GqaGl5HyPQ8SzV+HQv4IwjO28j5xRImzjkGi8tkptuGvVbWWp479smcKMtjADh9B/85xxwy
bHozt5anK3euICWP76fVnUV06f02HF1ILTQSgnjOfsyfrpc+zlMGl+M2cZecsuPeGQVttMIomYhW
z2SljhBuJXOGGVfFu0qlx2zscdlFxLoGRHc0GRR6jh02C2VJR4rz8vFC570pN5O23D/UcMAu0V1Z
rXghg3vFF6JlA7YWHaofb8vRH70yuztDTya1Y2tIAkshaVZxgzXnqdsZ5YH+2DJ+XDVD+0xQ4UA9
ysOSAjfUhxk4Edy+DRO9rCQaCqGebJKiz16i2cwSvkqflKB1Ke2QVlBDOFUldXY49LPN+nz+wB5l
A4XQCF8P81NANakg3Obuk1+XlHBNEpqPLfJZaFy0qYv0N2NQ75hiPvzxPCLN+doAFwp4qSDaKBQs
VHZvFzYDg1QCymZZdR/2Xcf+Fi5tbc4/XdC9qVmYDoIypTPSlFCFOQrlW/v6m98/Yz6NUDRtkZrP
ry/+qT9mg6KxIRB/oGvFQzmUe6SqOrSVV4l2jO8fz4ohS1AdssW2q/EM2e5fO7/yK8sr23CNoELb
N/sqcfl+hKbmpS1DLC1u/u54aY/8zn5y0a1Y0tIyfpXKWGgHBL/6cvXwbI3chq2IFYm5aYz17FDj
QjP9uA7aGxU6cQlqEEZjcsctbBzP8Nhpcqe6yHHo6E3eZES3M24feHNM9QMDVDNHpCBar3ZUFJjL
Hfszj6Bc1GbIwA6o6HZh5V3egiK3690Vfml6SaySkj9ZXZ+9Ja028ToCO5nWiPds7u+nb7fDrQXD
WMHPMVCm1LKm8t/GE4hgO+/vJ3Ox152WdmLa02Cwu6Nh5Y8C6feTHbKCf+TO/Thu8FTP7CANHjJX
r+tyI4xxX0l6kuhBrCDCDwyHg1/asJEOGgczEuAxMtL59oejQPnRQMOKAIYcgiDG6FPEdunxTUMO
LIquQCmZC5WsrnprUarjqIVI9neyCydB4cKXFiYd5JBh5cONPCBwZoUrzWEq/qGahCoBuRmRekhh
g/sDt3b88VA+rup3Lzya8QGkupfXSo8tChmSPOT+dSqbtAJxiqhbf53ZlyZXPXlWWKdHVriDIe1w
BHIVuuOksMPCaSGozLx2I/VhxDUFvtIe2PJd22gN+GVHGmSHuwOX82KrPy7gyirqRO1fzhvdGk10
aJJCFUDLm377+0BjhIDR8CO52tWZdbLPDrLWL+tW9FN0MTG1gcx2rxjy4hIe83s4CqIgjpsehUeC
LkAqdHKU+BtqLE4nW1a3MhSi/0bpzIHm28l4KBONpZOHZ+K2Rl17mebY9XL7KecixyzO3nETY2Ae
d6YxjzlzqZ28zVebO7X+Usr5rbL8NC1eEwuaUMTAgivnnPNW/TaGOxsRBos5bDijZKXZK1nFlOTH
J3EuDdzo0KOPsQrJ/kS1rUOoq5/frehhdU4pwHIlS7QBDtV7NEOH+6smv41c4avLbMiolt4XJq5Q
Ues2S/VvNudftfVTId+sWcpHuUm71fD6QMavPKfPK7MqxA8H/oZzjmmeu/cJbUGDT9VEsguuIsya
DMSqIxGm/nRqcITkyoZvyeF9x6QFEnKnYq8L9yWv6RlizLWGUOmZyWwK2++3crNAsBW1l1Ar++MH
NbhG3OtipOCymON4Yx673hNzbTieV5WwSPyJU7WF/KR9fe/axkjwMjKapPbS76N9qQFYdKfs3yAd
oYOdHwQSQWlIvTrAAAQaeQyELn+Me3/q62b/z1CuvQhGs6ws43RFGvTWhDzuED0AAqIwW4LtNOQh
laGuR0wpv+xNNxfCWbD6HZw1/I4poNPzEFkOd1jOixuxfNIx8CET4MeQvYVTA24Do3v7oZ+VDnXM
9SPPJg/9mqbT1D8LfwjxJXNo62Z+ctdVwfRLlwKVZIMkYHJplR6Z0MzvlneAN3XrhhFNsaXmX91E
y6Ja4CdVKWGUWgXCB+Gd3tPnJD4BZSheZJ4wEVMPay+JDk7K1e3Wng4C0WCFe2wTKESSEb/rQr06
dnXohx+vEAnFcNGi1YDESVtmCLvMMk2Epl7nQ0j4px+QTknDnudeZAjFuNrVm5YRC5tWYhUBKSCW
c+enbcaizCRP4X/LuBtAemTku6ZX9iFYUpZK5e5ClkfSxs/7ATNb0pJqc/qPTzK6znAgzxqSO58b
YvSNJPwlWZ+upCkWyxZimwV6EhUcqiBpNEG01QtDUdM0UbP4YWVgmftf7g/1ClyKmvA7dWsckyQF
LCr+4kfPhJFOWGzNxyFD5AuHk/5z3RZM0fnPPk8uunLCorfZcJZ5AEKxXqlwBnIipIJBrxxLpIp1
ULQS1MIeawVictUewgtd4nEHIAhi1IErjZ1/adC2Xavxe3qNe7YIFOfHjdUWdX2bMZ+PTGjBuf4B
ARWN6pZU/UA3hN99U+Rv3UmF/bDLFz+5OVtAo39qfOwemS5HtykMlXt/YAQbrfIFoDhaDLegFHfQ
nVQGbwMOA01XN5Dy3tLDwXZA3088+/2z/GHdj0SikZCISyq+cbIbiPNS4ZE1nt3QkZIL8eHpkGWJ
I4Jzh642X6weVvO6ijcTXAkzqY9il4hT/Hfj47ZBNmlBhXKfeurGdD/DzxZCME+fAABAuFYgSS0i
hkGWy+sWZ8DBtS9imr1TBG5xxz9QVLIMh/QTmKAmDrmW/hqV30dBufwNWr6YHLr9XrmFkCGtCs+R
5PYXU5LZewDv84/BAL3yAaXtA19Lx9y8BVZ2r4+uuV6J2niIYaPZ8nj46/He3WPyayu2VCrVIg5m
GGw3E0DSqAOWDFg5tf/VYdRpVpQcrIq6winRKdZi7RKY3YFbXhK6JYsr2uURZimRTel79y0OhCRC
KUjQYxdy9hAaHX08pMUqMoTYaaHVuQNpou1jQsp+q7hKwxSWncfePTDqcoAEk5h7qinAgJqnkl60
djc4v0mAgf0hhxDtokeySbBORtdl8CSLd7CRA6hp83KmSIgyvCvPDeA1Y4+PLthIoev+fT9p7MC7
pud6c//qk6kYQPTKdxiozbxy9QyrohXGTar5gjp7Ao1Qf6IbLEwPUA+pCwKy1i4F3vaCAXjzFLFb
gGEUCIP5mK2HWOygQhHm/AJa6zDigUgvpK+1CiSjZoqnKGIqawCthuVLqf6i64f5SQ0LUoV3HIp0
fwvwWoBHXSsgz4Xg7xXV6XU/7usuQwqPgb2U5ca4thM5wgOHmxbT9AySXFTccZyEzquUgmpVOqIZ
zkrtgKaR8+fstM+jAEi3Q3yd3JBhrWS13U62GT7CRmsTQVCgmqd6IkzW1XycCNbwM650Q28TGcH/
jjj/n9aC5OVnLtWuz35UWdKiDN/MECQmxcZ+CrYsU6uHk1wqindf4swYOMBLrbmM/ctgWFC1TORn
OGgkiEvXRXV1KMVF4rjijh2x0+BvaRsI5Lwad92BVP8Id9M/pkNX7csvSDx8OSA03kaPIw1/hjrV
3De/5busR1mCoyBGIVCnMR9owlxeAoMoLtjTBdeW29Sbf65UmmNaCFTFkQyCcOHG4Sp7zvRJeZQw
rAsWX6at/gfR+ZJFAGptdthCJPLiE6ojxuPtuVnoRcB5Ptd5Mlncbei6osOOhY8cDsGRa1jnH1tM
+FlkTPfwBJQ8x9Cr5iIrMlX6qLfbDHSfyCd+OcNUnNMAXry97uAAIrYiYqJJXylhh062d2qeLvkL
/5YsVDWozDi0WA4d7ORqBan2cItFzlYrTUVDYlZGOKuZ66lbrwbSdCntArjeO70YLaSs6JbOPazv
9bf+GQwjz+V3cGe9NO/Lzk/250np8F1knV3TMjfuqSamdC/8A3dAV6x7wx63+udbRdidl/GI4XQg
+VADVKXf6XcWQRO/zebKizh1XJMEBD/rzdXMj0LPDI+oZHZz4ucY+QATdjYfr3c35qX6OktJ2Y5H
lZ7RUzCgo2AQFtPhXGFpIuJm+p7bmMvA+aJufYmBoYjhC3onUn7jlmxuzlzzEaoODjVl6X2QvykM
c6D2qk/9DKKXuyMQ+XMVx/OTlzZqZqAmMHHohQldgqV1EFKvlATx07oJjqDt/x/phBxVEe/ZH1DY
bhEwQxZgOlfljfPXtAFxfeXqdyy1Ksm/afz69nnBuictB2JJQBm+m42bdHs/DcixvIGeuPFKhEwn
mRwJitcuOXjbpM6AVqXPKPuY5ZTGHC6cpgDe0v4LosFLbXwQIGhCLZth4JIkkPw9Dnm9R9INFQeX
E6ZwORG90l3lGX/+LZuI2BISGdny2Xaq3RbttWHxA7lxDrRrjQILYWgLCI6tuNo4XDnx5R47nB0C
y2bta+ct7whiZ41q0jji4qWa7BmcFeq5ekfA7pEy+KtZ6OI3clemb1qTg2QDY+5gQxJVPPwNXk2Z
0twz9ZQZusHC/wJeJCnAVGt9oMyM8oGKehizYJcGcKQF6pX9vg7ovhpzpnNd6TD5HgZyKHyGwj6T
4/NVIPd1zkFgioxdVr0NLLQOJRVIwP3r4hCwu8Bk6TINcVE+sVSqafJ7cvegHemd8HxmBi24jC22
fwEMbixMaM+2J3B3qkwWnGxfJIL8KsSiUNQkj9OjHyoOq49wnsSJ6+d+7KPNRmkIfZAB0KQW7lBb
5IwO80azsnv4tIp41dK07p3ZEaRRy4eiGNjrqa6jL20JqfyFLkI8jsdl+e39nRK/hpIVmUUPNfRZ
fTSTKjajJ5++avcnwd0empo7b92KJIToKrgU5wEtkfEzLwRuXMm2ce3Of8fbYmJh/AW3JuxUhlzn
e2wcVX3UpSA2Nq8VNZq6UGo2YkpznVq7wpKC05AQdjLyfimj4cCAdx+rfjn8j5ECNMmEvIXhBB03
v5F7nKeRvfx0vcwivWcP0XzFlTEeMdKCPs+dP35SOx0Zs2Hz8gay7KhcFHVkHNJUblzDGb1tzqPS
TQ+1T/HwRRNnW6Ja2s+Ztj/+7m8xCoyjQB3WsXowwT228CAz8ksOU54Zev7gB+yOIq63XteInEVH
JJ6wow7MOj4OpesNkCmc0rqfzvQHPL3Jc+EpmOab/3EcoKdlErKoDEXPqzpUD1kg0u8/pqkRgBFz
NUuK9WPAvaSQxVXG8RcZGBxS3N3BYELs0Q17aoQpbxt1WcpYuq4LIcTImJcrcVDhoCjbvUEQLCDh
kISkXg+jxqq9VB0RRAkaYVKjj3YiZqfYV07SBIy24SaZg64TsI08Zd9AA7ebpRXENiOnSFdyf/6Y
oSMffsoPRDe5K0XBepBm+n2xtLq3QKqHkcgepZkkw2WpR4iBv6g+TE6CQxQOEZw4sDx5YS+epFJr
BMr9D5ODXEQYwWgvrx8Kye0ViWTmk8F5udMonuLxIwaxatqIzzDnSQAIKD503LnbeOdsxPTbJHbM
QDdH+i1k5X1/C8kZvu1kQeASi7HcN65pcaqXSM2aiOZ4AJAVJyZclz4OKbZ93WkHyjvur+pkg4Ie
ae9fMqGSmavO6pllwwO1Dl1hG5bYn1pJfpUq2Xm2q9enV/+KPgqiKwFS3AcYHpFiF1o2bAIsFuRn
XDjm2q4Y/G/jZdX7XjrBDW0dWMi/2i+aMwHRLRKG4+fskruakTOIxmRCFnz59F/Fa4kZGnvqToGY
2NSz3bxq1dhyTNr9trV95FnhKiMrfUJt9ow3RE8RupDWMGn0aUqZ4gKMmnFPmIJ3/25s5XQL3iFJ
bBc/GXACu2cLpt84FC3TKbXLr1M5nFu2RiBFKVW1YAZY70WF7ulqhHizCrP3MBmy4ItABaT+DOcn
WjEQNQC1cPvs2TzoGR4eHrT+RioBBJS1soRuOkkV7P6f0MLNAdXJuikPvCWfB8PprZ9iUoi7rLbN
Z++DNDz5k7eM+Wn8UcPnwozREGAZmjg7gATBoX5XBM50BZJ+VC+NqL0wEtdLMOFgUpwOpAX3UL8C
fYokjKKE1mmlNDw+v4QyZ+cjMDB0alcEPkFVvCzRiRXxvzrhAlPec/N3+6n+Ep4yYZoIlLB9dAMh
qO6VXk6hgt8y6QtzB+86Oqo/y7zW152wj8vcU309MHuYpZE774aeTycoIfkOF48Ir6zDEly6tOJ5
zq+OWlMdrp18QW5L4TgzmhyDRlrra8snAKQtYQhbTJOz+9d9EkxxVVOc0ogBK0Ou8c/pWT64SNNq
36Z1aBIZgRqxxTss+P0/dG5BqE/DfTdlh0HRkNX87BfYEKdSu4T4v2FxSnM/qA42ExCtM+QVRFuO
8l4OclxNZUz0g+HZguW9TvXqn/EVVp3X0JVbRWgQeJVmbaFeCKocnBjNtEWnDW2E/gnMsZogkDD3
Va4tEw6voFyY+07MbEqNAyx3WkSfBfU8u0XTQJOb2qZPYGjYZzEqFHPeOZ1TvtlqxWWTK2SIZ3fb
vUbc2jGZkHuLOVBSUKtO/bnb+v4l9dESYFh5TC1vgwRE2zITafyJHr9njUsMeEMpuB9RJusjAEGM
HYDRjpIO/SgIFZZiRf6QDQeQBmanY333by5MT3G7AlgcB4w/P6gW3YMQgZTtfnaZIEsZPTh7aqql
jPrl5b1o4KiO5+UgqMeYPm7/Xf4OtMPhgh1uFtHQrEHZ23jBqETsgoFrTZWS7XT7CpsmAGIMkjS8
13WeDJGgLskkLPQr45OY+1+q1r6MsZ0x7ReZz7+FKQkQ/atbLnefJWS5az/bYYBrDhU3ya+D8Z9Y
aploJskPlN5EREMoOoRu8YHHOfZUgzar712XexzDI/hmYB04BnUyohaPamqnsFYk0mVKQFi5IWpm
9G9kdcWoLxrFdzfF/utKJ3oKdSGbfYJjFOUh9oRvPFrqO2sv46p7Knq7qxx7caSm9kkpblmzCau8
1osGrRy3zBhwsZqvfTZcmMmOa33+RBYyZKDmblqdsyy0GY1HNcCicI/nTMQiHsVh4LOSRGIvkivi
/WSzAppiQr+WAqts1xVLpGlF2yLMMGAt2Ap3ICfSM11wOEeAvEHvGVD9mRKRDH52QNNm178XMFMp
o2oqsoi7iNOVaoVimuEI/mP/7LkCsGrgEfWOjvsZjLLrk48RR81CjFousPIPa7i8YC4jlms/6i2l
UjukhvqdwK7XjBvShi3JEMu8kORRaO/7NxmrH/GRFxYGPliuDyBLN24/EDTU4VbJjyGIk3gcVawN
w28kkLxyprv8Ee+yZ4iCVdvjH2SxpZZdEg8v7XrNrrYiyjWppwJwQT5Z0+DIQNRg6ufYLqceHMG3
8FtIKJSmrqQpICEYTpotfpv1D3xD21K9pVZe2WG8gEHenLGtyaASJXP4ay4AfuKpK92JaYA2ht7n
oWuViA0SrDpbA7fFzqoE/DLLvS5X9T0VnFP3Tz+UksfdwJimdU7hQpPagSMxuWsyK4ulA+3z8ldg
ouTfQvRrrkuDnnDuK1vv6qnzlvMqjWd0F028XWUO/cLxNtbrsFjcriaifxmVWNob6K6ckvOGujr9
wK5F1M5syvw1mVtOrqKoAFfnd2kGAgynj81pdG3Cu5/dDe8HneetZOoS+NV7lJiJNryA468kWM4S
0pdhyM2qt26k8FlIWS97hqlqtiaWcmJASo7ZO1eIK57kE4oCyoPiBxfVxURVOYY+dACjM5Yg5j1K
YlqFAq6FnbfWrk3qLyqE+wmlj9GUXeO3YKohHYu5CO0qvMGT4cnaezYp+6pCYFEnLPFIinc/qwvm
Sdeo7Im1PMobk5IAjvodTKVdr+34VBCCg8yna5Uo7ddPCS9pKV3smsW1fTYPH3ihiUqjN4iclKRt
B0Em1Lh6R0j6MWogjxMI6gC5LzchRrA38qpp3xw+t6YD7lZ7gqf971snC3e7VOHMQkP+8vqeKQ5+
oTi2ScU6CGqy4ciFQou3547jj6E7343GXYeN6XmAnRC0paA+BDoz+yqe1uTjuwxTrZ2NxCkaox0X
B86S7Ob4CvzIUKOGJru+CgZXBs7E3p4dCQycgbqdvaKalS36hPti+ZIzpf6L3GkVqHhHcQpHlNL2
0sfl3WgK0xfCdcYMLNpNAa677uTs3ygctJmk0OD17nhcD9AXp13XKlkB6DNARi/kOaZTM8q5i6s7
6L/ByiHIzNa6YUBMkw2pNrm+LNRkuOasU65Jny2UErtvXDQVdN5feJA1UvOSJC9aW69w3FSpCzJ+
R+01lJGBEvfF2gRAlSWrb4oODaijxqK3K5Ryd0q5wkkHsr7YOAz2ERlUtZrd5/RvzmGKKLPpSNhN
13GPq9khsXpbBIdi288oaLHMYtTRPD4Ah5C19syINngoDFeiWURfmFRgPL0CVD1S7DWlwtNxxEFw
MnawqdJnWWNOlfRdQaQ/ZSYohjeW6uNZ4ZsSnCTrlTNfAUEfuQpy3d00seOXygleTM5SjYH0g/Bm
qJ7JQhdtOhz5K2ElPDybzEbTWWsPtP0kQ/e6gGKTSQuC54o7frMKRhtYgE1e17DR9VODBRsJ/4em
RKdNkANyS/HIqp/h5hVDhaq48J5tIgNnBFVLd7hrnV/+xwOlCs7/uaMkWHMs9jthtGQKaMBCfsG1
nayzdhRjjz7oDe4wJcioKdqu7/2SmhXn3HkCd0qyFOQlGsMxRy1RYCzbT5tK1JTMhim+RGJimO7i
ib/Ibwkq40O4q+hr6/poCoIXIlnEFBQKsKvkrjFmDlMAc9408L08fPWImcZpqe61j8v4HOiCzQ8j
ZmB+upT2XBVeY9YDxGGlEfLD3hHaU4UT+McOZZ5+u34/8JwafhLJmZtsxEwpRsI0lsKg4cUCv/NN
4QOyJc9bBEDJLvlnJwEupHsKCl1F2KYD63qnlhcVJwjV7jTzB1XGB+9jLyfFJ/hhp508Jha09+0j
3ZDyYC55P+p4bldNdpbVbrFbtsBSjgmHlWXFDyX2Jw/922iHGrz21i1BwLCE1ig9Heg6f8sVvovA
t/aeznEys/NLAGQQj2KCBHYx+96b6i62SahZ3o7QrHtz/a+H80sFDtu8sc9h6LVQdKn7NGrPjPsG
19D7NfgfubucaSa1jyELUFtDdSD7NruQuMwGtfzlQlQ0n3I7QRlzsGfzA3fyZ2tvMVctzSrA9nBh
WfK8Xvb0eUoUyE0Ij5i55ZVTcMNnN/eonADpxaEy6Pj1E1hgGW9R5j+qWyTVJcfxB4x4G6SLaD6K
BE4hhpnsgpY7Jl2dZ6L4TR9bkwzaUR1Eii687HitZU08AseerQOb8JYwsCSpveKgiZwJkW7pn8/C
1/cSwo4tpzYPT8UG8cc/8YiZWApbu+O9aHJ/bXQq1lJ/aptytpZndov4jay1i/aCaIXZAeozpV8T
BLA4VD7hFBZmuVMHxsLl14bklMltJ/RuUQ6kKcXOV/EE+MCLW3Ol6TVo5C+TDZ/dYslD32y4Lm4Y
YwaPlzWltMyJXOfSrFJmwc5PYR7th/RypTmZbw8G6twUYQ5QiioDxAh6El/mhGiOWafyy5WSZ3f9
H6FwClGnOdPrgi1O+CMYxBLm4muTNHqGsifUT83KjX6aS5p3PcHIzFSULKgNMXxJrvlUnbOde5BF
qqYeQWh4CzCwkEqqqeb+VZdCvZj1NiPfkmhUuF690rpeuRauSL71yzU37csaN6SJwZYzLdFKrpkS
e0J40M9ruCdqmW8OMQ0TpArM3avGOTI3lkpAX+wvLyDbF4NIsUS1isN8lG0ennDuDHgTTb6dayZi
2/GSK1PhI+l7Rbd97niY+tUNhTZf3rg0J9TZeRWehxqaOFmYkKq8X0D9jhR6aOy13uYy3OWeQtN9
dde+H+C8MNVlKwVUQFqUOFb1X1nWJmjmGX7+flHYGzoGCQLQTAxkdMTeFwdSsjq0IBs7sj5h/G41
U0Zl1tv9qU4Ht+57OJCXI0i/e5xocTfPc9VRaXzyz7jo8mfyjCjTv96cnK+1gzeS7/kCQ9L/Mwin
i+j5c2ku4esyjCfCbSkv1c+jm+ajeOdDdn44Uery3K4aIjQEIaG1bER7/alsw6z9kMcMeAB1oofo
eBApVO1E6g9AuhCHOPlHwEG9IfZDAACUJVpU6k8Ti401l8+6qpHSGMmsF4uyVKhFLpIXOXYgl/B4
lIL5Wd+7EjEtAAkESzmM0y6lofAKxalSGsu0mIpcLeDvc3h1LPoMfi1/2pqF0SPtQkbeNyY/z/0I
wopbCC68YQVq7IoEk6zbPM7f8psQ85JqfcZY/VspYJ3U9/SYROA4nQ1wd/agb1O+MkCr0Z1buE6i
kS48oap5GesIRUJPjJmY+KhZ5hLnlPhp8BlsfowzSn0firdt82ug8z5INPqq6OyLGGYHYnN0H5sD
bkHmCpzm7nPUd/TP0mqd4d5jBA2kMiwtMh7/qh0KqKDa7w2WGpX+MayDMXuHFQB2HlPpG2Q6c1/G
MxDdSb2NmK3hlPZO5xBvpSA7Ueyycogh4Rgp5lG5tRLnrCMMqoVYSGZOqyaJKvJsgTKD7RME0/hJ
KrqAZWhTH1E3cP/1phfQykINMHUUME61K4TNexv9A0IzqBQuKNRXRG05oLr4zyCYbYR7YQUAjaUj
2RK3ybNz4YvJ2viImn1tpDOrf4YnVIBRa2oxp7QHtpt0cljxYTopYcxckDgeOwUi6OyNvzRFivIL
M3xZqeeM9EywSrKX244+quHJ7s7SwDJzmNzLFVOZp8JGPJls6vJ32aiwZensuwo/QwLFJp9F8TtU
QOq9Ww2HCnmFVoXASgkWIT2S5YGRV7z63YjcrjRQYks3ZrL1ldFo0PEieM6CzJxivDH/s4qL2vaL
e4oqNP3X8cQrnLVEyx3dmiiDD0Z9UT2dSDF9GjFgEMHccIL9bSEQluPzi1j44KswrtwOBsRoumdg
4TLv2Hd3JdWwJSUpuvA82zBTuhkXfazJ+7BWhvQTVnFFD/gpNPtLlj8pH+rOS6DRQOYbnSb9axyz
RQdFe65q8YKGzVmHnXEa84fHWr9rBnSzjgMI06zRLe+74zebO06bYaXm10NGT3J6phBRNGftrwoR
suOitsaboS34OaWX3I1uzdpejjufA7O9djOoQ1nYzHssHUECOQs2YB4KK2NrGW8Oh0Vsm9DaNSw+
BgqaDERRuXt2GUiSaR6m0dVaEeZb/hIAHIlHINDrl2L3A1/kXhctPurbvounwp5bzT1VUOcBWqiD
EyYFYs8N6pzxVHVsOf2110pdG818rlQPAKjVfz/ZiVGhfPdbEGRplYqqsL8jms7h9rVwxGAMKysa
z3gNqgzJ38dfQyBKAoML0PcdbJ7HyZd56H5uhQi5dncA9LFntWe0KiQis7RNBAgsyR4bC+Xspoay
796V15lJCa/XkiYXjgG/nO7nTL/26srVdwc4xJPb8+NDCSY/xcand0cP6EYsbbsh+i6hkSvOaQa0
E5yn8xV+Liseszwogcg9iNnkvdlCKn58rPR2ZXP0QMpUeMjmOi0FK6IzN0WxFMRMmo3USvxhWVEZ
7Xx55d+AQDYY3M53lXCjoDvlgBhEY+E+fcF4Muo4FeffAGybUlMNYkLFb66OxiQvjLn4zB6DUn0R
wmhrSb2bFvfzHZo6w4E3UQEOGAC0AOd6P3ZdOK5U16y231PDiMuFX8Evd14LlT0mCGticJCA/VZE
ntUidPKGh8rmx3RSO2L34rCL1cy9W5INHkB26G9X5h9BlOw7dHB4IaqjcXeF2HX41fCgR/kG7Jk8
KA4T4T2q2hRdu4pl8j5H5KD3hJs2UNbH0/EdYyYKtbatWnWD1Sj3r2Uwd2J4RaBxZOAsxs9enfLc
C3KtScPwLjnN1B1neM8xwjR50YXbG/ZDx1AnZ3x6k+YN71jV4xFCIYd3NOo1EMELasKqZ0hZJp7O
la3f04MdiGrba6vEtzhx2XEMZS5xOZDesw9EEwaz6X84mwD+PiGd/BSryG0M+BO+hmPAoKDVec5K
TejYy/LdeFFVbNCMzQitNOY+LHROPwDRASRpIEVekpsNxQY6ZStJYgwkUpdmZYkWAg4dbQM1xIkZ
3sJmtrAl/oHqxIi1KBw175YCLWkpWW9JRl3QPL/p15+4N64APJurI/nsV3Z6qY5kI9djqOe45i/I
NICcLs5FlkPkyTJRJs0SOyOGJCeX7XPCu2lMmn2cd0fGCENKlN5UkyC0TBUV5OftxDPjDfmdNEUn
wAfcvbEcxkfKd2XbhRhpsX0AcZnUAozgrdU2RaGECnQ2RK4IIUdc+S+h9tajminkjaEmy4nL2Fhs
4XJ07G1bemqQ/pzmU3bcqGXaioIaOR+Wnu2LZLzxGKtkMtjIVzZMAjV4q+hWVVe8PllWR1cSIZSP
H/UYrfH9k2ceHDMJYQmSE0rpw7R2zxJ9yJh1gNfim0sKQ4HLTZSe51UUW7MHMCafW3mqPDSNSD2c
REjLvvVAFQzknUsBm5ayE20fu++87mUc54b55IMcxpusnTveixOyc2LHnnb+zjQX7Z+ekbpzElR9
8zgtQVbuz8pTNotNAbrkO6am+x9IvvJMwPr7UuhGgs3F/VJIinkH1XdwTX8eBDTA2nMYxtJ8u/Y6
yKBIACVBEb8BzAcdj9ZB59S7IrKl3vjZbR2VP7nkDLQP/0/pT+3Uui964vjQP5RXlpqlSkBXCGpw
6eptzSfPdhBJdLnBoq3Gd1nwop6Ab5wHRSH8Gx6d82qRHycHGB5nQYczmSVlK5LX5f6lFhSCXGqn
oUpussndX+dHParjjQAY4/eLHzVEqkWfB+2m/eKK6qNsRfl1/85S/y9aBvBiA5Lhw5WHYiRuo0pv
8ES5G4cJiuMDeRzAbo5sJR+j0GioK4WqYB7KpMWJ3kfCjanB1pBDztV+HhjCe99ovjl7ZlL0yeWz
98+h4MthHcCCpUWnhqiVVAL0SmxXmkaYYeFuH5CcjgjiLDmrPJvzZKVsHLzDnxJ0YgxKsTChnQEu
q9q4u/Kc71DyHE1aUo/W8zKlunDYqTQQ2EDunHI+cV9i3re2/6mIcojY5TrMLZkWQRTC05yV07o8
fcuokF50j8q4Uur62MgVBn9D1XbL4fb7UM4gp2an+ttk19H9Alie1TT9uJnmfpOECNv+pGX9DZed
qBwY7tcyZG79uY2ehIGa8MSdRzDdIlUKcd5+GyquoiO8z1N+P81IQ+wM+0Avxtz72GDFgBAsnnff
RRgr3t8ffUBybvlWBgr+s99jHpuL4rRmffPeQmp17UX0u8fQNtJbVImRFjB4mUf7CxPofLZ1cfr7
5fdqw0nMGRMQd23w1YLPO7pixlAztQdN5pKvXJa6OZ8b+MsBS5wNG6UQsiaoHkh4p57BnRJE3JMp
guTijzcsvRH/uGzLscS1GRVx36cuBxIpZgwgwKOKtYX04Ql+cEwdCuilwC3Y4gpGkc63wXRF1oAd
Y/GFh1hypG27uP8/24Bo4sm3u41yL681tcyBkEmb0ptwr9DGgWx1wdkjeIzO6phO+WL3eXDs37cP
br92ocIgV9voaZuV2tV8630WqRPwuGPXg5kNdFvqFnxz3ai052YRTjz1awCgokntddJI0KSXvV0w
Ow+UdetNjM4XqrR3jyQ2HikQ/UpdTm+zBF/P895vMJd6iqkk1716AuuMu+kgxSEGsIr/4p/dd339
3uQZ++c2jHtp5qwFYA/uCrl5W8nd8Fv7B8444JZvyEVULsPFZ3PjkJXN0Q/1VhwdEwLjuGJoyqzy
udjHZhqihVjc7hSfmy1Q0r8KzXR3kHTg7ku5vMa53NENNRccTcWtMVf11O2+N0ZKDYo9g2jVz/DB
CGXlysAZP33V+0o7KD53mW/QIqkfPiZCCPmdtdxgwoMQzerD8wp5TZtgUJ7LJKEVWM5tWVFsO7Jx
q7bXMR3ckHg9A4I9qlD/kWUIkLoetbSQfooEx20XcYtIo6jGOv+QGsAPxnW7bT0xd2u1d/ubbSIk
eWVA/HAA7RxG/7pu485DQD/CUI6sMlR4Nd1nqxo7FRhV518fs8FWQapzslpjCrrrGnyYlfbaFC31
EDu+N9VnlNzeZ//Zf4di1Jo5bdu/P4XHMK4KzQZxFI8g6Dk1fSqznpJHJMnhzS9hVdyWqIg2H0hW
yDbd8lw8SckLl1RjcX9mqdcqz7O3dK5Tttk3hHN46haLAokhsEOzINpEhZ2s18OpqgJ3XvVYpmpt
RnQtyhsFYuaRX73fc5RcSD+twFqlrB42yG6gabpre2/WfYOgUzMDkX7UlE+LnqCqMIark+1+pXmM
ohcLvw7WaAWVLBiRAx6fD7FOzwRlwUgnHcF7jQz4thtF38vZgcXzOwy9wcrqMC3/5AUUjVvQpfQ5
aRCjDPrx8XBbWXjHEgl79x4Vmp/FT19SYXRewflU3+dnNgJcFIIEmyyNmiWA31OeXTJH6mfpoceY
gUgS8YCj0XqeWg2p1R9n/XVTaDg4QlOfVAAX3XkfM1MZyDC0U6/bZ0lewJmle1Ktoqfn3dc3d97X
jnDny/Ad2skAK+9NQrWzVj0Z/HEyIkMbcpRpwgptZp1IQGDmU6/lYuG1PZwXZ28OlTIF5pbKq+IQ
2XQgc7uloiGtL4LpgPrWf3AoXe2f9nBhQS+hQNb3ANvW8fcob8MasQ1KnPEaKDbIGT8RfeCUHF/p
L4KHuJlJegddIOMViWNVMbTVuVSFDPCAAtejmM8Ei1PAftna8Og0UYFB4oQby5rF/oYKFna9sTbU
jmgpQ0O7Xok9MqHvTVjLGJyfThtmk0q9MWv44QeJ4h8AK1R9k9BRtJ6BnxYI3LRxau0sRQwaFdRW
7DpeA48hskI/n9vUwWxOi7clqkmosJykghmfpxyYsc/qQRjJY4xOgn4e75dTyr5A8j6jJeizbhoY
gw096J2czo4xdKydeqpF1B0Df2xfV12pRNvYvwAKor07pMjo5tSEDgWBogpVNFloy1mle7deJpkT
ZDYSPYX6WiZBIkYDuCmljBZ7HDWPp8IIJFjY67idQfFLjhUyVZenYv9hypEj/pogW1qOpk7eu4A7
thpE1SC9uB8S7hzgqvrCIeq2bzAxId1Y5TwDQoPXd/n3CLWEte5ZyR5pK1LCmGm01RowmupZXyDf
BTxR/is2zRLE/GPWOk1ycbk5uohYi2rUfJkmpdQEdw8wRr+rnOdAGXx5ZHtENcZbZkS3HWsbQSP1
9Pcox7zgekYy674AyySj1aFxmE0/HgleyWQlH5MeCCm7E0FL1Dc1IzmuOU0G4UW3daBoa1Fab8R+
E3oPJ7Xc2AQ1O469FHeoLqBuAwUDMojmUASWZNm0yQDsa/F+YwvyHfHQztqxTPwxUujYakdwYQJd
n1zMXCxV1ywUwMyG0Xhjc91LybtYa8Oulj2Wul39EAdK0sPPTAHRQvkFHlUHDhWzLiWKcVH99U/J
Mtvw3LquqvNkuQa46f8RjUneTvtHmwADC41Mz7bgbI12yFdfF4OyTMfJoYmuHMnKMyozsmDoy6V8
JjCDy5XcE/3Uw6yhobC74s3bYVORh/72sSfthfCsZOHh+dNRUi6HqhJcQPtULPXOD0QyWmuZr8F8
FTY5/hWlZE0WMTyZ/ig+jZjmZ/KeTXVdGdNkWflEyndz0aF8FwpqyTM1pfipC4Uhvs4fMpb5vZjm
g+9M0zQb8pik6mYbOEtcwrSEk9ZWQFGj0hB5cGzZILGGNGS4zADfAd3cC9piL/DmSUmB9lP59oW6
MRcHaYwo6VzXJbh0vCq199KVCEqrLHXHutjhWza23soRs2MraIKuSiziChQePG1476ZUx3oygCjI
S7vFPO58N84e3BraFN6s8jF31u/vGzdnZiydtBZrO2jKtdr3bj3KdCdu4uWo3rQFx2e6nxeU9jmS
qT3MhUPsh70Yc3KXyWr9eWYMg/Iqh3UEhjoSJWkkNHLuHKvd8ktlXTj6/BzH4v4Ucd4nU41heWn6
XnHUYjpsWx9cKhZMPgN2cVBn7Z6xfBvCZy3aOptf2mUGHbmPJEHaSmJ1AHEEiQhmBi4ahIErJDWd
AJYSguxxWHtkWXo8AjISljIfB55EuwycLdZO1tbJlmPVttVQGFE/q3TZp1tRbuu5ctwDoTDTi69c
KkE4uxTXFnEfXnsyVVwxPdXqmbTi3GrqVBitAqXIyWsxe2yjf8XpyGLnaNM/UhxpkRmMzmS9DoOw
a6Z79oVhNaHogBvZdVGE4iQ1EKIrSyAgtUtm++rks23NFvBpbpkLI6ZPCEzU6lswVp+7bSotxXG4
uuz3G+PwlfXCCPHuYfatQtMRGLoIRj1dXGZ91o8sj6psqaMRgZPkOrX+pwbCxLQyV7lkUhG1wZvz
AkcN1VwUwNArIHsSP/VZbHVQU5fgm0PbcUZrfOdJa32cn08HTRscU2i/IUC4ddYZwlLb/jpI6cjU
tGKCFBO3j876881FUgwekrM4cFQIqo84VprlHT+NKqsP1FTl+zC+bmdtqccwF+uZpdVnA+lHpUBm
Mo9xGTBHFcCKthW3ArLB1JihUIy1ZXLGF63kETzOssXbqde/JchwF1Y/V9R0TdHAzuezSrQ5qCj0
KprDFdQ1hQryUB+hyf7bTFpd324ED0Olgd6Lkw/D2jdNG1AAVR92GSnqg/gbAGQ2v2af/r6OOsit
poYyyvkuCP9PM03t1UuAdp4Z6BoHSpKI4lqDj1EF2D40GSIKP1Q0nFMKa/mWhxxlBSjXbYgcvP4U
JENkAp95C3bGQj8trIK77MKFsq2uQcb1SoNVN9jgNfyIP8kc9tafaRumZ14npbAxCeCLkMvlkLON
Lll2+2t7Nae8Ds1yPXc9trzWEwgdetobLYyNl980huoH26EORs4wk2lT/l2MXaxmY3rwdL3JLBq5
dTDDKVq/XANnBx9+BQiuuF0iBeebXCOYE9b5EtW061GERR0aNZfdVvQGh9ZKxrTkdBjszee0dkUc
XPSdUplCppgCsQFCX1oDgiQ7HUZ6oE0W6C95/W7Pu5N8kLr4KwvWUNffVQNmY3ShF9cSBBGfszXZ
Gluzj6MeSOTctRndVnC54El2kLLHYWl00Hq4Lely/q+jIbsJGAAbWFTlmO7js3nOFK98TiLJgpBg
ZQ3FuvkGI+y8t6SF2YWzMWSZImlRkb0Luz6rccQO9lAsJaernFf/tciHE85bAu+S9BTWgXPJCBvc
04ZaSk6r5XZaAi3nCQRTBEbEquBVOUK794Ar0CaaD076PfeDD1oCCvwapt0NMeJ+zVAAP+ZP0iQ6
9J/0zkKVzAxg3MlywgW0lVDYwsDb1C6F9zgdeRtZ+DAo12x4JMxWc1v2aQg2VQA8P5gUiIMPMepw
EIiVX4suDcl4nfIUZ3STtPdzypxg+5WcSg6/J3rpPgcuhKqqjd7+q7Hac9ZOp+rkPhy5BDnYPVHd
3BqVMccib/kO3EPMdEWq5SxErzYP4hY28fQdFYmfV0Sq7F5IgtcPnsd7qTamL7JwL12sl9XVsCHY
o9p1QYotHB75OjZzhcFBzS1xo4s6iwmwBwUjK25A77w8kRnN4P1dcaTk9hlQ3oamV/x+TzmDM+S8
0R27nbdywnwddJSPhJApZ82tkJ2zXhO87L5icEND179oGZ7hEJha+Ty1uOLOBx+USEzPL7767J4O
B9j7V2ot5iCT2z6/qYL04e+UcfFZTIdTRrdbWwF7CnwFg7IfN0X4dv5woY0gNWSw0Nmkm+KrJIF9
Idf4wlWDU2hDfO78CItpJ5LhX0TSYWriixtr5IZR+oFH9lm+6178xNeSa3hlp050r5u84mMH8HNn
qvECTJD72rYR/wLS2DN7cvNS3eFjMcibGNDrxeqy/dfKRAarMsPexcCFW2IHiQiHbcEezpGQ86FB
DQ8H2E8bJ8pRPXi4PBZY4xwDtiwxbv/j62p8TEzjtFFb9/qAAegY7ETVRfpibcw0LLPM9NETG+r1
dPOwwVo7aqiUMNK4rHI3ijxFAQaEDi30FBg2y5/iEXz+wxuPZKhhwbnG1ibOf5AtkvMs1LUQYxD2
gA4omUGmwLTIpsK9HXJlHYwTy8ruuJUBVbEZP+XxK9o59pcFAC49uK0kSTO4e03IP/Sb6Y3qLdoV
zANyzQWquEXWk3/wVFM/mhdmrdqhc+RBI7xA+44GVPLHrDyjW1y6uU9k2bp+dI4yRJqfo5j2lZG8
gMNkbv7BIIYdhF+YVFhpaA3Zyxw6s5kkc6ZpTLN4wZV0fGjI/wY73fw4tV7F+Dk6uwXAOfmZkiw9
gD0rCw6X6hAJ8Lei4fHdRrXuT+cKw5Jy4pApXilIKtpr7vkwKWyQREehb3J2D0iovjBc1bN+fU2A
tuO6KJ8HX/0xYDAzYAB5vVS40SuQ+aIOOO5KDMttpO4LjoHysJ4ibXLFIUPJPIfPTL53la1Dzxoi
KNDuHOttx3Nm0MUL6HwZ1D/SEg+jRaUc3CvIFkrh+b/KDlTkZNMHFOViIMGl0tZ6zgiokY029Urp
6C+seeAaBmLwZAL+pmR88NRkkwqDqy1hOy1t666A8VugpoUkRc/DT8BEvFr+wax/KLJPZ8Uczo1j
Q+EQ9dbXFfSV3+h11k6fCryweLyZnqRYafMKVX9yrJvdA+IFQG9CZVWT43ceKPZ2lEBuj34pU6l+
ZKkrCwIuwtbrdf8jLER3uYesXpFh5Awglk+AMA0trY2CVyK50MLio99jsQrzRj+S3Hy4x+I4YFtj
YrXToOvKF1vRVr06pzp+J4f2UXzLmteVELGXjOF7kmLD/aK9vWSNHgOL1iysfq7t3RtnGmtliaYu
DAZXL5fCLbdyuUv96OivEMrAOCmSTRCIs15zpNs6QVLgfJGlqSyyuOpkbC/wyM5FeGrZFGMRnnhF
3oDYsJRMiLQlXenGoC3dsXoeAkjAgbNpH+q1xnkuh7NgVe0UxFlqh6ZofjxfrMei1l0JDve6HLKF
3J/a2CZ6zCH8vG+DbnCLcHapwkAH6fHxt7MfMOe0jFsEhfz8ZXyF6ceiyVpd4nQEiVwJaM9107g6
02iqh/zqV3a9KmYW7L1L8P/yarCByuyj0VKt3DuhYdWfykcfLGwuB804SgGq6gJPgpUm4XsrgLQK
BmT18ks3BksQYZFn3+aImz+UYmg6KOp4wJ/CMW7lE+iCynqhH+LlMYF2j2IGsabH7b8ioLJN/97Q
lPZTLvNFnMO3tZSsTZV9WB59N7K9rgjLrkB3nnpNAZojRiyEI9dr5jjLO6jJNEAiGp4Vg7C9BuX5
tv/6p2m7PGnim772LBdzRgm73cxXA+8MqKr3goOOu0xCyI1D9TB0pVzVBEXOfL37WDjYjB8/y/6J
X9UeM33aJrsXikDHw80HGDpGFlJoLFJEp3FFRR/Efn5lZxxrpR/J9blp+UvDgNpjWerpsljilHV9
xhPu9HDZq9kwESpgt9be6qhuQ8q7JCHMb9CkByTUAPBtC9HmtmJ4rtS+EZlGVaUzhWChCR9OSjYP
k7oZpy8uqcpdIftmfwBZ43d2VoaLhftiinnxciyGYOEzZhiD2Uvos0JyOyDcVQlCG8VCyO/InZYE
DqzycTwg/BqZCoi0AP7H7Xitva3RtCbe88XvRb56p0g9ZP54ketqYpThqHBxxY4mlVAJR0K8dYZs
ikgK2tW27panqTYh8ix+d9lvFzhfYanuL4fnCdraj/9cKFETxYWtEwlV6EoNf26rq9XdS1ByXBEe
JTH778WWxuzSBUeWocBZOtm9iR7VGXKoLrHAPLt9WK9G/h0I6osuNXR1/ctuXf5V1CSsK+EjTwcQ
fN17YTuLNeI1JYL0CuZ932YrWqmKgAPUN0FK8mZRepVncM3kHKTPnKsvf0qOIt7VeZV2pWNzDYba
pyOBePefDEcI0sXY2j+C+8mjb7UN4hQcZTktMhzodR+zCQXBzSSrvqRCz2haSi5Y2QiE5PnGgzf0
Au28H7A0wLh0OXQk1heS36bwuTAxe1NqFEKk0afBmZE1j1i1Ojg/nhBPOCf8xMzlvT8gtWvty5xH
y0jxHoVjAeHIVW6+4OS8AWGlyeGxYgWsQeFti2ZGJz8gMTqN0QOsk2R2AZiXF420lOjqblHQcTHL
kESAYfA4zsWlGC/6s5RB8LCDywSgIfsfI5yW+RDFX6UAsMWZL+A0wOh+5kVGQ9D/LlzFNf9dS2fC
M4NjWl4uYzobWLCWO4qmew+7h4owrKzKClRT6/8kP1TPlXt/oA8S+4WMby5vR/qrW5Dg+ustIyzb
INRUq6bo51u2qjSBvHfYKvg+dOfimhBppQ1EvTjvTISZ2C6ZJ+a44ff1VcQo/Jf8+/yOqy9B4nOC
UCwEDx3Ym3Ct4OuWBqvQzldTsKjgs+tmwrM3IYXSWTVPLCVrjyB1r9iqvZCvu/0UeAWKNJEKFMJr
KNZCzEyre60SnfC6fIXZGtZNVFkRjuCSJvL4qHop1xjYniHcxPBz5dPWkDNAa/6L7XDhikVnXLb7
heET+E5HYgTNsn2Mz9qnXu25oM+UFbz4hKDRy6MqRz5L4NLOCLllFNsmlzlmTLruhoADovSKbrCm
+2LIyRCMT+fT0Jj+2e+3cqnEEjZ4zbW4UL3BuKep0KwsIW7i9XmmPgYJpSWs5GI2G4LfRKJ2UY0S
B5uR2Y4Kye9YM0xOyTIVrwR+a7Qg11x63tdiuiK3llZbCmTIyatY6Lz1HM8W1N9nbklavetl7iH/
vduRtZ4bkCQ6jywZRhyEJBUFOpb1SCUCK0gDcnVvPUL0cAwrEpD7ZTXHI6Yix6kXg5yd+ztplVaq
28nqrc5uGJpZzccvrBYc8qOZ54bKb4wtpFXCocO4k7FFShIJs7AfSEVC7vq8koAN21ol5d52uxa8
Jh1ixW7m8GKun+cS8NL9090dRn9FIslH9gvXJ74m0tQTVC4xB8+HyyI2rOXU2nGl1FjuuLivhZk1
H50JOAxwYk0LFJEkxz5rBMSWSMBAacOGWt50cXVSf8iLf+XFXoafuJgJLYO6mixpa2fFtrbEBl/R
F3uUqfsgjWP/O5tRtvSAIgpXQY99ga1SbbtfOPl+0/17GVknJW3TjstvMiLdsaUQAgqAPlMG5JMc
qBv+/kdm2AoNrnqZVZX2upxEspFMBItEFkZEiC4pfXAMHQZJRYKpV/Ez3SORTzWW/QqziMBtf5kN
gvFrN53jUnvXs2R3Mq15xWi3rlNmEpRSbbLYQDZRwceKZSi/LwPVl6mkup9O2P7DePHaVxi+lSlO
enXdjuftsGEwCCcgS0Y/tYAphto6hq6YlZBiZ7iMOUD7OWe/9hkmqqo9nc6tV+lByDWyuxkMi8as
L1S2cRVnStV7LAhl0B283y3t3Rluz5mFALtJJ0rsnmC4aCDdCiHr9fLrm8+WvoJXv6ULNlVHtszD
1VX8+w2hzwoMQJsJK3ZGJnL30A2o+DXGDt0pOqXA7akPGMgKna5JMEMkXtoE8/jPwHYxH187sRo5
5P5eKUMlR3JK23dDDR7AydQSRqmf05QezFKPgnLsx0oICvLwrheubA9GtyMvyvYO2EEupEDdtLIB
RdHrT2i377Fz3mcJBgODMzX9HKHDYsYXdKTuVZM7B4EnP7CIutfIeD6zjRfY8Hm04kuWcmxA4MHV
nDAYwiEWgwQdk8LyQjBxmrCUp5xvBvrFxhqjpEKMQg4LjlaQUzPn5v/Bst0AOVlRf51k/xisnHxM
Oya0NDkgoLcPlkJ7MRnwcpTA2730qXvgdxXQHLgxc0DYSPFx8oIuGLwc2Q08746hYRl0S9YInFX4
FXz7SmdE06bFPuQ7bhYoDi4I0eU4SrRFcb7wu9pHqON8OC8myYPLJ2qou+76O5ExQet9Cp7p65y+
X40x5lGUDwla1J/aFivLSYabXVHBPs39CLiuPuQo84WWItK0GVwBz+KJy6OTSxEsanm96v9YwqY4
3JucfTZI28buGyHyPh6JA/PCHDZ8Kxfnof89WGmqE2kTRkixvjb9CUL6GeD5f4MCvXWjbZuzYJeM
hBtlVkPH+cRDYpmGY5quj9OSAjdH3JDepxxRAWIClNXnCEWCJzCCTFa3+TEmK6gq6LyfMLCx875m
akkXNyO4NQzMAy4UEme4+JyDvo9Y0Rce3AaAvp5KkE7Y/GAOWChH8O6gCef4U0pjx75c9CHwFfQp
q0pUiIK1Cth+WcQWP/4mU1cZa0WzkD8NZ+hI/j5k7OBqr2G6yc7vjs3LzR7XUdIt0E6H5KDOiwTG
pVMu9goT+uldtXf2VGIjeziGZpDi7VT2k/JG0cWRlRpoPntjOVSYUmKGfE2wN/Ceg3pxSiqvs/hy
Zhdw510WyTQ6WcpDTzxTE17GfjpOFCtobIZ1NTh9XbbTiBuw3WBEHhj0AifHXumRPvGux4Lm/zj3
0WJqNj2fUDac0wCr+h2ar23Htz5SpJjEUYgRVaOIWY9Bf7/kROuBdn0QF8qvijPjinS0Vn4eTkOn
AOhGTvesEtyYBy3K4FJL+YpjcqIhWTd6Ogo/uK0HA0daPw3x1Znp3JJM5tzazNrvVABKEkvCIebf
2VGeDV0gv7mI/rhTQLPCbfgxg/2F+Ch1Zw5H24SDAlTR0S99hVIsl19eMoop6Y/4CsIl/Km+MVPe
hLbsGtQ+V5OUqUGmFFTJxMR6jeVkUIkM+/PSC2TkeUDQXZfnm6W9jCrNHHR2/gbVW3POMrbezyps
QFa44NdKWaEjSKWrhgHcGATfJaQNBHtn591T8f5Ivju6RlK+K/3gyVJ3YLRFQXc73BLWWc5vXo2Z
jktsRQSBRR6WgDvsXl617WhCL3nfyPQwY/+Lz8LD5UR7Ap/dclGT4zdDYIsdPnmiX5kxfO0eFAd0
ssP8w1t/ef0bqiUNqb1tavEzO10pogIbfdIaTiszorre/cBLTSWtVmWdPhGy4GX253rYPKnVTvNu
IOnDMMEUZV78GexlYQ9U5jK5do3eTARnRM3l2vPL4o4NO5oiond6E5iTNkV+jdsXM2Nry1z6Hb/X
9CtpP8LMwc8Y0a/3HGDE7P8lOVqZRdKOvvLyRCshh5E2VVDUxmpUU6vBtAilKvq6Mwj0ZTv73mga
4lJZKZfHcWmWIgKqDzF/dJfziof3mYViNVVsKLy7frbCOvhol6qtllVPM4gMQMOsatcbbL1xD+4f
WOzW9V3sMeLnio8fKk980CDTIAP/ETsWZh845cHTKUDBIEBxmQ7ECrloqQ/29JLNDOiuhdAADQro
CyVJcAT7BF9hscPTr7QF5xBQxkp7cDAwaWVDuWyTohqnjFr6tc0u+qYcDOdw8Ixx9m81Qc/7m/4x
iYR75iFcLZzjQYtMZr0r3JXgyQJ+aFfV6Ul5JxsD0Auf/feJR6vg5BgiyfhTTSEA+qN7ggwUNJ2J
bxEDVkPSmYCziEogjRedAaIngj7W4lvKTFpC5FyAdYNe3NfeHY+zkeKxxcjWO2wtya0WgtkshSVb
UCjf3/VJfahNsqR6dbepgHSVvAei5F02otS/LtyU9ZAIe3VJpjpvlQQxo9sMFybe9ZgsjT4nb3DQ
tY+a3oo8WXvisAz5ENbqkOxfvrL45G0eW1wn62Wqs/FiIyetROzu6SMPIyGyG0iCPfLqxOvDJuRB
vpX4yMdaqO/qgfERD3PlflLPg3mO3XTgtg3DEHXSjT9ErY5GFrwyju/2d8s0gC7zjqPYRMXX0i5O
TiIRd6pQSP+T9JOapYl+mC0KnxZs4V4Ow/0/bcHhWoza8aKZguCuippIcOT2gBLucPQaomSvc/Tf
fcA6fOlEmCKyl1AQwwdqMKm+vSGfhILISmxx1fZwMOg5xj0MQ9y0FfiKzQHeBwRvRbSogUXD4td0
ck+1Eg1g6Y5pnjMG+ICL480eDk6vwygEqXSEmwKiju4BhN5DnjWwy70l9QotyQk4GDYJ2LZ0iX4M
JE9QhW3Uv+iN0hocc74dTNOhY8E8GRLlsb+GcvqoSx6qdaKZ9tsiVwppZabYXW5gpDHJieQyA3tM
Zxd8Cc0Zl/0t6mvjT4h9aQe0xmR7DWpGCd7OkYZIheVbZ/gfzDLalDvPsjCV+E2r3T//tm0rMGDB
PHZ/11Bq5zG4sZ2SejaB7zgbUWcoottPRJyLlvhNLtGYfwxmVJRnUr7Y8kVZ/KqK1nCHxD1h6Qx6
s+3XeOzUVdRmycBn2LMV+kYS48SLgbRny/npteNqcCMBolwTw6MSaXXvT4BKUZm9jHmTC5MApBT/
U6QSfjhzKxC1eSqCC9LT9puJHz8xnO6YT5r+uxXarJmr1I/el6TVkhp62rspw5UQ7KKVBiSt56zg
DmR5NFqASy1+ZSrVp0MIGqfjG0CC6GMw38/cByXCzdbCo2353JJhIyn9SLt1vEeQwJ7g2t6KsMeb
fEkbvcdFy6vemX50FC31bBGnoVUzflXuorftADin5BEGARMz4h72IDP7D157141vTTyrGsC7zNFQ
LYA2roQA3WjiLOHPsEmoWuor9RoNmNi2xsRI85ugHQCApth6c4s+AWMeG8A1Jm0kdgzgZEop1Yqx
TBgE+Pi5W0msUp1OV8ZAKpddG2O7H01D82ft0ag3/IfYMQuWCk0PYPa85X0MhKc+xewshsTRrjV/
1wyXGgklB+gotVqmV8CZlrGFx/RzGOQMGJ9wQIKE6gcBFSA5DovYeBMrkkGDtSiGdFGTtYZg4RYo
1197AbB0QWWvbY24YigCfqjK7Fy4FjnghNINI6aP9BQtpFBYhEwycCCZqMWbesuFmAV/tTSB322T
2A3t6zsvU0mOBtkvIfXLOgoz1ltbl03lggAGqGuZiQjdmEzIkivZvwQvzVYY7QjSehuFrC11cJoj
9rjzXHLbDI2LBOd67+FlWaxyornIFH4V3Ef3AOvY8p0QOi3L/c1U8jhbpmmPJzfqlkWJoX62NVzP
tS5Ic8tVS+dfok5cLHUHrlS2+5/hEKtbt9MKp2fvfH4YCIOaEgiG8ktHFxJkDuyybFCz1Dgr3fXR
NEtIxvgsvlF1uH9LIXxPulMlJ6/N/fUqfcM5tkU4J3tWkNKjhoo+UdZO1H8quLNkSzTZWaduJq68
FAa3sidg6+KauGOS79KVRzdiwexsvDFDtotR3bne1uYQNJu22I0N3WmFy53X4f50y4RTCxb/f5Ky
xiP6NApHu0FCKgi1rpJqLH4iTsfQwSao8bUUclfVb8HLJ5ZD5Uh/lEnRdcKfSZTe8ERikqE5lbPf
tsNV750Lmxpd2GyNzKXaKh96aWld/qA1pnrExWxqrs6lSn7R7izBMZkFPiEAMc80yC+XicPsxVLb
HMeDpVJt7d26/qmCCy5A8WfkvCyR1a/WkXxW/1f2/JEJQteKmiOZnfZ4GTKb0CjwiP1Iucnas3zI
8gvQj5oEiexnkUtfKntmIYdHrcbyZjxox+Ee3GslX7Zgd5h8/QYxoh2NAP0h8xooKPzlBwN1JdSl
N0bZ30rSRwW/TblVPtdYdKDnlDTurbL97Qpx72H3cuiKBNr+4JmsvcO3VVEewEOLHp72vPEpAuYu
QiKjBqvgFFSk8jONr1E1wQ4i0uRWHGluwtx0jW4Ha/EPjDOFv2VGdS559TTeLvM2/Kbu/VbWbYYJ
CO6pExCPfQ61Qwj8DhNy+x+iXaQLxt4aORR7cGhh9ovZ+zwpL/QtRpy13tl7OWgHogtf0wg0nG1K
e/ii98tbyzSlAwqyIg1rQJB+mxnNGTOIZh7U0fnw57PMYK6H+LWR9mpcOnnia4oHkM8UTdpHGyDP
1TQKVGaPPx8z1kp/7mneFdQemb2n7Z7j8NqNBWwEwwCcIFLnOJ34e5keCblxhe5AV9eZwhVaYDil
+d7Vf/CLlBJmJ/vlXp9WpGwg1TSuBxz6hECKIhy3oePnme1s132c/gZqtLuw29fePR1JNVbHNzd+
G1gqockttXVZ14nNh1M8R9W/E/T4sWGtnuhCsLrQWI0JiCMJBW7piQ+zLKigOHvE8jVr9Ad1l5aj
05H/+MaVMoIw3eQUr7N3ee9E8kblBonwDKfzNrSH2S21MZTZci70ie+aSngVZ04tXgqt51YIB/Lt
8w0gQvIBXqRC+1xZjqtqYsxx6jMEZOQMsKNIoyM4RCJOWA6E+a/Ms4O4AWV7LnZKyji4fnOmlMc1
Edb3tEJffY89VeCw697+MW0szyjP0DBXVLB7Mxqc6ll8V2EFFfpsgxeScDTKEsbmtOr3ul+jdsi3
krLrY0XZ19u3dQ2/8UUOs4FhszBTH2Zk5ALAQHjfPR7U6D3+w7YtOLG3PcxE5nnKK/tr+uLpZgjt
7Yctm1gS2/oLTP81gRloUM5vC17iDu7lhJsiTlgTc6VxISxHnBAWcVJsw4RFtaN3kpRrXowLRXms
Y98+dp/1cqo21qlQOTkwSlyv0dQPxCh5nHSU0bUGYcXTk/YrR6vg1/HN60Vhdk89SpN5UvJzm87H
5MtHnO1rJV2ZYOigWHAShpQwik4BYQNe7LN+8zD9U7xzXyPmshkcLYu3uyqLQx/+Qc3jms8wjUag
AddU1Bwtc3gGPzPqVUA6J14eT06jvHpP/DHIR+o62CRiJZQoJFWp7CrYT3wniPBTn2JxwSOiVcjH
2beCZAx4aMAgcb9BEyze4YNrY580G24pUmXBWgd2lTqURyWAdsJMEThQetLXrhfC4Is9UIWRdFF1
rnhW9YlGurwGXGd5VyrOrXDbhRxQHlSXk4hpusaCKkLs4KZik9TcXNh8/456xlpdxGEHtxBrw7e9
lQggOMFbhHtA91+20szmeDz28SGnTzRdurKc9jgWbPCGoUQMFVs2o7wRTRUMfStrOQ9o0k/ACQ5Z
gIUVLaJP0+cMFDODwUll77Ze9wwijqSEsOQzBDOx16kh1w0Km/2CVC+r0tNqKZk1o/1MTseXj7a6
oahXO3tKTPcgoa8kpdwLec9pyUUx6TBDyAjTcSUgLqGXcddFqkAL+d6SHs0ZCbb54eqU0liQCU/9
cDu9gPuX+ia32zOjW4C0xCkJaQi//qsV5H3gzpeNrdfUOliu0eguoFRrIdpbrj/GGqkl3myvwIyQ
OyTB1BXcV3M1tVqiaERFshY0jXDIyn5HNg8GEmMmg4yLfJ/Us0/Z8h/jb3hcyhUt9lotVhN8LQst
T2+P4EnNPRgfUWIAhqm0kCGm3t9FxffS3GFZo83/uMuQimBAQPjRrHyVUlne60xHNekAPf9Jnboo
b59aGHMfleT23APqUDdrKvQkFqdVelahczekgBnxz6c6XchMyfDSpJLCVkzvwc25CV2Uqh0DJCy7
PKSqdW5+rMmu/mW9IP+kbraBOKkVmo7M1u9ln8gQCw7MJbECnEbYXcMdXUbIkDKqxAC2MZC9NapU
byKfeeJ72Gh/bwL2HLrgIQqaNPOCUqRSh8c2BGqB/Yyn/DJz4gsYvi/2Rmm9m4ODcaYNRmtYq/IS
IcNHCxAfcscZDqcnr3ZSO7+AI71bX2fWIHkjnn8bYA63dWKlyDk3/euRv9//IP5atn15qSNy7zOw
6rlEbCKdnSHC3HgNGVRFzMceLc5VbR9c0PPnk5c+7/cTLTHrwzA9YjKfO+03iCUy2aaejUNtjFz2
k6cCNrKKBPkyCPsTWUY4HCdZMMVrCrdRl1ziiYE4mmuIkmPYCHagNX8UV48RSbHiRXl+Hr2BFAiQ
LEOtLN19bZVejpJYrtVMlSkvRrj0f0Ay8sUt5VyN5lhxlP6xkTTfDbMhcrg6gF86aDzpiuRcCTNt
723azBsYt6tCS9sbydwpvMi1aW7z+VYAZ3suMoP13DzNg2GNHpDxlK/qqU9+ucTltH29EONXA/tN
a46YhN4pg6+RN00aGPdnDmoF05zwAKP97Gf+2laJeutd3aZcS4/mrED+iZztriDqbG+f/azpVmoX
wvGnVoi0g/+d2ZzzY2utxlx7cAgGwn292X6VIZPxQeRvkidIRzzm1QPuP1Eb09UA2t2/0Mh2nLDl
ps5dA4x7W2xpBI8kXguiIk5FMQPC5fhO79sl4F4+wPxG9BeLBMHHWy+2cP5cPlkAwRRLdxNLLfTh
gaKDD51u2E7GACbjceT/A7d0GOc9sSCu4feuJHH7DRTmE4n4o8IOZqJlJ6Zln5JmRDcn+6FmPEWX
ikNTkpYC6FqDbOJVqNikPQqn8IR1gTDmdSbyM9JWL2CKdIMFmOuY2Ai0uM3KFd2UlK0ZRiRqCv/R
2n7HXNbdFXYfzE+eGQfPARv9woAkf7PgoXwjcFzDiaI5qYJoRWmr3WW2oTk+fylhShSwP49xuXDD
bQZ9DoHzxDwNYtfNvd/jtCGvAdZV+rkIbImBvJAKRED6Huqs8dgOTs5mMCS7cWphKSoj1qEd3yYJ
HknruBLMRvugeOlTHuNM/z2BIB+cb3rQTppU+f8zgf3j6qLlu1XNvIcLvF/b19Bymy4N4rh1y6mO
LFoYqHNhKlroO+Cq/xPyKTRopBnUlm+32EN1EbeFjTDiSz6wQ8FV1S/xRphjpBfzhnFqEYw1GOpU
FWMO4nnBotSgHInwI+UPA213Bf7y/WgbpnCcVQBrpqDJuv9Ph2tpEsjfiNI3cLQJof1TW5HQPjtm
p8Z5S16ea9hd4c966Obr54kn9Nu55Gi9jFDgRFv8VeGWCPF8Jkz0A6/aMu1cTZmdOYqMfqtmSFUN
yw3SHUCHwJGQaFts5AA9hpOzSJumQoSwoQZ0rmDrn+6mj0Lqd8KLf5KdOuZhGfDpNahrHmZaO5uu
E6ZM2ubtEgMjc143FIghXlIQ8e7TDQXGq+354PEReJB4/f/3U4BXyRatggVLu42Q7/4jc/MUufYE
gNM0pGjqviUuZNJwha7zJT4OscFqOmA2420uVyyiJxLEd0ZNoZz2vtYZw6o196Yy3JHgrhFi+saq
mMFPb8QN9BdaTRYxuG+1o5yzASuzZpsucRI6E5na7YgcYihPfjVTvz+8CDVXweTnMbOTh0DYfn93
cmECnd4Wd6BgVzUIhH1EedNbVua5qlLPvnayH8G9uHhjMEhhZ6VPcQEIzAjOUPdlM5EfSFd61Ezs
J+38Cy5bblA6oL3pHybD4gLive5a7voZorPNc9Fi217BmkAXEy39hL8hTiV73jS57QAuoC+hALsu
5hemWjFpJQKGmwlSVnA5IduU51GIFmdf1VYuFuLWBJsp2WkX4Iw+vAP3L2MPPFbm4qibn6kWfAHn
Y9JxG6r16vmzCtIpuD7+RiHm2t6Y7fp/sjEYezCEIy+QzmGCpBxy3LUe8evVYM+kgDDhonTTNDtQ
oIRYjbCf6Jep65QC9SjOk4YSB+1B17ua3Wyen/SWk4dFLdveVQ2Vte6CenGE+fsnzUzAaySCCdLI
JmGmCFF0C9uCXTMwkvjGIKxbQhNygRBdTZhUqXAYAdH+/t8qWG/CQkvV5MPNGSjwGCnZT2nHlbNq
yLUcfu4EsqjaPY3S1JqQKHtzao2st66a9zJM28arm+/s5IGO37rXVOR0+jt8eQx+qobm/HGjYstv
xcKFcH41b+JiPLNTakhabv1QtfBUHpa9nhv0dO6Qn4mPZLz7M9nfQ6oLVi7dtmfvcaCRgrC9rPAm
37RnmOne6en+sNElJnOahWUHxzxqGkLUIfOW9f/PkK0r9IKeSVQ6moMtoSAU7tHZKNqLXSU/rtoI
nkLWhQXdlGjrQX0qIyNltlq2ksdehFHZVc6uABam5ggl1z7HZRysSrLen1IEM6T3uUJZebFN9foU
hppWx8Ti7YOgj1eQm102D+F6F9AQyHwKq/8F4PXJVuPVT8WjHkgYDQqNTfqQiWz5mv9COcHxrcZb
7s1RiGoBI6bLTuyHZCUcDi9NEDOrun6kNhFMhO/GRKp2vI/2wtX4g7lDMgJmbeZ7ahn6e6vmToWr
Lug8Z5R16Azv92XBfsSGGtuRMmncSmOWnAw8ioe7NsrrMQcA5zljVVkVD6b4nrsG/KpFa5qsMy1P
DvCB25kgzVcZ/eHNEZ2LTDvaWbosN8mDZ/sFcSLrMHdiZ5U5jj9byKdskBkYUNc/CdPSWXvHzK1C
vJZ4Jz0MTo2M5wDx3bxDotbpmrst4rOd6D1qOZtz+UNF97eSJBhKxDwu6p62Qqj1NV2/bAUrFajQ
+42buVtPOySemfPI4bB/BuYWuu6BrW5cxCYOim75SUlmi3vYtnwYN1+ZVV4AolSIbfi3QlzfJph3
8btNrkVTrSuEX0ugSyF/ZV0INhp8KBwZgMbWuvkQlAYaDNBpD47k5t6hkEmYGDGbgic0GH9fzxu5
SzgHMXpPReGgiU0KRkU4KUeH4eu9hEF5JrXepjNQhkdNofMRvC/wp0Vo1HbhZIDNRhszpIn2VRtT
HOOOaBIO1DgDsObcekUfTUoqCjjtDgSdZTLYGtgN9eABRXuwktgPnRtd+rNU4IwDihCpPErx6LwM
ZTtePOhSt/Sk+aL6x9GvV8G4IgDgjEsvCuGyIfrKhHUMXpcmFuz0HsPxxUXQzWG/4FzwvtI9/d7y
ZFKsSdLabHMoN4Q7LeMeXig+N7Q7iD+cJVEvXpn1Y2pK0x24NQ8uCzabBhiPywoYtX44WcJ3EvjC
8aZ/P4J+JOtPd4hpPUBO2dGscE8OqQktFmu8QVwXM8KZr8Duy4htkOkpjUACamtnUg8wphN1sHpe
uqWF4aeQ15T0CFfVtSO+enrN4Xt9gePdDMjzAFTJoX2cgQryWD5J6XcLbzOPzpVosnHooYj/PAok
PYvq4p3dDcWwFlAMOKO+H8bgVV0jkQNzBP1sVBi+JqAT586tZPNoc4czoFjKKkGnz0jIY5OQ0k0Y
m9QMefKIkdg0GGmXzjnXyhKkSf+30nqkoWAjA/wqtT0ctFkZQdvrpeSVM2vOqPSue57WX3UizmEj
s+f0hSFnZp59DjFCjxs34t1qQuz5dkPjD8lv3gCi+sywBDWGFeiZX+kCoBi/oDUwASQrVrXR3snO
KH0kRyKmUXQPn98qPw4U/aakLwkP0NS5jnfOl8dTvnhh0EszlHyEOV1fRVPPXtiGlX91GNw1L1AU
Ex7PnpBRClTsKml1lZ34zvJHnE6DYafp6/s9uejymexkGch1kiGiRvn6d2rKEJRoD2ABWWkgWUsl
2enWNjG4FwvGcc1Ss3qXqD+VlRrBnLG+1hjLxecCFm/xUFFIbQmmQ5X5hZvA3/IBrUdaJen6fXxV
BpEobVYHCQHe7qCbUPir9zrwHyr9NPv9BrRBUYbUuowBoB4T2cp6j2o+WKex0dZzNrh86a+GUvdn
MbUUVzgq/oQTmRdF4on2AEJBhNIM0Y554IMFP1Z4eHR3Rs0xUj9Xr6kRNV2jmlPtN/6WXFbw2YHk
SjrfcR9JUAOCX7/UhMASFXg82USCCS8kAIu9cUNAwNzdTfx6XdjuYh7AglI2Ye81ayhoz5b87sNK
Ju5rbcvHRHxRBecoUf0rbukldaRIMw0O21j5OYg6fyUHEzltD9Am8XNPeQe/sqy06VdiRHC1DAC6
YzYYknVky6c8WzwsmzVPCqJDbQqq0p5mh16umGH+4vsjc4fzSpakyO3ZyIWDWT6ELJYEPH1Jweo4
lOOOJ/6liZnAgLUM4Auv5Kg0xhTSFNESFPZ8HFGMNb7+xjT2mX7yKdOspyhJO40OjiyAQuRgm9ND
T6idki/cRSiw5iV8G4SbC0UwQosu6wcnPDQlS1L5DeqX+eVnFTAaIhJGMQh3t2BGPKbMdAnWJ57l
5D99MWpluvUDrGT4y7MlHu5B3GbEnwP2X663/5sDlyZsFHOJLOj7l1mSg3aRsiIcUlUP19H4QTW1
02gbVfV2Xvv32G8HJEoYTEaCgOfwBQVZqRdMnaDMNAjYELmOtZyIilLG1HqnpSNaWarcqI5J6ryp
sBdjetgvw+kj/7LX0zw0z1T8MJUeiaiXOWNyg09FzMtz1pynRvBZIDTcSNbINwB91/dsBvMGiqSA
8mk5Lgz6/TlwJ2//ELw7FgQHH8vL/M3w8HqP2mNBPYm66edNeGijimZsD+V8kUkz5OfxFrr+1i10
DzSrEPvFoiLfNPQGkkcUAU7Y4L42HqSyKrxNVGfte4yekvieWdWG/hWuudJRbj6Q/SEZVBKs4htu
LnNiKPo9SolN7XYdmOj5eiCBn7rK8EGZMsvzy6ESm6rO75r2o6/e3cFTFgJXzg1QMAuLm/xst+s6
HZBQwDR+6vF5zhoXy8qcsSuBEDdh1dpzyIfTV29/FuCGokXxiC5PMZMO0upOyTfT747jT2zPu0ME
YJMXQlx2Bw8n2rxGgREx+uw+jxZkuG0ehXzUggX8RSmYksX1xYShpHxdGB91VRC2zf03fXfArHb1
R4TLOQQnrJ+wEHan9+vKrIs40FN+TFAODnPuwBSeBHctW7JJ2JAz56oyvRGQmVYp9o7stzgh7FCs
LvR+tiIeFkqkgnkRIIP2un9figmrwVWlLgxuoSdm3DKIYf830lN0lm/lE2m1umahHa/GnxVBPsBp
Qo9K6Ff7yMeOovjJekOXNKEEV/T2gQMwqlDBVl5umUx5mp4rN367/A5hbkFXqCokQUawkp5HFD/t
gViwGFEUZ0pYUL3NiepJuyY77ds2wT3QYqC+2iQtYuNbDbvJsHQ58gp1UDa7z09q+aYux/dm5ajf
fnaoWHoa7IiOKHj8tzFfRjSl8CBWomY2RjMkjY8k2jCXNV79RW+yrlBf5zwGE36giUophbilUH9J
WPW3XXO1/f45sIE3rF1Nm+HHweaqqng+CLPUEp55vfr3/OZ0tv3NLHZ5TtLIEZQAXmglliyqskBN
7Ibzwn9sPPeaHtr4KkDRV5FCKenx6RJasNjE1ODg/dFbHzqABhyQxEnA9H953Cfn4RYskLnP+Cs8
+V73f3TbgjB2732+TW0epRbVdVsWk+Py8tU5QK2kMcCQDfiGnjbDjRrcwNIZnL3O1uu+quZ12ntd
sFk3cNHrRWmGJ8UZEFE+c0NemvuNiK/bTcrrpV9xgFqRtirVJopBM3pWONkpEY+uUp3dadPK3PLH
eYMwC5eDpGLGJTB+YdNMuuHoQ5oHcvrNPFk9LhvSCHjD8YojbFzpuwxKXku27YwWnKu+rEsT8EBP
6F1nTm5cz8rsMnbzO3faqbQbD/DBq1Chj/8/ABwlsUc9MNrsmSDzdoyvrK5DxJhNyhfkigcrUb/n
oJb0YCt0pY3wonl60+cg0G45NHc3G2GbkdZUQsJvnOeSesOHNhx7UzQNrBruF0gcs26FcG+VFYl+
x08eQ4yjwGJZr6jIR12V2y4F0w47/rr7Dt5DvIzrDX/6AqSVnorh1S84VxSwGmEWo9BB7GkMLIba
UrOtK3cvKDq2SSKQKliNtSlkE1n+0e9vxYUo15NCYmG9/q9Nk62xB6vz68HhSWB6KjHoKrDDoI/p
BTzbBC/ZB4Pbh321/uYcqiIJCzp5DAx8iSaMAEWXUeBLjvxVNSTHkya7Gt9/P5L2GXLNwcn2cqVS
dk/SK0SRtuSdy3Gd5UVqn0ANdv/w3PGZKu8EbuiZCcw3XnM0/BjEMRyA70lj4HqPYL8S+FjFzn9t
0fPEQnyzi3tr2qOOrX7H2owWA0dtoe+p/yPY+wahQ9vX+CRRNq+oAAfJjag0o2OajG2ZnWuFxLiL
anGQ7MQ28PHll+mjlJKURMeuRNfhhEtdaiiAikJR7sg7dkG+Bpx7U8XL5gAWmQQFLq1PMqCttqyd
MAQdHq9NJkrUJvLAmfDAxij9ZfVtM0GIqG2+v0wtd1W8ny6V8HDsNH7albPkue45ZqlrVr93US26
1ike6ItXCmbm62NRKougqkdLcuHTgbGm7gZUh0+W3FEeKfU1JB8tb9RXtihaKb+dkxF79YzGwicc
vOUF+BDoFFr+428xgpg0lmiOrYe5V1iBMqEdMS9c3hQCFmGCYxuplKTHSTqfrjwJu4+FDrrncCk1
N0pnErcS9MDMrqLaesDEcIxNeVDUl6FGllpcG8+LlQjnVTuzAqx4XyfoY6+PugkAwuNtQ3pkP73l
UnqnjHm+M2Jwda3luamW7iXLOGXMmdCUC8Dw6eWS9pCpkgc2lmI+2LrSfAw8Lz21z5T5pt2BYc7i
ZQbGK7Tw+QeNNBJv8SwveOll1taJzx0WptykXLeOluLbHk1zq+t7lACaeW4vwsIPoRUbbHBqdDeZ
/72bwwl8YzS3w5Eg+ftxGO3Tq4XM+ZyRAzQP5MfKh3gQvv9211eh8gED/HIfjSGn0Cfxx0sbLudd
CWhxZIjqm4W2QLT4svR6ZvBSz+3NKSD01Ki9bzv6t33Abu+wu6YZr/A/Hx1kT9H/YeSksUWrCa4G
MJ3LFa2qgwwe9+azKuRgNivYY0wK9s61NAoLDUzKlND9v+UkqZVroYEtk29rn0nK6Bz1hnDRn1nW
0ht0wvNimmCadG/JtsMBpsSJHtjHADBWccixPr9lrD6+RQ24lsY3XubqzNEgR6q1ivfDb9wuiUNo
mEBsTCHVXXviOkYGzxMWeFwC+M3XpN8EHmHR8x5wdJ/bQyCIpslB/2Dt1tZQqgHTUNCsJHKrlHmj
p1NSw7uMD/TOVKxmfY0CwRb4rdQe5ITDHowzovfXJTvcydMhV4ss0Q+jkAmsQJ5LGxdwVqljPgqt
FwjGyXN15NNgf9utiGW9CvCvxggGSV1Tby2wF7y9wWhY64B1u7UcQTn1dvSfw2TQ7Sr6xt6oVmGJ
fN3+dQPBjY5FwFeY/PTl4z/IYLqFCljZ0hvkMTm2wx1NuEnO8N50v96Hb3G3ucBYK247s3/QJwj4
GeM4GPW+7amf0Wqxv4FDGuxue61ka4xGqPSfV+4iBQPzKO97DJe52qkZgvf+TjebizKznGV8XSCh
85WAM672FgPJAS6VISpj51albNramKmaT6NmG1bR6AnpuUSRiUbb8zie0Vzg608vRVA58mHpGqH5
uqOkWg0DIqZBfMp6d3SBr7G6IUFOrLspcBMroFxyDYgaEla5wcYZ2pqFMQvJ/s0inVtzE6i+qHko
xErrJY0UHyVBeMLtrP4KUHDwZFXq8prNHEaANVMwN8hb9Z9A1R7kSyokpmRoUMOkAGCh3KXzpZhw
+AUDagGhsITj97pyP1ouBGlFkAPPBOWeMSAlJ8lZlDgeva06H7yWs0Idx/dvYHvzE4Aes6f3cC8V
q+/Y3Lj8To0apuuH78eF/XXJhmiY7sgDxfziNKn5Rqx2uq+a4G58O9H5Jb83hB4BPEf1EojeoH+k
gCA+TikTMz0T3GyaCgxibk9iqHt+uWaasr8WY+Psw9+M0yx/uW8YMXJcuBhMDyjuTgn2zBs6ES4I
BWsD94NI40YmkBsqhZPQgEH4MntfwKEpgKW7hmkvuvbBK1/R4/Q7nIasLHyxzKqC0srIr/Oxv5SE
yNRNLr168qDqwvaBkTi9udraVY8yhVvNjI3EgSbLCWn0oD/RG0z3Lm0XmADqwoC4Eon3cfuAwFd0
5cfQiiVvctWo/jv43IxG+fuY+sPo+OEmm/mDH20AicV/RqQpGSEhuy91+C3+A1Kqg4EWNiR9cspj
db17ck2TydAjGc/cGp0O1UM6pgpit+Q7QnkeEyGduXX4DLXQjhEBBr8ndHWWdsS5MsDlPvcNwaYK
LJbD7ew5g25arzEoESoeUsHddwVXp70wdtqPfS9u2Ur+6kIf1kzw6GyB3DvZSX4awY5gOiYy2gYA
hEcpuVn3wfbR7BOYPv8KfyCx1h4+DXbEV9AbBzs+AP6N0kiT8g5E8ZOLJktJrTXt+hKfY81T8zGB
Hido+eLEpk3TDMbs3da+HtfK/uKSmX8PRnWeDob/0YOirpGTuXOG9wW/HVF9nTjGF99Ck721Kif9
VHdX1+BguNBM+DDWmcLXIElZ5ZzfXqrPSbI1C/j6vED3j9/E9NpJ3KDjHr+w5J5nPqemXMl09278
FnQrEe+9SVGt96xTZajS4xP7yc0uY0O423YARUkpGe+1TQIJgncEZ4k7a6x3arjmnsVSV57nv0Qb
3TlCGR8ewkdULZDE96FpZRxcJrW9XpEGH9+HFtWhU+3yvB9vPG43gqc/0quymIFHETQ7dF7o7Fn4
yn3AZBK+pi5Oc/G873HfpNQRuRHPR7GSz2L/aprLQyz2lfyQJPUsMJHGINzrxajurAtv3bL11JVR
FzVotHau47e50bWtoCx4ewKT4TpxEOT7B2Qk6/C+XyUIM1xl+hAEMs9oMsnhNJ+TKeaVZLg7MRwM
RrYcmw8JnE/giqCCJga2WGou891DH1i6csAZGHIo2ityHFK4RgoVsnvo65LjQZIvJDYu/msbAbTN
V8gd9bgLxeLocDke619EKaSdv9xiCrhX1SDbJ2sRGnjnOLyiTHAvGA60aPxkK9w2eSSvS0oS9+B5
Loeoui6be8FbYdiJtqVq6r6S8qntDK0vFuGTUFc0ovPXMrc0VD/bY295tudfylTkSV97CVjemndi
tBmZxwqbMgsSYjn8uYJOLEnaViy/GM1IaAC+E7XvENBUe5mq8NpejkjVV6SKlM//rI8RXm7ltcYA
LhRhJpB/XDv83zOcpzFPGm7KSKJR6uyKvrWrc2W4FyRs6y8725FGp5kKFQdTjmtuoFiXo9QhtBYc
pT6cH1eOiGyETCiSN+GyRE6VsDzIPJdPZv8SfTB3eVLZLtcyYBG4pIR52Z0oJbA3KDj+cHlHMnF3
iVGDqxkmihXE3zawMSx/EwtsUPnyrSU920Zp/h1GfwXzzzaW03KRX0cIRE4hW8DW1FamKDNfW89c
cQ/eRiuWqiXYlaSIJ3lvIdLup0pVza8CkMLc3uQvUdo0S01ikqkKUvPaidwPIyHsvZkcM1l1v2j5
CwHzSj6DB1WK2oTakQKxksbSHwKIZS5ee1AxPweH2uMEHGK0J+8s8p46AxqAGsokEI73evKuHj3l
3iTcz7INulxLfJ2VDWV0mbkoIfou5Db+xq22L4iwkjBqP265q/VxaHSCRvIC5Bk9HkQ4+mT9Saha
qfzSBy8Whm9SqZ/fwim1+k4YUt/YoCBi3bGdgC1BpZPRRUHNfsxQbTki8woUvNfSUOXHtcQFefw3
w6NO6s6dEurCqhMnED3kAVICXlYlPqehTayGgbxbj21QVjJATqi+OyTQCApX7aS4m9ZdWnhowENg
WtftWeBqvj8zLsNcLUeFiqlFJolpekrK25ZYZvuABYAiXjAXhlc/aVJV2G2+s18aTDoYHZLM4Nny
o228dHcN2/4cWoscd523vvNpq2/bGr+2Abao9Lh46s2fxiSX+/Rex2hublvUx1pPI4b3kYyc3R+G
PgRWLh/NiauWGt8+FSGoBWRs4sPkLcygRPv0JDIBHYO0NbvHCvRlWrnrApjnjHUiOc+aDADcuYpf
ijBD5h5Gig0VU7qLeOn9YSPk+RTBw0mDmGWwmX8/l3uhMnAScn0oWcCSOT6BQVhQRL6IQEuuRVR2
HOG3rKckD6DgqX9yO6bIzeMeib/LS9yIDh/LJm1E30CZsxIQ3EKF5hMQ/+zvltd9VnCY5gRSKskl
sCa8CdKe6dqpIFWIIXfzN5merCduEEXYSZIS01H22kCoXeoqNo+8cTkH8aJk8vAHVE5+jjDm3mEc
GsQ5nW2ZYnDuREhsHcz3sfbL7GdSJh7/zfv1lI8spXpdebDCHx4VuHm2OK41DV2bv3ovry96M2ZS
XBHuKwTFwhUQ0ZJBB8/NgC8Y/PjA/yRhgUpBDeiUc+cMUT0FJF8d6dpeTLd3+vhLw/3Cb3+r0sxU
sNKBF3+hRI//D6ueIHGqfftwEBNWzm5dreraygpVBvJZzzvqvfCRJBmA3e643RslrVLX1+3R4DsF
59QhUezMc8a1N9iic6lIkQ8U27vmU0rEdftb0urdWkKdPv8xJ5xWyLBcEA3PTRFu9lM9u0I4ZIBC
re0fvHR1rye8UOl5RU5VmZ91vYEs5GgnlMoUJP2OG3IE+h6y71CO5CGxGjAO6Rtk/EqW55oCIzos
dac2CgfiU/E7LrYBWn2lwqE9UYhaquncE+rYwNLJoF1r1IVhlU2nvJAC/uv72NQHhxgUUPkShUVT
SBz3kte0lJ2wJKgdHqaf9KiuUUl91uH1HCjVbFrQwB1P7NmiDtQU3a3Umws20Wv09csyrLh0cvwa
e0eMOICpJugHdBirkos0x8xghHV7xouVBQDB4islChQGY6ee1yhM9ccDaspPUTQuLRcDGGFKgmo4
zIRhvFngFdorlYWL/TzOGTam3X1YczU5hkq4SpFQEKmCmJ+2DnvggZd12/NQDZQuSVl8QExtIPvw
ettfUzIHkv6x2YaQrWuz0au7pGrM5RdMqacqDnlPbYTAeP9zELuMyte52TISgO8NdnXYUk3LlFB+
RqHsRT8nbYRRmGTttRdFylL+p7U4IFV/5uT7QfjJpzvJVqJ0tHKytvnb90/HyN7LX0w2/sy9gE93
A0z6hoBSAYDafYH001/bNnSSTuAUK2DZO1iHY8gL1GJGCUF3yRoCkwicp0+R6VAKhNEb2UdVyB4r
RWndUYJnFVnoCdWdTO05W1/mN1KheyBtw3wh8mfnaser8Bv+Xsn3gGVHbRTWxLZhS7+ZRb2sqS2H
CCSwY+xZVY/CChWOwWN9eMOKdqAjC/UKM4dO4uZBlwnRjjoMDaa6Ie2eTFS2C3mTWigFAg4V8PYH
8c2WwKp26XHA3S7mxNgzZKXpCb0yndinTiXE83o3QyA3ZPVXS6EnVKuN+ZskAlS7OfEwWqpylaef
lfAYOLJJn0Vb/qOsuqmHI1MLI1x8LIYlAY3EFaQJImY4MpbdZFibkFugr87wgJEMyz5cvK9btSpI
RSQCp1uD7LNMK27nrnbfeZ8T7pO7D+HY2ttR6iT+5HXL2VEEqyrB6nUFtxk3nq5cC+Aumst3e6J7
mW/GDEYoj6g3CrW2h2ahe4bjVTzzkqwHUh6kwI7xmOoiOW9WXHJbBDWriaH5+1AMYRQPjT3ad0kH
n99HkztvGdSR9cI5imYYYuZoBmN1F8xz4N4Xz9O7oEQ+p371Y9QYr43e5UF1DOCgigLg90RnIWPC
62tFMaOJlts0XujTXRMPD51KshfDbO7MFK1L0Gd7zQYYas8I2rorKn3SbEyV4Qg5Xzk962XhEGSw
W+MDCVwknUSY3D74VwcNaUpzVU3RsYbuJ+iXOGR2n2wcomlretsG1wyMU9K4pIRBLerfJ5PyTfHI
PW4G6popMGx6skXC5pug+5llVUI7q/ywCFHvss3csuEiRZeQw5eERkZHru1BP3qBFZ3jEWnxcLVp
erize7IgXYlygIZFjtm0T7HsUeasqrTxPfNE2BHgn+t2t7OVKbSFmAgrYldMWKgx1nOSoicL8gIS
5SmUPmJ9LzSg43u7C4pRPgt1H/jgYNrza/Irv6acVG/Mn8WJIo3Fimg0/QDFOtZqnrsq0lobHYHn
7+9ET0jw1J2TE5DJhthBcw1+3hu6wHwsWoeGL7uLbHai9pm8b86em6UXgPheCXUPNoUcyll9pu3P
ewShgrBy7BAo4bCrkQqVt+j8ukUwqsFUu5vjX9xhSa8KNzIhsiz7BFs/v2WknFloVxFX7FFMeXZm
C6bOy7i0KVt6BmIY00QYQ5tnW72fkGXWBDWq14YDRjrgL9EJVrefCCEqSHRbycm1eD0qAOQrGIQq
1l7PdiTj4tCuftTLF47fpX4SKg3u72/7iDHA6nVQKQzMgwBqieVeBbMFwC+oErh/lbqniJ4FbmH0
BEiylqd6VhmB+9KR72V420eyaRR4MkxpbjPhkKmOyczgTOWBvbXX4MMNNrYz96IwkachccgQZD2q
hbcseiSK4ARlzg7cwiqjPrk7jz85WA3dDqd4gb9jhJxDAVc2IlETCbuxFtLRSSxOK9HCh2EXJOoy
nzyNJBY8PkImT65XaRSNqtDk/p5aDjkw8s6Upbz7BVWf/l5mzQdRegB4aLMY8un+7+REkJuAxNG4
GXAEpvFJhVA0Hr2C8b4f3kFWeCuQ7La08PBSbcAaiHVBYWOF5P/rOfXPEgHQ82DNifRBL5vFk3IN
pzPm2lMXylzS3su1sV3YsPkVBB70MQXOskw7pNTsQKKBlkECZZ6cbJaEX7YbLUiufiTydxVQ14Dt
Bqy7OQzSLyVxHeGFU4bMeW+DhdYm2sfJeKxWBYHu+fE0SJ8JwDH77oir/8KJ2sIzeCoMoIbFp6Iz
zBGAGBL2IUfwsXT9cgUzSvuUQLhouvRW8SEOqTyTV8+0GDQkI7mHaDgdE67N62H5nhET8FO4gLWH
+vhm0cXitJn9HfzVrsD22+rlZYN/cu7yPumSxeVB3ucr/cpW25JHgQDD7PD1iLppHJT9ph8YtUT1
sCVq+NbZ8ceN1fRo3nwvO3kJoCuNpzO4iqoW3605kUVYkRRPp589g6hgTGN/rbPjm5HV/m0LrMss
vOsGmPMCc7ug0WW8kifJOsn/svr6NkYu1exDXcOWQfZkhgtFPiEqUjz2KwhWe6bZZvWUYSHQwZzl
+Xt6f0yM8keKVLX2t1f9P02rL0CIgLJtzkdnskJ6Yk6wQccRX3gLSweYw77R0+nmu42y92YQ4/y/
CHC74ceOQL8Jy65hX5vuGbVDrNZnIWUHQylDlX55XPU41+5iaysrgbsMld2CZFch3/JgXwzNvhTk
XPlwdFd8suNDciaM2IOpmMYeLsUb2NjPkFRj3fhqxehVIok2T3zMntwGDHrSzMkW/zY/ybgbgdrr
ZNkqsoYpifAZqdv0a27busO2Epo94LOitULjY8HIsu+tc/dj6anaOI43GdISr5i2JK98+z0eLbad
IaucpldJ1QXWPiuwl3hIGKd5+oy1xTgpOinqbYqZ34YUirTKLY1mwveX8EQnW/ZfUGSRWW376Tjf
Y97WpI60t8rlXE0ckwtktzP8RE9MWnrPf4Ixz5Ov8xlMEL9LUoDU5n/MoiQuRYJHLzn972s/S3fu
1dHeb8Q3dLxn5yWovnx4psE2l5vxqWeAByMDKJiBF+2IDCkarkajfR4ioukPM5zPEj71bzkn6Sg1
WIMSHhCnZSV3fCFY73rz1PBpVIFhWqOAc/ht9GWYNtP0PyY98+ScEke2XWkCt9GcCyXUaltLaac7
1jrLPmVl6s5ydOY1XF99+T4Kyh7+GvZdn3el3ARflKNzX/YWxFedSuQeh4zZQd5JDeJOe70OgnJW
vZ0b2nBZT4PTE02ppTiYerq8f2+CmnCB5lcjj/WSALJDjLzblVGPtGSfZaX4LDi19GS7A6g+R3M/
ly6vfjGeXFINUPRcZe0XdGRuOiG+4cpWGdDS8YgtM/Xsik/61Cp8H76qhToIJ8pXVFrgoGyEloU3
N9E0Q9ZQex3hwxA7T2SLPFJNugPij6YynKsqGZJp/mD6SbXH8/UTr7DlWYmxVejd1fcgvH3un2Er
Ytsq6sHzDE6watRSITFwgzeNKrjH0qgHZxpXXWNvdrpxlCr1BTL/voNebZiYxOPb8Esd0xYI2cJo
BkzxVVnO1A1p8zBna1+ZFEqJMCqQgngWPtMNpw2/4/hZiTtUos6Ogmk1IS3wtI6eswLN5TOh4rHn
W0Afcljg/SCzqPaCVae4GPTsQ73r+AcrSUSexZq3Y1RRHaKVBU35mH/2JA/lHEyKND23LVYoBCU1
A+Mwq/KTH0ZUuzaahHH5FuqwkNhNpEoGk3o5K8k+IDpWitR8NeSi13zmK4lzTLT2GayURDv6xBnf
2g/bOVo+GvhauwpxpU1gEd+TssNBDEqrxS+jNNFUCX6q4uD87k9xb5Ct8UMo3jVZFgII0Pu8jBkg
ZKzW8CMfVU1C5F7EzUzDh6FkCMUkPeMAGEz/o69FHuNahoGhL0AUF/xOF9z9iPu3UNOQ0qK8qE+u
8haJgu0knb6oBsz0OMVDtxgTYbyZ2LhAkSgLWtQvY8lSeUjqmz4IDtbx37bn8Oc9ZdImoNphBOmm
VvUm/1b6Fg9YyuyWYzwUIY0yMzXsYBKysi5GDOLjKRIQrpRa/bmiANQQ8g37e6INyARa6qCBowdq
vTgzT1TeKV7AXx49SGvQv83PXqP3a1GXG7lVLASqtwWVeTB9AdG2SUp1SbY0C4WonhX+WttWhl6g
Ok1rdP7cI5aAX8SX/Af5kXDIT2jWWWO8cId29zYsva8oLbnnG0ftjujMDLxsW+1fHZTeWNsPFITR
1hfrTeHpqFMQzcQ3cJ3MhtMN4dx/k9MAT1jM4Wp/RBbrrq1OiCBo4HWrNpJ8uuu1tT++tvdQuinS
UVnNNokm+fIeE1D9QDgJO86oYBjlbSbxyejpaKN2wd6NImAW2rhS+pb8ikH9iB356RBCGtJU1cFk
ojzzxwPatrdB+QR97zVLVCgLKYavcQOJqmm2cIpAVbM9EYxe6t3hUZfNZLDZD05T+pEBwZ5wTew8
HpCDYO7SNUuiIwQddjxMzzhGPk4P8NHIiEbshTkUriilN/EyEhskOW24yYOPeY/o6gn0ijnjYJI4
CrGJIS76MiBfp7W+M431ZJIKYK5b0HpGj4MrJF25UFuAuc65vxv804Kxv0aRaQFsM/g3P4DXnpxd
WcckqxIeAVu/foOQYbgXf8j24eBpvLGsso0elgLAhS56ccHKkKbDlPnGS6qA3R9B9WIqkxtghKU5
Z44K5jA7DjZLENF/qdc4jmzBqHZbUvc12VWqFusU0Z/3WWQxjmEaYcC0sjvWlrsPGErMSRFFTujr
cf1iV0hAM3rkVlnobC4bU3Iw+tcu7MN2H6mmO27rFItkDYiYIr3bIsBTEmc2CS3Qgvsj8TtUgS4l
aVhkWwCL4Cq+z11oQY0y1hIIKqXDmuz5BtGEqXHCb/Q2b7tQr7PNBkVxQDFaYRw0ngOlmXHdVzCu
r7bBOo0O0p9PkOIhpBeCCrtLPqJctgYe3S4D8WNxPs+/aPrAPSMYhnwD/KB4lH4rd3biER31emm9
kxQtSQ/LhxDvR3Ba/CCZKyLcA1baTowRAXsMqt0WmegZoeRKFeSTyuk5VUwvYhhVzEEGdAzzDMqr
Ifag03Amfx92jJ1SqV4FCKz6KijKu38y5yMcxs6/ULSHpUxs6pYRXBDNrKDofpVqduzGBxt7RFsM
Tw7FT3MjZPlpCDntm+x4HyJB9LR2ToFJbbMHnclWpG8dFG5rfR3WuDYGaRb7yMCQejHDjaZeWu9J
seeM8mw+stFVbUl0C1SCXPZnuJLh7TFUkWdEQpyuj6GCLL/xcSUfEXatdQ6/4Xj/Twbts0lMKeXK
dvZO9e5oKDhrhkw3Oqas4tOyS9VrVK0/qSH2wpTPAcdGtzLPLiR68Rvvn1F2T7BnKS7gHYWN5BtG
py9PJcm9K04z0kkovS5kbrBb1o6CaeEhzrKQA9HB9TCNlJnhj2vjQ3pRy05KHFumSu2UiPq63iIZ
wChGO3eGnPUnnT6Cm8w+IFSJIjKABVXFxlHocHYcT76dNOHGVbknrV+bn/uM6opERVnFzfio5776
gk6RDl5F9YCzb3L5db2y7x+6jHiKK+YxTRLBm/RKjz9RYDhq1R6VONwkhUxZAnCkFTIMppXkoAnI
TUxiqBRYz//MDQQbbE/j2rzlYUPNG/y9nFDM4Pkk3qVtpk160522jvgOrDk79IOS1LXCBg1bZtn3
Dvos1JmDYSFhve0qz3WTec2uSkItSY3nnhEHfpOrzqKtZhfNw2nGKrUSHsWEEGYl9LygIi4ZA+fz
8fgs17oJBrS1cN6MGucbOI0APDPiDTWjY6eGbj44jWWbpF8EJWv2A50XkyN3hBMX2egCn+ZC2mfc
hem2Lx/+pEi6eMZJx4fuSLa8mmTrqhkGBlWq4WGbco7thLSBeLLf9VV5/lk5P/Uc29y1FnIViQyE
64YXQVOaHpFBkYou5UNrPXtAfRgRfXm4JLcNzYonvFD1XNVtKvjpI7MQecimhw7A6wER33IFR3i1
wf5J3S5IHLN2TvTwKh2TUEghSobZLYlzquQNWah+dPFXSdY5t/8KubqvpWEStAbP1bh6E9JDIm3e
QzNkZtfnzYFcJK5GXceYQwVOmKkvxglM54wNEp/xBow28dxCnRD357OS15NMw4snutREC/OkvX4D
7R8COIKPKXc7JU47GrlE3Ex48UwJ+fS0QafX/kueaTeqrGN3YeIkvcgi/w8JIadUwaZCy4X76a5u
Fej3SYnXSVjbjqsZIr2ZREZzLR8wT+ETEo6qc55luqjQM/HO/X7bbEBLNmb0iUX+hodcNuvdjgfw
qMiX5/XSQKtZNOnNpSztPQz/3gprqfLQN6NVIP9cIgzAbpitjyi2WRVLr+VJobG0SBQTLpBlvZS/
CJ+lJbRDHakKxP39VdSNwvh1YtkEvyBPNsWJtP1RQmy23CLX01WFc5znuXDvJbCwWwiwUJANk90c
E4DeiDz8hECTdXeoypQ4+mH2f+FVnFYwHR2O9QFj4Kr4TnbTCregQSUsq1lG7oRLrRfd5iz8RLjD
Syai+n0ePzHEwwMXRz1yFNuq+kgdhov5Ty0r9WsQarX7KtriVFRngLunuAv1e1RAcWSlMXoJj8Dw
RTJ/LVCZ8kqoYdwI6XljMpSA9c9GOqtI37t0i4D9pt9DSzibz6IYdC3mQTDpPCS1NiQO7bYz8gTl
HU4e1IODZn2DswTmifBrqO395fKqstjzjjw/1GUqQDc2Vr6WRUkbmU1bXXXxI8CoLpfbTYVzQGy7
nAN8IlC16sTapIPE8f7dZXxZnpDgR0Ef871pyffFiyVIEi9EnmBWCH5/oEC5YHL/hViebwhJVqJG
l1RyAqjAOlz0zGv5ww7rO2ar6VCnKETYHpVBgF2w/doOgBPZhZZah41CNbyDsguwgs/JIEplF0Re
Ciy9zU8q7kxULbHYT1+VykKR+H9UoP5Yw3wmFtGifOk3uyXtAq0flT/cKEJ6oSVq8kWaspbxX2RF
imaXp3kJJcOrWQ9b/RX/zMq6eN+QwJluTTqQP2Eu61/o+8lyc3AxAHwSwW8YYDrP4jakiUWpzeQ4
EbK/Vz1iaOp0t9p8tlN4dd5+bhhCLGrmhcl9vQbx+oRUlm9FCZIAPCRtYObC5Gj8E5tLGC+zuoWa
W9jNdYiTDVv6pRdcmijx1yD8fuxHGBsoDguIQ5SVR3OLaqOg3YI6vPpJ6zXNjEpN+NRMWOe2zKZd
M7rwd9mC2mOUUkEoOlw3y8VUUhYhHkHwa9uCx7b0MWzVE7/9sdJlKDvWr9/Xlso93P3RKuUfZG+0
tnsLifdmjgpZlz2RQO4x2aeX8+oac947hAYaFFBFWhGT4qGkr/wy+ixtc9SQAT3Gl30lonbXA7yW
k87gXdNAx2NTYou2NR87MFzPWVpFVdG5OuwsSHOievVj7l3fcIpHkvbcmv9dup3bdHch4nbuvohE
xQi7/b/NkKIUuFw4iS/boVmvykr2lSZSw3ODowB5IDkHoed/AhdDb2PnXhn+NzuiciNTmc9cj5FK
XU4t7c0DD6pNZTP57n+q2LnTOuemWdJg6tJy0OPxAsXaFd29mkkaTzrlNBkNhKPW1UTLt/J14uzF
peRmdQFPB9UETEuDAhQDFuJ48VzEcoLMmCx5VlYxLivrkENu9Usa1gfx3WYHDA67H2DkRos84mGt
xsoqk21xm8WvfEkjgvJ0fLT80QXSFa/zJMDv3h7lbMlZIXb+oEAc5cAE63wCBRDTDMyA0WbcFz64
QTQ61THD1Cg3SMVNc+PgQzslcumo46oMHvhH+mtU8eOEimtRHZa6K8fAOmpU+jV1m2SAqnLpKx8F
aKK3iPeQ2FHSYiodubinij7qtqPsMsg+r3IiDSgwL2kdewi5EfMSzviVl9tZGGwjVEIhLVJaaTFT
jzfUkbjxKzpicra/i6jlo1sfSEnDnWVh0H9Ny/rgZlZtK1vXfXzLTQNSpH1FWIYyzDHbAlbGSMLh
qmJG/cPoEIHHRTw0IBH0PyjCmXTjxSemQBO9q9h/o2iqqEeBu9a7vDBj+RzbaZ74VHqpW1jDKOlt
JpWSGu6hkbGuL2J2C03F8d8GJi4sLQjIInWfjxmlIxxDm8O0VeWIVhW0v6xmOBjw+Pr9uIDiCWR/
1IWMWJdbu9mtssvON0nD50KLj/anXaWAENpM+k6YVJXOCW5tm1tSDs5GCVH2czRV7dW33HhVaMVh
LCSi3/BalKvlmQe+HyV1qsX4U1KnOoe6FAW/WhS3XT421yiGXJwUaI5uNYH8fSrw+1Nb+vYm5Dtd
BhopprGibLTqmyThR67DOpUhG/za54bFMvL2rtHrv8MmiEx0LwDcKDzgV1rWwMtOtbJUWZLIP1N+
fkFLVBBbBawLc6NzELYVbwvD454REUTfacld0tY/BgeWUlzN3AV9r5KbbmPzE4SvgFUhz20rwIwr
YqQ1FFKOhq46gdZFRl9qt4C/vhLvlL95bwfyday9FnPqSH7EDFdEPE24TiIxr3U/jPlF1JVR4s5c
DszAiW9nrqIpEvZQ3dFCIL6QKoDhi2tP7Bzls9p1jgNw/LoLifV2+/cFmOeOJzjoHNsLHuXpG/uR
Zke88IRzpoYHb/KwcUvUXd2XhMoBlsbbjWvdFeW9TzdZy4e6VitUGoylV2w0BCjxbHSH/6lqZcp7
dvXhegS2ILEYj885cWrD22b6XYowLRNIFxJantCzR3IXB1M5QgmNAnVkLqKiRI2m6TfO+4NNBN7y
n8B3FemSrK4E8rm8cLDVJagwBLJRgBwZ9RcKZ8NCooIrmm+rJ0RX+54pFQJwKrr4aRQ4ej8hYE8o
oOYZB36tQfunIsAS4vFeq8TzCu8AEG8VyvVxuzSOft+CVST6j9suulo1bPqkU4WH4NKyt34L/4up
m46LRI9ICjff2HaiAirDJB4EPd0MygR3tdF/p81LFaaHKclPLwqF2B1JGEchnBDDf0sGFYMJ2ap+
h82trZne+XPWrV9JW7yT0JH0ueGlIys18jyVrQUbKfB9pENKmFD3t0OJshATBoBnDUNgpaXSrSWK
g9zImmPnPZpfCJWGbatwQLbA0Yxm1yJGyq2LVfjU5HDY1uM5wdU9pglUc6x/mo/T+ZuIDMkc4YtK
9NYyo6R9CI3/CZoHLpOjNUloWe20Z9IT2z8zJZBc0aJxhX+SIjt+6X8Jjr7XxAiqXLD2e6GBazjR
/0ffFLeLEpR8ghnrdhMWpI16dmcCaKkDKNCohWFbfMIoj9FGPbdJmD4z2GEUnWRw2kne+9fHWha3
H6+JmuAZ98nt7eTGcUvYg0V3ErEK4cpe95AcEfi4Ps+vnEYq4yWN0mFzC4d4wbnYORDSaeA5t7ud
UNBjn+60SP0XQIllRhI2j1ELvXv/ILVYUb+EAOrZH3eTBqAr8IxUtBrc+IITVFzrFaV/0DG9yN4K
ioMXt93r7WuSLAM2ckCaVX5JXgfhgSpL7Um9T1TyGRAMk8CouB8QuLbGjRTTE6okWVtVTKwN7sZV
tJA+RoosjeRZ7ci1U9dMk+2CDx3YPY19Itqk1hrjGLqoxjmt3yMm6S0r5bGkQax7jGYFksustKtn
nRV8xMk2wjXNhvntw3jR2sO33KJ92mBFQT6I+hG2SqxaecpK1xdH6LjDeBZoniJJR+E8Pf24OjWa
moDLFQBeMC2efbC3ANuWmuakGE/G/mWibRV9WgN/OoO2n5xHMkBuxLpaRhJaNvmFZGdSrGLXwkin
TgUBQJZ4p33zGqfHmhnE6cwyTynR4PtmOATPg76YjQ9du7jwyp3APGUVh770akXKFpobhA6uPWHl
7nRyKIm96aX70nER+CZHVRpR3MK+rM+IczK63nGhURJJqT5WI9whaSER7GywtesUV/uzyWZo9LLe
Hc3nFIrZBBJQG4rtqy1IZeL5AX45HaFOmZkThOuBf8XD0m+7xFDU/djYv0jtGWA4LsgpP/R/23Ko
FB0ZY+LberVBLcmBf7kf3vc81UCV1K1kKo1U1faSYP6CIzsHjzT0Dh1vUE+EXZsxyZqYMXMpCq+2
oE2vNT6bj6ZpZuznqc5v+d8UDKtalSSVIRIV0SNKxeLLH0x8uT+4CRQEmanA6/U8vmjce5957htv
CAYgT1AS7KwPtY1epAIfK8+s7lM9EmPB7zBuD44MsRfc44p3kA1U6Pt2N6VlQKQnghjHiYA3LqRZ
x8zTlEOENqhlJRPgu7sRavLBdGZJYheHcyOFsl0rEaeffJzj5KU+Ekpjqglq/WG8Sp9TcOicywWb
K+tEpE4c4zTt+50fqM9N+m4e0kkmuPMxdOYkXc/A8WRCw2dd8qGC9GIVDkO/32HnRb0LplQyeIaI
BQEpbZ+fpAS8o3s1nmL2yyvj1wncHxbVWBCo873p06XvDbk9vD9C36sHM+MpPPoC1FayyvUEP1So
2GQS14wLOHv9vAE2KtBjKm3qP7vPR31zZJT6ubXKtr0XmMEH4hkISghApiCvLWv5HED10VqXjbYP
J58D3peSr2iU6uDlyz0MQu20pDXL01zoXsl+pxR+D6ly49FIpT1NRlxeF+YUptL2nWFObE26fwz6
wbmm8crRV6ZD96gvGJfUMqXr3tAwkYqlfUEwZBwyPP6sL7ewxE3a5DLelUhMeRYnJ/uEQ5hgPs8I
JSt2dyDaXBWkEVdXj276FyOQCOw/MmexXwBgxdQ64YPzH+5OP8GOJeE+lFvZ+MDJywtXmVSR089A
hJ6nvxGHZn0sFd4eTq+RCOaBA/B/xUKuCESGUv02CHT3G8FzB4jZctFWNVGOHLlRhIQo3k7uwLoN
404yedjMC0F3lZeLH7Tf0DiL/bjoHZNJjP5r3UyhQQdSbv4j23GKEyrHKpSM3ILuv824YTsMIhAE
2OndMpEDFVnyAaTTdUYcmRNhEDREcR/qij6mztxKfhQjai0u7eHQppfEv7t1IAvqSKYPc2cK0Efb
ctuGQDIIEmh7zfHCyBDgceHyc3UI8nB1EhL75xcZy2ot1E4HfM/+RO+hJj8qnue7gB6UjhR7c6Ps
w/2EFPZH8L8QAx/CevSv7hAI3Kv2r/av46OkcWELz7oz65ie6I3NSOCrQUoTiPSuWgOwLcJ5VsuR
iwGT1S/4CN9LeJrTF4YjM42g190Dk6fElShM2tU5tLns52Eqes+7grn3M6WtlSotBfZuNyFfsnaM
GFY/+1dfiL8bxpaBTkZa8zKVTGB770Of2HR5q9S9VR7n4ZDoBsFomew4qW+CnNYyC1Ka/sG+sLPj
/cGL869uNcJc7QjV5pJYkwwU2ParVVDeWRAwYxlUYY0Ax3bKl+7I6DAPSzM2ZPmSz74JIQ2enw70
gv9uavYcB1tc6sWd0WKegWTfTZ5GmZXlR2Td9FVdyMhYwn7qg6CpztOkjyoJUcGxaYXvB7CoNqMC
rylsHgGMTlgb/oAPpr30B/FJJS7eNX3M3hrrQfPxjw+DSP/MzpVc035TBXNY66/B+MlrRDUaBb0C
0D9Ar8ZqpmHtFx2mRNQusdyyGKPyMFUgbArikhB8cTZ1hVACJEzRC1xI1rldtgz4VK8HqZ5AdW51
VS9QIJ2HTRby7QZ5eCf4SBRqjGteC5XXRS9vioLKAbmqZyMifstB3GIxjJ+ILYDaKipSR9AtpHnV
7rWHqUkDw6DTCJnOWktespy2ZiAA8xzh53V1W3H6X4NQtMKtoJzaylI2kn0TWriO4RtdIs2W5qEd
xVXL5mo3yd+n5a1cqwsNZumkOYjyIBG6qbuYRzu61KwHwWd78F1eBMr1Z15wJUiY1dhx0HgvH1x8
kOyIQeNUkFBmzsST/+Vu9+cMGigtaoshPUP5xXgr57naAONWN0EfQ3yjjJCoc81YGL/f7VL+cZ3W
Cr3S9lcx7k1KYI+XfDSmYiepm5L8JjnOu08/3VjiktkLA9l47NREg48BksyXBRb/ZxkoQ27QYkc+
jlBq4dNW+oqdHgWyPnw9aFI+gU39Fxlbr83wmtaLWgnquYtALIYwAxoX9jf1Yz0hrbXB9alJNhfs
drdQjlN8ombMJBeOLuc6bO03yVOAuoIcee1YwjPr8IvwIrhkohOJ74MzT2eStbAI/PRRcY5mTLxI
P1Coc709SsfFkninwaM+Xl98UbOWT41bZ2/v8dG540fVj8Zy4uKeY2b0Qx9xMo+Yea0iK0vye2Iw
7bjfIICJh16Vt+vBbmaGdusjoIx9y4VUxaAP79yRR4b2brfximPFL6LrNF8Rwqs6IthAIL2uVS0Z
+sI+pZi/Y4ifsgdVj4RNZPkauqE8jrLJokND/cei7sf4gMD504uDqVu21oat4xGSatZMjECdUCxE
9brRCfJMrvfYIuGEuTuu0Wlk1W6dYo9p4aa94nXCthcxr5GJp2F8taMSc+mCELPoIKy2GdA91oxl
z7rVGVmlSSbFqX9PMAMNmmuQALAIJrnLHpPYVbVpZiuht0ZZcExevj/gC/v0TOrS56lfIgggzfBt
WCUiwxedmmhdKS5Ct3jwNWDCZxaWv6Zs4LI7ewod/PMLy/F/mVIWw/YZoVANilghrGXbh7gfcBF8
B7C1Xq6pDevv8zSzQytiGubBxelcghqINFMZXDvn9Lx9Hmk3AL5aP1PKxAVeVUX/aQxulgJvTLih
b4G2x29NxGXAonwdCDp4g/3gxUwDJmWGct35oveW27Q6wECxuIGAKK61iJoU9m4uZXUnUlcANi7y
wU/wbaJd12Mmi2l5tZ1inuJAiecxNgYG34CNd37D4cCddMWE8c+JzsOYmWDFqJXI4q1zZKhD0bVP
VgHlUeHq/k2WCK4CjkdxEq+S90YENJhGRXHOFP5V7FyNaovyrb4iuWO8XXq1zsi8gIt/T7VyY4VE
OBCuwWpLY8k5WuNB7xVFwdvhQqFVNjHaF2nLYy72Txjo7gx1WGMeqmDJHQ5VJZqhgB7fEt/bn8dp
zYSH+iOIdujO4kgMqy5uI6vmbT6Et4CM59HvQK2J6J0QZQ8yoN+7U9wvAF37fh3BrRh81wq74jc5
SrHUt3tG2HTT8ieVqxbPCXB5alwuJYvyA09kZ40G06Thcylc0clyPN9Yte0I5TQQC0xwPQqV0PxS
ZYnO81UMPY7VgCdPKoQ7rcZDbGuBTgB7l24BlpX/6nGfVlSibS5Z4hK0ca/t7Dp0XXMttN8zp+hl
i6mbdrKJgcNDy0923GvkRxV6wzTenHhTuNfrHqFqqZS3JHEA35+zruI2t9CQj2Fk1z8Yl3l6rnXB
U+Y42fm7Wxq9ZMaaCeMADUyiUFfJ/D5UY6aDtcwVJmpnP5ppS9VLv0drg9I/hP09aeWuIcRN9wdI
imIqghiOPzm3mvJDMA5uJIivlrv/6ACh7lzr13K1NQeo6+9Hf4DDb/5xQ0LsxQuc95iFriHEVPDc
cFuOEhiOQ9EXQsTM09CwhidXdyluTwfP1AXuRTVk/d+OEdmz6O7Vfw7cxDs3iz86nQe3xIFf7fkl
4MqKU74S2asjvTDPnyMbMC9sL42pf7myY1LlWPNHWQvBKwlOiuRPuI0UUQy0pnwHotopHlB6VJk1
DnahF1Wt9jZ8T8Rh/uq4kvC61DSxolJdLnFbBywtslxok5Dp49xeOfoj32X1sL6na7gzv9HWgtkn
oHwPti/pe3q3UPTNryKLO1v9b3alALpub+pi2iqOywhrOk51tYqSyLjEj72jyhaocx5rIrkGIbmU
GtsGPpY/Jmjs9AdYt81JOtPQNTEJYs1UcinXWHg7tersZnJGFpqT13J1tyb8MU2XRQ8TIdxtrvG9
Zd71zjkZOfnfWwUfwb41elUvymTcn9+S67eGRjHhE4jTtQzqbeZB0bRMhb3WwCzkAsqihBRktMxv
ruCxIQE0EpMm9R2nKeV/xLAS1hdrwWAEuB3vzGy4stNhhezZWa+C5y1CaCTk0aP2F3xBtV/O/nA/
0FznVuGI/3GT4pkPVhMtothIDbCIEmZTpJoyK5huK6YuZ1X9/kVQm6KuVFk1kErwiU7sIkH0JJW7
37AgDhKEGhyc1e7gtB7mErOEBnQh9k/16o/Q6N5ZQdJY7h6KOzA8HvfWrMyY/+Txd1w7To1cqEfU
yZ+uHNsaTOiBFQpwaZQwtLBf5dyYXZT5LqMaYos0pO6xvAtVk2UM5ROouzOwnK4yr2izKN8L8jUi
ID3F3IUCwpR+tjxmAAkeL8OEQDKQj2XFFw38ehSqGWNhOMEYNsNtsUAiAhEBQ79NKie1qERYqXQu
1bbxFk/zAUoU7qsSNDvhKK0mWlqPi2I4EF+bbwQXlFYy9zi8b6OOC2K6zmv2N4Vxt2p21RjGPEGi
3eUiR788krYCqrAIjWhtTbKVXmeYmI58UaAVwoIzHXpXHkPpxNw41IJ+0Tz2W33YUBH8BlGrhM/M
JWysJwoDpS2cO3cImg65eWfHo6z7Ew+hy+ZJKAc15eA8ijICEEqOeTc8nodxNZH1yxqazXs7Fpg4
HTAowTwsZBJ8Q52QYqzL9WL/89d9idgNFxVF7tfKSgdh74oZpP412CsHrKrbteNnIxSi1+PxHADs
jqesUU4Qz7SlLvAuw+xyev5/m2Tnpod8fEOw24MwkHrQHYd8Xy1YIP77QVfLlB2q7ypMeEhR2jc7
CuD1Gq6656/WYVVXv6vl9maoJFhw4EkHlZhxzCJwIreZBwJ1YEnFORhXkP8n9x73aOCNVDEuaNf7
Rg8mXJM99ZUAyCSDe1Yf3OWAQzDxe+6npUfo/+4Tl5NVJCXLJH6XeqfOuGuCtUuwwXk4CtZp6fv9
lRgZhXuQkD52k9ulVOIm5dhVLIYXigycyu28fVgaY9hHav4TaWG5MxNLKE4McPIGk7L8L771HedA
ZObLGBKXl4jSZz4QgdVp2kgqhuCUvJziU3HS5/QPIynvMVGcGDEPumOyjuUzXZt50gbpIqjX+Gr2
zXrigF9xRsLZT1hEmz2ITTRoJwl5tkqFMxJZyWbfaOFUS6XkRNtOYiKrwp+NZi8Te0nbEuqvJ7Te
IYVcxPF+6SbBZaH9u6TWG8+9W29T3JBrLKD6umqaIvZH+CYZIHt5rR5BDh8G38IR/2pC0S1HUrrx
3NOyEhAL/cZWHqYoCgk9oTAf8XAczr7hXRWDrrQ0wGBh8cJQCitzoxzeihIWSLkliMqnK6j/nQdM
d9aM1Tc5kc51JW7zRZ1ETGrc9jMCcSWPgFxo1e86ZVPfLU6c88dJecefHsERi2RWWnTIJbQLVEtF
L1kwWxn2RqeTvDnm4XXDDP9rf3xfJVqvVuUFbCFufGWFJ3pycryGDQ0WoUfxSk7jakuhirS77Awg
/ka140K2ZNFVTnMHhNheEpojJ0lMWJg2TJwpeiqmKhjXaX4YzqV1P1ax0uG6O1HDPA3tntpVqT1H
qpz5y+yIAfnuxgVt1hoBw5KmHBR3K1lzsAQY/K1quCt5SAbe8CHU6DQCMncL8vhnTMWA+koHIPMn
Kkbx0Yj9pQVo4Sjc+e0/Kn82KGUrw+A8NLAMjakGYIKguZdG7nLAxTt6HgO+YyKu8lo0tTB8qGqz
jPHFZpn+6ma/+97VkjWd+QgwnEZuDXl7ercXXufMsnQkuwtU/mniZRYPVpuN6qenUCg5069lPqJI
xc++D987JrLr1JezRMWH5kTsG2wU0heDM//EF/9z8f0mrHMRGyMa9tXci6g4xP5mHsjLKonEG74A
FKdGgP/SwShlmxixLC4yrSkMK+zw2zWWn+wu9mS1vVv9JOq0KGH/c8dqjfr6++VHxwz9CXoYxXmE
oUYBPmlBsc6FBLIW9byuDo/hQm6+Iywa47WrNH+SkXHRuEPBNKVtsGB4VH+PhFYsitl2UfwtBp95
3v383d4xg1bXW1p8X67cVCHzFYwSZH9+4rRBsaXPoLXGKJFyn5cxv1PqBtZ1pCuU5sleWCrg4F4G
w7Im0RV7C0Py8MFpTC/y8U20zCDGf3jl3rIF+EOFetxI5EUMpdyyUaK9vq6ylzs5FvN1d618EDjA
jve9ZNGna2WYR6T3A99zMZFqZUDX9fIMY9aS81k63q2PLT5mvpwpSFNNDFLMBxeYqTQhtesHmNfv
0sNkdftk4XG9qcWSTFUzcQWUvcz55GijLS7yyqTfda27vlk6O62+DLMFWs2kSyqiWeealLwY18Ts
98gvEzSv842EZGZuT1bjQVQ0TJgcC44jsEQLtWDR/XMkYu5ZyZuTc0pCxm7t32irAXIJFuXtofWV
Ver0qGBWIDj+WdZRZQLeBMYYfAMIHrTnCPZ5EqvvcdC8pBJUFCtcU4T5LhQh/cSLTjmvMcsI1zXR
PGFSH1OG00gr3aiLwzVKSj518vw8xiFEntfTsr6SwZvZZXkWE3phAwbxCw3mqVsdR5iEPRYbua3e
eZ8BESFb/p+KEFBRqiAaivBnv7Op8a1R09AWakJLGC9XqARsIJ71ZNbaa+tqSYCx24Ok1mytYaQg
gdL6rwGRmImFQQ9QiEzQ5RlEoM/ogBQtlYIPjdPiy5+J3c19V0Ny+iAZnuVEzWXrj4Cb8t6xoFma
3cZ9GWiOKw1TyDuSHWojeIGvhH8AJVwWKfyt7hmNy2waVFYJ62ENMsqwce7apSuUCmZVsr7PZu/9
4Vcl+AawI/cXOL9q9l8WAeD9SXhw6Reqsvd44+wtF366ZgIXPO1kaOgzQEK9sePfEY9nVtHTdzmo
asbbaVgVCI5aXjUbeP2y6Y5KEaXcKkcZFk4V2m1HA+6bw098Rs1uNkqen4G7uzb6GwrGIgA6jZp+
GUzvG29Gp+gbIs5UGlx9CKjH1Q79VX0qaSS1aRIbgyiAR8vK7B2uFihzfh3HpRp4FadmwqIfxzpE
wnRC3ycOb5yZgw47YfeZtydUMVzkGwtcLBmn8n09AlfTmeTGyYa5WltYfV34RlC8tBucN4Vfe1P0
zmcf87g4oEqvVnOdRCJubJOoEBwa/KAmy6nZLwuFfKfOM0OVlsrfGU2llP8ORn2mcjLt2vDUJlio
MN7zB2ApXIohfsJHjvOkeOqyAp+dBg0+jy8EaMdF89EIylBw2YYk1g1b0ZgsKOTJ0YssbbBCgQq8
gxhewPk/eo9ReibUKfjed2GlItZTxCRLBEA+ghjSU8lUh4IZJLK+NYAVWgiUjbbi533poBL6rpws
PBeMFfdXJndSiKLvndUZJZInTbos/xBB1KGBXRs3xCpuUyFgsRg0GBvfBf3dMWOUyqXwnrIhSlJY
WMWaS3+QUvngZ/vuk4xHPWJD7rVWmF/S34+r8bzhfbDJmfbUQFDgMSZRn9f58mxbZwEndUhslOTl
G2CqxSTGmLMaPTeQg/V0m2FTbosyP+vVtdVw0iAL44y+D8bv9AwSzlUu2V7PBu9Dh7Gw3a45ZZ24
tjmY3k2oV+ZxSkYJvu/BVFQNuX5MrkVCWo7GHx7go/8Ff2scA8qHzLugPruh0oVBGTzJNl6bQbgf
mvmPQ40jUPsdW3tqPPeQjzQNrs6bHF+s2zy5vpCTg8GhJansJZQqs7nz+AdRQHrqmHoNydw9iWhs
Q+c61DuDFUG/VAgOaOq1qbd6Pwj7TgSD9ymeKOZgtDJU+5eJ7EBhYDF/7IVuldFxcLLbjktXorcg
iWtgqcvhoG6kc2dByGLJDT/9yzFYwy2ItocksLno+52Zjyd2aK295Csf034FFVVPhsVsk/5Tkn9I
WafPKJE9+Ebj96tV4hF16QQsWkMKlJA0XiCsDt0EXY1jwWk4FQXFBJuvbis3jy7eYIyrtsv9eq0L
7fIAlOfPhTrjuJqr1zTM9TluKXBYctoseSbJva7tSHWPtFOYZXZbwyv7PGuJdQ/hr2rmbQNqjTRp
C54C+eC6ccavmj56KhxKXDXacE/Ky/w/f62sFgTPQg6xNMzgzTEXVVMK+4EaEV1yqVSBIUxFt5F1
8E36pPGX5Rmy5yGpswjiVpDkZ/iyuM1Mmp9912wrBe1hKTIsOQDnbiKEGsUMCUhhUzT5fOJmou4u
HkqvyhTYH3Gdo0H+jYh6w5qKjgj+ZPUCRpcUsk/6Jas64KWLHjh78H9iS434FF0dNRK2V6G2JCy6
qY9eNu03zbul3fo4Zmy9xNm/9rlaNKgQyiT+wuwz7L/CHgWJNY6rwyr+SkeQodFlSSeC++4qrNDx
Luk8tNXHbKT0RtPocpRHF+7iRQWZc07NvP4lJRsuO5d8zwxV5biUoBrJ5Cb41eqBZ3XH5hlkIHv/
kp1GAjQt2CC7CdMTjYF5NWYFSwH6M9q8cnfDOFFOlAFUz2ndn7i2DmKrSwrZtRl8UL39iHbYBiZW
RNYUt75qRdhv1DKqRs0/5+MFL6XFa/eRWZA6u3Ec/emlJ2RTC1AQZksrC8VcHsLs1tdZbNqo/dTr
r14En5qMmMgJovGgzK3PWRmP7HGxoMddSHbuxzODH1IaAsjEKIW6nCaPpethmrfGNNF15eJVINpF
dw+BiD/GYyxkMznk+OHnCgp+9LBueT4jQuEbluTvXIO7k234KMXJot3Oaoe38b6Ne4wQEA/elE8f
kFZnlafZQXMbml7svZCi2JcAchertri9FkxPxChz9n50fO0rDZZy8rPw7ci0UubQxwr6hyiC18se
TqaZ2CiCXE4oVhHS5S537xHqMPFQGpterKM8/bmOZ/vFXVvV9gyncIsdSxm5jrchH0DtzQMy8wOH
Ttq6K72ZbnOGbXJd2O7Iq/axihNTa2t9R4QYMA0ouwMjjq9W0Ds0qoKlFng1VSX5n3sdJrLJRNe7
H75piPkgg4NxtsOa+/aACST/LOeqffPD10H3u66U/h7mvbcrOwNWReR1eKWQ6XjS2JO98p5zaab9
ZlQYNXS6iS85LGAOFqvnUpO2JUuGDWeoEiR0HIf+ufeoN4Hmd5uTreatdU/wQ44mj1eYmyBI96U/
rA0UyzRTjBeZ+v8I2Nnf+9xNeM4itoeEYijligrp+mnyYPG9jjf/dKzTJxDi4CJ0SmYTNS3Cl6jc
Sr4gPPjG8mFgRiWrO8eN8ZJAaRYKVrp2W8DGppx3/jTVHN/rhmzKHvBnwoevSlNozvg77YjgIxdr
GxBKQg7kCvxBOj8ha1HpcEYjc6hUr/ROn69vTR9eB2eeLon/A7iZMlQiB3myG1K0Dtx+RG1iWA0Q
hHh+djHcVhLRaCtw9tMx1jaMc9j5uadCw6V8KiDAliF0NXj9dpfTMI6kujZEtfSkcki870zNyQGS
ej//W+UCmxzjL3BySRkRgqvGihZGI+J3bfBeRZJEt3zKW5t+WFDTN9RaYlUjc7QjkMtszxQySaWj
BBCFnqVeOtC5oRMM8HWhoRqgytBx141xiktVvQ9H8ToTVQvgXgF+1MI2fggwZpY3rsoQlwZz8R6z
thF0PAjZ0t0pCse0eN40LVN8Sjd7HjiPFRiAKwqqTUSX+nKuy1YHhHwotRJz9pc9loofeQESF0M2
0XTHqDIl3Sbz8zEhNLpZiM5T8ig1T1LCycMnQOjOFaTipCq6z5UoRjSBmAql/loJUCokS6YrqTUO
8kVOerEcfuScVe72ZrnJTpLCWamDif18vCRqkPqSvua1IjgYg+fDGPH0TJMaHro6zA6KjQWXBlKm
iHg7mRB4KTG9gBWaqx2JhTTZY0r/XYqfa7bYcYCE1KFWJEJ7TaGNmXOrwql7habCyJ2Gugln0F0d
iiXeSDZcLJu2L1hZaZWU+rOIvgs/7gSM5blzqGo2RHs6r2pgN3InRDBsGIyFGuwoihkz8Cztq3n3
d/UDs/mMsnzhdtVj5C8dWYPsXnspScwD9RecNn25RUbXuv6KyIi0/Ayp3uYv8m/B5ssvDKd1y4js
iSpdLlhFo6D8xGLPc4qA6DVTgGa0x3ig9eXvHAFXpgRmHOMLCCrGE5520NS4Nk+taKkD8Lq54FIl
oRoIhb8aLqKFzWu3DXqZ5rBsDX+UWovxZRxZmesPtHcg8O2obQMNuIc8H01yDAYdLPzAK1olfnv0
MbzTG94c1ZVLio+tQTikheX6kFacb7ZTf6BzHWrx8oFp/fR7AGK4vpc4+AfGQFnZfC88W+1QmS0F
wzGhZOlQ+shiyVfqrq0RY/4XWuJ0B8ySep1zwlM94WcOjFQWadGUWpkSOwQojjnrj/NWOlZ/v2cj
Q+zvV2PC/OjB6UfkE5yolre6aVinbYD6K4slTYsdt/ZSLhZaJ5R/tmNFNMfRa7A2AgxRH8fqNLAu
9guqczG3n13FQCI8KTDQHwDdBkE5cjQf54ilmipOMA+3SPioBToKObWjAXFpQl92tXVpfTtT0v1l
dYZmG6+QVNIqdcnw28XKYlGB5OTqV0TO63MCcj/xrA+gLzlDJOemnIQeT0MhN8aoqSRfb4ZWB+T6
ekyQoOLJ8OaDkP+qpnYcvrkHB+APZnOstTh2IkQULAF9iCFMoTqvLGjO+R1iUyHpakSBXsLzGtiR
rytQJkNr54o0mBEA6PIW5TjLWw/t2iFavJFnEQwjMcMNg+oxHOVLx4bquPa55Q2qkVri29m+eNWo
0QgM0EeHB1URQlycQrdzoJRmObD6SOgHM598gtwGYTRrcoQPeeaOKeDChhk4w36N5RyePiLb/2sq
ji4MQpbm709WH6K9cf4TEcvyTQwFDZKYWYvgmDXieZVR9MN7X8qq5rzaulmMY+R0GMdHEG0WOAKu
b8yohzdA7XjExGqxAjsTqs+x19QQjkxZQsdK60MfS5kkF9ulL0zrJIr2oLuP/rjUvjVqr5OThHUa
VH667EieI4G9oazd8odCeLgI6cPSApwzj/4/HNgb5KHHFIixKktjN2X7edjM+0HyEahJFAvxGEZA
PlmbVt77RbqF9uqkrZrMeeko/LM5MlG0jvxdG8ukVghDk1UoEPsaNPSp7l52+TA7XLWy0JPWkB8k
q3T2cuWde0qH1GX3NjAiT4gYHI0SgVH2p4yZmfYb+Xutalr/PXm/stA9x3z/ZfDbGcFhKU5COCu6
CwtSArnILRUvqq0VY47v1+WZWZPOnGn5hlowh8g99L1+tskq+v8r+RVs02an9nQNd3Cn1k0o0JM8
r1VTJ8idQEjE2FxBjNuT12YezS2oza/3ZhTTep3KBrrPI5WXfBmMjMRMP40o57mGph1hzhb7sorS
7VW9lGHElw/tAMp6iJ5ZCgMnXhSW37NjvmvqJVoWuQ/S764NIi7XP3jCnItNbylI9Uw2JPJ5GbQT
e0ZLlM+yc8HcNtA25oeZpu6ASh0aVGr1TYRJf1raQsmqRSpc1lecTvRFf/gBxkX3sxlSFDg+09NH
CwN9VSHnORCR1S2NCesi221lMS+znOJB03jYe5KH05Up9Ezs7Th3J/z5jTs7WIDNnfnugfOa5a8U
vGllf8AfUAsdjz5A2h7bewVjPva2jjPy8bvvTLbSzF+oiEDMBLP2eeAmt4TrfAXfuc6Veu/9PhLE
3KR+mR632DKQO9atqNAsiZUHXDY1aJyNdep7ooQQUpWWmKg/f3oGmJLYGucNC1R71H5cRn91ItyP
P8O1gKjja6vYaNx6KH6WFN2gCgvIHi0tVsL5UR4ua4utbIk3BpIzrNKQBsEInoF67ncHYCLVhH7L
2ohfKJ45jo329Lew3u/mKCMfIeIjSeXBddZ9P1yjR1j0DN4YJ1Q+OXoMj9aWISRst0dl990csdEm
TCJrLeIaW098JUZctgueo+bhYbHLPk4/WXZ34tb5zKaAkNM37+uZVrJCpVpqmG0A6HGlQxzyNi7J
CUUbl7BH1N/rer7e1zt3CaXzDV4VltPs4jhMx+cwL8duh6lPafqzzfgc+zGBSiiEZOdoOunkm6Pb
YNPMG/VdkvyKKm5x8e6EDmKPLUGmMou+pPmT7yoFR+Swmt394ZswjnWTfSF+EcRkBIFJ1Io4G6vI
wGlLyLLqYZiz/cUa0fiqkE38B4J3rMFhBm4AIyU7ee1WyPvNzJ72CTP9lc7NAWoHKnaMgNMcya6N
jVU82U0H+2CktSstRh/41hpJUaYS4YalnOovMY6gy9VgsTsCRAIX/34LmbMxgfAMq2qSdXx7USnV
zRHlcbmPH/1/31J6j/O3fvt0t8IA7wqd3OACi+HHGAnvdpRc8HNDx9sBc/AIgepZzX0BD5i2OTN+
tXfr47yrXvNPqjYeg/3JUZOrLV8lbFUpVbvQSLk2mUOJOKSFGHwSoIDbkv5ue8a5rrVOeLQqlp/1
+flM4515MctQ19OYdtNWtBa4pW0mGtF9R2pqyGHVss5Crcl+KF46tK9SAkvKX/o0QyXJ62spbuQS
p3HJgHUEyVX+g6upVq6SAD+Nqw2o6kMvjHZjdz6OiaBPM76T/PqVFdsySthBhzYGaLRqEig1asAz
M4C/dVLW7ko5E091x6Rt/5dtX1hgUp+c6Kzi7y1Dvtk9e2+tC11Acr+OSNR0omdcFF5h7nzwC9qp
t8Tnxzxy9tuX/JJJBn1HOpN+ASJOOxltdwYBHIEbJQ5MARqbT2nctEHurnOVGI8shVw8e8RkLn0M
vacAq2LCWADnmZY4cz2+HPmvc6mkkOpkyyKDdcwpdDkCzdfk2InD8no+1VgFZZlZjPZm+D39paIj
jhKn8dIEQblhaIsUdJFmpAWRNzL6T/NHU5X5t7VHRo0Xy0Z9/582Z0jKOAgBr0WxirW3YUmJbPy6
nLWocPn6ZC+6qmGbJ1FKX2h3xvjS6wPmr997Q17RTHdktbsV/r9vb8LzWqS2oqaF1Y+dfvCnbStt
eUbypFotq32vqRbEiXYorgSDT1gT2L3HgUyJc3xEek+O/SVyY+VJK1BcxNbGhMHuWIq411kQV0UA
DpA4vSpNFO8DbDvhkjMbgX/tuZnwVOd5I5a5+kjnygkA/pwBCnKzOu2/+wsWw0iF3yMHZ3yVy+XC
5IyvmVk6ff68eIFEEvf7M5UC4WQbXDFq7ME/MGUSmPoDCkdsYmjFGo0nldDfob61dMXHgm922y6R
SWuxvRImz2N0ahEtwWtqqBqYI7C4SBejHRUC7HkIiJHyOtfr/noi9aV0yu/Z8zc9/zTk9MpYAfQv
7gvqGPbmrV0VEegLQvrhagppvWEO3qeL6jUIeFRKW26TEpI5Xp9irg++NxMxuVzOK9i+J2ZuhRK+
XvQftURbjU4ZrIdcHJ7/TDU7uj6xqjbSCV6Ysn1a9fRfn7y517hwP6ocryOXdP+o8OtJWvgZLmrs
b0Cv+qwWVqPIN3+IAGvxpZ1VlbiP4uwUVOXOv9pt/kJr5DURkssimLeu1aHzWTRsTZzCVj6M9c+y
5ktTKj9XB8z5fEeK2b9Yv2K/91MGAG39ymTpOIA836gbh0rNdcYanIYnf7E+Hy7sbRvsD6lZMtaF
vzT2GTBvM+anqloXRABak6M/xwiS6B/Oq5R161J2EtBBWGOsufaULhoyyNWIZTQbg2LXv1r/hGTL
u5c8A41LO/WTsXuEdCOxrKgvmdHo8yl4JhkjTkUgRfdaA0VGfwvP3uuKGPW2zePCivWOBbrCuXhb
hPolh/IHpU9j/65YoVLZLfQ2raIFAdxeYuI/iCOhOxhpgsGEvP8xdPOtlQykgiNqhWbMcETQ8TCQ
SnJOhlGJ+OVhjJdKjKg2E1TJtOMbRKWfUcse7x/tXdsfkw30nTZPGeH2lVflc87DX+xMqKH8RXVF
rMn8IH3ZiIII6Sq6SnRIH8nUebuKqW4BOsIuY9ZZTF1zLQowIqAHGa/AELlZVjQfsQOSG5ZDOOZs
n2+mVwtQ0UAFsKks0xivbIpTMDTdIVXCl55cAjYVVYwx3MKm5ozOqxKkD/X+mvqwMyJ8inQiQFww
BdUBqY1/ooMiTB3uT1l3cVKfybxR3fVoHKbmsNDU8WlPrW/T2lqLro9C8szg44mV1E202rhIYLLA
kJ6Y22qM64aoLj1ICmlq4KiDZYSaACC3s/iABt4Rz7+J0wfL0J3riBuE86zJXJ8xl9jRcKEJljF4
9Bxt90Jk6yNCFV1C6zPDHr6ADt2YpQBh/pGmtr04/tzXjr+IPrt8HnpE7I+xaya4PPZBt4MQ3NBt
aHDt40Og07Z2NObKvVrZ7R3J0sbssA6SSB3PgSluKf673tX2gs5IDfkqF9NHU0p/TO0Ydaeo2eEA
iJbt1oLYU/PyMMouomhSerjrPoHZP2TU5bsET9s31ZN2Uq38SlERy7woREpI9K5BDZC0iKpaOXXV
K/Tyx50mblyWt+aqM0CJGs+Z7e1l2Vkay03OaoguYQ4Nv9amkgu7cNro5mFGY0z9Na8fMwZoWclZ
R6bIeo9umB6v9ddMQ6G0Sk5W2CKcbzw07YPCQdkN26I0hbwGdtbrjuZi5cCrvsXQ+Qv9ljfmDxsz
NEIdeRa4FGH2bsEYTl/HclQhZxi2dzVB8omxAv6CTBSor3gc7WotVgCP7lz7I/YSWCCEPn3/p6tR
5bwA3WZDG5AEvDw/0FF3zEax2EzNLUCSqTkp5BIH4Jd9CM7dVUEpD7F5Hdxev/+k3dHvCK67ZUJx
8ukaN2QPxY/P7s7JSqNV4xa195+4ELYhMcDvyqgHp4H6A+MpP3YRQ8N8/NhJh2JdPnLE8Oh9rv9b
/ghCDOstM0wvMTXUCpaMj6LcWz3g2DFA+bFs/WHnjGtkte3+4qWwzOc473B2oo8gj9j2DiiVB3RL
UTrM/kBGYW3SVGaVn4CfBkHDiTj9vTMDrh1Muu3UZ5XXFt5oL7++a+9bVrqk6lkItvvBr7vHbhDk
AADayid9EvUM7/DeXQ4jPD6O+CwNuMkJYs95Jg1VU4JrR3ej857g8jCTo+CeWWaNLI/2YfRvQycz
9ZjZO1AnPoU/TlTnlrz3UdK6Z+UOotPe8zaA1WZYT1OW4WjcbmaWXudI5ADbZqNCQLgdbvYNWHsY
xPeTdOi116KvUvPIYPqhtn9X74SwbjlKJyAbooy6hikYiEcj6Mizt2vClkJBcI6WKPQ9UVyCUdCf
eRCT1YVLq6ziWqxlSoWSzaWTLY7ZWbGSf9Sl1ms1BpG6XXnySQBtcFvfbynsCS0nZOsnNqsknd1a
twaGLbIevQDwQ+6idKNW16FbQ/XOX4NIFusMBUN3HdE5GrCQJIYqE7F9dAwfITo8fKCIfOIE76YC
IVbCrutjEGeERxbPJdgQrs5yKWKyOKs7mOPwatAT9LuvKe0qag9oMlhzZe3gp/RsddSq2zBJwwOk
/iGCRe0yC7IG7ZWLt1/7MsfCL5TWzaLZdwRyw6jpMIZ/5zhSfRxTPYvktgkq2T7LjLwuFtQr+oLh
CwWw+bBJCett+aSSfZiH/ERGuAKzCCKLIkaoUbMYv89LSv8Yj69Vxn6kQRfd8EGTOujnhRJ+/JKS
IlxaSAjudmO/ywXy+jEQQ4PMP///Vyrgol8MOE3TARsTfM7/Mn7OkVbfpOQYhFZjdhGIVs9jhbsr
fzW/jnFua9ghq49QsqLnXx8seCXa2+Z6hDK2l8pgpFkkvHp5mV/EdBAFgnZ6rdHamCfXt7GJBZTA
zJbBlwLAyDe7jtZqOvilEGsmiCtnJc3pQLQaaI3XNFP9AK+5rqE9t7kJysP2d87tAITF+qMJ6wDi
3Yxp7j/C6PE4ZWEQpvZknb49adQGrYYoEqA1b6fs7osoykrCWB/KjjHXQGf6p9kXq7JMFjJ/doyG
sXG9ltB4+YPHQabvehSAWrSzv16kvQyS0pGVwqA63lhaSt9weABi4L0LbtY3Br5yquaD5nh0qjT9
5ufxvAPcEktmHtNUUjTJkKeeRtCF7kYj/2pwiyVTpQm+rSVm4D2RewmBZYxUvPH5EuGu3ltqwbfU
bwkh/gMdweLwq0UXjxew2r9NIHZPfTGgR/+LLkcBQg86QR7GCAzMbPLyQz4co/wuiOCQgdftetrs
DW8pw0DoRsofsleLzbjdzHkTplI+QSSjNjboT51MkTHIy2qDwQi5tawTcbSyOuj+hlJ+KiGkBM3+
4110eEL9wS18YfvAFx9DKUGfZWiWzV/XoLtNLIW/OGmOhg0Ldw7mX5Ge9kOcVAwJdzBmXE51MCIT
knZYKUauJNMoU0ZhnRuvB+Kr2WuExjy415bSMAFIah8sqajGyMjk/3BXORo4a218vKk/kUfRiADw
IjO9cj3eCVveaZzLc+njvprPPOLcB5O7Pf0Vgh3Q5H/1v8AIE8u+BF9dJ1Whr+TLuFa5cFHMov86
vZhXQAtC1FmyH8BLopaD747cHd80FcrWR2hwTvrcpIHjdQtIFfuGacPneJfLDadHEksHNm4IAIkS
Paky6EWhYu77U/sgSvPYjIes6TSaxy6foQi6/7W8oAu+bPZ02e5eoNv+GrUZepOKDeCpkwnjukyX
dDYmv0amiEe6YLWYnEOr+h0rQzJV0wMfLbXz8q/6RSoHO9Cu4Se6pAQkIg5IstOJDCCPKQI2Aflz
M7okeCe5E0FoDA/rfGjuzCuukxp2PrDewp5TbOj7fuLltxGDdEwtUgFlUELUQz78nyUDsNqzWp5C
AmscB4nUYosxQtEqEnmLFXjP++ac1AxY1nJg3moUsBFEz3c9olgWv95Hv8s0i0iKCM78nNm60G2R
fFB6umACFz4zbrU6LupJYI5GBEeGPvEHNpr590zwLvyKvqUJJLWsRu8sz81ZUJb3T7iQ6HO9W1gK
g/py5mR9JUmV5zhTgfdBTji7WTzHW197LABGPbJsJsG2bvTxvhOkQlv0A+yZxgOni3Si8XkuLar6
4YBpDkEjShfLUfINzYpLjnj2BGBwakMcaflqn9IxqgXqgMs06mBW56tVctOWbjxwgTFUcKRF7qZ2
ew1Dd6hKJFAaCs8j/opFemXLOQHOBOe5jpOTiYiBDQU1+iwAjfHcTz3I7Av+g5h0SWBVH+c4ad3B
oIHWQzmiIVWQUfy42/fgBKQkbNbejuX/ic3PTw/Nux3I2kjPZ49tKdoBSjesrdrmr/WUlZo4wwVS
ooeCsOn7/joSjN6Yae2SCGk29TqjjpwUwMgS4oh7fD+skG3SFzikOMwSj2EqYagU6KNFKsIewHUn
1VWQ9MLcsJtFCJe7dPbV3yz0+600OrzQtmDQlHq25IEFjG5BfoV6Fq8/WcgucfSf3fzZvkNEOlw9
/9/Oyhd0v1pbMwN6aqAmIOAdR8GSW/b3T4y9v4GDTL5Fs4UlfD/QqLkHVs0Jkw38HMkvwkg2WLci
2KddyjxozJ/DJqay1he2hxkSCDDaxgSGFTRjL0MkCl34e/3PiOvrW63vYspXrRdEFlmhyF4BTI+s
SaE8OriWhJDoF+F5+5StPtuP0I6XfxuDPfaU7DyWo610fF6WkHivQQN0muQGTLqrXz2s03e3M3kI
OF77IfBT5jzZzUmB0X456TVEQ++RQ4OM9390yPUkWFsH6+4f72Tbn7RPeB2wWlS/9vAOH5NRIV9I
ej1bi4QWvNdZHYcdh4APbfgkDdTdsGsJV4k60Omm3vfhBYhOzbPM0/DejdAgQkL5S2C+fvwJ7iIm
1bmiC1PNHPQu+eIYXLQQdXF18eZIfE5ZM5DQognA7QA/ughso+y8bOy9iODPx1t2oofwxv+U/ciZ
iy5BAiTDkUqoeL0Kyc28jcchiiDV9GMoYo+nqtkbgsIBdGSnxL/3as3S6SpcsMi+8qKKBMhm/KPY
2OAR4R1Vi/Q4n1KzSAQgnEXAsQ5dr/QIstRSq4WFA0TKnLUwHnlxuXHQvvXk0zwNFt6xmQEja2Pb
SVVyNNqLPmtOOGkCcwExh2TGNia5aYFlN5UB/Al6tRwQLEMy2hiMIpgXCQDWjoV/cFMTDL4x595a
hVCm2wGyQJHpQ63Y83febTDu146qqkbSAS5GKTt8ngtPc9N5P2YVLSVw3I/Ugod/ZwYpHIEGrJPH
DGCCiIxq0RFPnxRxywgvMCPwHFR0RPECMIWTJ5WpUQGzLkdWV9d0c/cmln+3oAMktrCAVg1btdzs
H/2MDyAFazYLQ9a91R9qBVQnfStBUSmrqM/vcl7QkoZyupfoO/jmL0r/dNKjgaUeEDgHHxPx98sr
nE4wVg5Hf0dV83kNdh/JwgR9AOzN/FbHizZoRjMyOCMjPcJw0vBUDXxoEQZTCp4e2YBAxe/jCakD
NOf+3ozQ2czYZBBpVJK31HP5FjXxxMi2gU125KU+I6cLJ8TrE9k2czmrvQLyqxblakFgvrg4A9K4
9tgpnww2JgvavNnn5U274DDW9aQmBlQqMblgeaOxSuY37JCjvWPRwmdqoPf5zZDcpVNoCh4dazYU
YzZmdv30MrBEOOtegLvxInkREGgbuPAAsnJ6o5QCyTRpndYHLLTo4SC/eFHK4pDlbFVFMj5Nz/i6
7gZdb2s62fPjd2XLhAmINhwarstAWTfDdwfMjQNHiOpJHW7k8e1riuwoE4zUi1zvHno6y6lAfp42
CoUtnUmFU/A4BFxAMeP4ne6FybG3ahYl4Wj7G5xfF0i+YAqJX5uQL/GRKknXIsPactwrFRqr9azI
jZXsuasTLOgen99iDnSUZYLqSMJboy2zpJaKwJjrlXlrNJxFk7MTZM4afVNJWyiEKzSq4oxsTxaQ
K/KNXqM3koMdRkujYqxKdr4OD7IrGkRjtMf0thwZBt2736suXN2IZfxjPBWOkm6I3zMvJCp9kSF0
tngSAuBgTYD2I4ddjWMF1x8VPVCVNK7NjFn81xIPq2UCL3Oavs9urFvu34wjPKag2/WXdxIlssTv
qeH4V7+wGDmEWZLPjtPhxepTiJ0XQNu1Te4VwQSkKV+99P3MDpan48dAKsCCF3ZexQSH6suepDUS
pniVfMXxrqQ3vrmVSHLHW/ae+yAGw2qJjucBs7cbEV9tWSTxS6xigS+6+9BJEacN3Ww1JAqJ7bPB
kmxsbkNGTdXGAMKLUxx5lCKgM0pXYaI/PxozNYhjU2zaLuilRjHOT69tGnkELrrJKUizN2dHN3sB
QgWscXIukOAwiKBFUk3P1wTm96S1NadMNgk0a/6EjCLWDG8Q5TPW1N/u9q2S5emdyyP0DdXO8EBy
iNYlkgc07/V+Svs7K2Zyl8Bk/rsoHsD52VX9eCRuy5nX7ArQn91FbqunZgofIrtkCTgfaxSbtuOE
Td3Mgslt62FHgpuTJK0Mt4ZRMz0MN/QRqeYDMzGBhvO18ZROzK6ibeGN/oKdXn50MCy+jQPP0bwY
9pRDAxBn7eJJZhN6onnzaj/TDCiETKnsEJBHIp2vnN4jk6415i2kxufFSL7r3rsn4lwWXzGPeHdF
lEb73hzSxb+slQAGAypw438V/cyaG0PUGSccmCri7Z8KDxtVACPckfGQfzBv0IjyocH8eT/JGFLD
YbbelWVjth8OxAVfB0yYHFJ72JWTy+7laIQeT0QQn5K9M3ZtYzioUbxxt/g05o6GKS+V0dET3lbK
3pQCd46B5bCRr6ACbY+zy9e0wxFybFWZF9zFl5uvnZ+m+PkgahvUODzqQQHuIkmQP3SvKygRw4xQ
uhnriNguM2Rr7DMm1xlXqlS+zFCCSccUBqQ38GqR6IJJYGR8A6qMEiw+IMV3dgfjawkOwESMFtqp
krTJXXN0beIYi7MjAobaD13vHL3nDjDNK74m0vnG2HkgZBjKteS+r1frJGDNtk3xlGnr8w2be2Rr
qIc4hb6zZWOuqu784urMsWfTpH+/OXvN87K9RfIADsh9/rfI2qineYiCRdLQbARc7lenC6wdgPuW
PEv9UD/IKlzjpJ5UbBkTucPLQOvKlBWW9CvNmVv6cWs+xw1qctkrx0DhNlZu1qUl3tQqMDHIOL8e
s7Z+D0eH4oXUMw9wiJ9nCf5kwaMUeoLkDUDHCYu3NoVxjl/AvGoHELwWsD95yl3Ma7TsrrLAzerp
9atKvZyJTrapGYRf6tPRlKMGSfKm4b9tZ/0+EyuDQkf/6mTJCY2xOLRfb/6tR5u7TfJLjsTpluNV
4ye8Jpr8GnTfIo3rr9B/2Buh5c9x6xRanDBAKebDRpPhf0dYa2C2AfwPJdYYi7OoyBN9bCHlZb+3
G0PPFg0+Xbg7hOuiaADTfvbBUeJUQJSgVJC/riTeIZ+pT+K8oeLtbM1a5GMtDejFQo9rt/2dK6XE
1bes1/X2bVg9IlRwrHDYlt6eY/MPtsDIg5gMDL0moHkq+58rbsSDsRj7w4+HUiKi0XEWx5D/yzIB
3W+sgOyDUAnxUSpcdMlbdfyG15QZS/qd66jKEhTHnDKKYIN4xqhhW0HuNqCGJswj3YveoTZ/Xi61
bfTEhK5jWJvzXzyjk3gPH/3TimkYmy7J1O8AwSzFzE/i7YDeN9YVKD6mR5tCO5WzOdHILc/IjV8m
obL3sa+FoBMfz6q38EiBJspxd1jKu1tvYPKteLEBSkcXU9NdT0JBePZ213ytdka0H5N41hD9Lz5K
UlmaHdP2sbg46d7LVlyJnnip1qRsXCJwXPrazXz5oNyycMzysE7oXrv6z8CAALULGYcr7zxUXsAq
OYOzcCl2Q+RhJPWeFjMcA+ikOHomLcKjYWt4oJyGe8NgVIQwdvI93uc7rgSook4JioHnvXfauPWT
8zhcaeUHuj7RW40S7T4fmBLhweeUMEj5NvwuMiWrdMQXNmYXwNwWeISp9QFh/FgG3PlMhE2yMlnl
PkgFC206931o/WfWAc8riiIxu/6KSo0VE0Z4VjQwwRIrIsiTHNT3Rr671I1nqXNpj+gCNOvKGZDk
iu84kjE9PPZyMUpx7Vgt/buU/wP+g4+FksEPiNrDDvmVPhrZw1XVaCcdiB3xKlVV9ZiessIcxsWZ
1yGs5ZyTOwkLwIxVNTjehmsHYjXnULczI9MVvknPvVa9mKBe60efjLOHa/mTc5xKDzkuRI0P/l9i
n6lrm+5AsOoo78bSzJX2qjArbzgIWdIaq7zjIyegH/eSw1/wj5PHeug/ueVqYctUHAL1HoGwmyFZ
2YlvK66lKLepy8aW620lrq29M8u6FFfU6tfVkaz68e334zoTsSigHCzZjAV4/zM1ZajWE4KKh/sU
Y+Pid5OaIYna1e/4GxJHfrOHxIUYWhrz08Pa4McehJWJb7625iwZO7JixiT7wAXZgUHLj595DXJr
PLT+eqH8sCxjp6i5qhTiwRjJqvjOZMxwXzWsEz33FH8oyNDzIiXfxzRb7mtwZVHBP55YQMJFtHZW
92e8hCyvjktbbXsY76fcA1J7beBzJ87w7uBt2dmbXnIpu3WzrZGXDDmZBg3E15at4aR5s5lA/exc
2AzIm5mSnz/gornVFDIHYvdEuYPfOD/VjrlMEfLEv/Sl5IwjUX2uhpjcyvaPPTbD+6DSXLPceEEb
MHY0dlsyIFUaSTDn4hLjFiFZPNQJJWCXmClOpuHJhMoHtrC9ttQ1p8uYDmzNwcep2vXkRtTglrtS
65zQBa/eqyPVtGUlPxTrSXDPu5G9jTYLIg2C83fz6/iNJMQzQ5QHF75Rt/Vb59tIFQaEMh+sgkTx
UhR6EG3EJzH5WA06K/z2uUCX2q57ERxmjdBKS++z1xIVfuCSjuyXO5PeUIF1wtc7lkYNIZfsnjsL
jy9nlpfNUycgTC1l+Z+alOY4UfyNFcmjdc8SIaHYzA9veAmBuZSeEkNLuqwMvPnH/Nj0pRKk5u/d
TDgneibXEiitdayqUFjbHMSRDMD/DL9N8iGlnv0M09fzORmlE+r0SR58sQMdlO6un/yRMYtOLx7H
ZRMnZoj/jMgzHuhHAUFTctlROJDe15NpE5UjTYjxcGSSaNf/0868aX/UJnvdawKP2iCl7TI70Kxw
NNXichBw7Y4k1LlFZOhffLH6HKkW7CmBGucpK7g7+QQ8GFRmApwPamcGscfltoQehuNfl4IE2WiM
yEKgX7Rfw4PIxGecR4SQH7Wgd+sE3BPy6HIsb0P9EVLZ4oLuVX4fapFCOvwzS7zKvdVX8CtMCFHi
gSoWWQE8kZ+GxY62axwKIGt0jmI9ReMVX1T7ygMJmpNG8iP1FRMBzq8BEA8gLANlrPw9y8WIYi99
frEdk/rtMHAW64KDVr6izuBB3me9ZeampztuVnQKZOAOxyWqu5s32FzjxFlCzfYj5KxJ3JD3bWkn
6AzhvuuIpgSgqkz9Xpf2wAOQl1eLIyJa9uhFpojaDlBuflLIWgSmHEv28eMrXoKfIiiAyuK3yUyd
11aKNBlghihSHvHpbKeVOGpJIe2navtqWABa/Du8B/4lcIxgVlmbdFZlo0NtuTFBn7VenFC7YR6P
dFjMpXPSx7lTAp6YF7jWPePWMY4wC+ixdln0rxzKeRSJ4CVTkFsMZKN8RzDY7W76NVpqqPsaY8MF
YQccygz9tAMN3yrr3wcP1CGA+ljtArtqsM1iJmkHuZP3obKr6NDTTB4v1DC8Qdfp2yNjYrfxr0d1
jmFYzR1eLweDygH/Dh8/eIsbDsY8AhA4+DzSTEKSypTQMzfc/a2v6efINCDDU2aVCPqDpyBVWXwX
iNiWbnMy9uo5oy8x1bZfqhHZXMUShTgQx5fB30S5Qb884967SwR8WUeAdO+3I0o9ylHuxIu5b+bp
FEx4QAqPQg3X6pGWv8hePKzFl0C6BRFBZsb7ordMRfJeKp4NzRoe82I6ISTexQJmp+3V8/RUTC2p
qec3gOuxhQe1KC198Tbczq4RZto2tm9KDEswdRmXHpDl2op4VBoQt7Uu7kIBfi3JPjZF7Lo1Rsul
Yc1HI3SLFzE+JaB0GXntsUCO8KQ4qfDakJJPZy311X0E4gyoZKpO2YMFNWgsxqj28rRJgKlI3JwW
SNf4jkcr77x7sSln2ZMG6av+jfrnr4Bc/JPNFFUgIJFX/sZv5IcUez2T//NDSssykmLyeBT1/VcB
Ks8hcd8kppB0J5rotn6O+ZLjRA4Y6mXUwiEgBkIuWiwAOSqH1/AvFuWCgnofPX6cGiEZKg7+5VWK
Zxk+0r5fORUJiWjxucwgwM9tXZlqQeGKXhG4LWLqwyntSoemVc77j3fNjwdGYzKh5pOwvERicxMx
1rKOPW5XJAHbI4CAJJaDU5FR7tmd0zEFd9hUjVduA9C3QW2cXRIZ1G6xKNqW7TMXTzgoEE8PYUJl
oP8zJHmEYqIn2URMmdOOBgq6qovwAvbvLtkBCNbDxblImy4Tn0PSsOXC9LV29kd7KRNqkGnXK4jU
6xlJRJ5EpqNQbMxKd5AOGzAELeOyu+xUndmu2sBMxawWyMkHkwtYniZghAXmrXsya4pQ+Ne3BiT1
jxlW+t0Loiqp4uYSscJ5bCtvOe8xcrlb21GJitfYvhfG/16CUE0ANGRImwOrwkSsrob0k1hFwkz4
iEhTtaLjcDj0ByuXk1rqZkxQk6+tD299ungNQT/dZZSo1pk9AQONPlaUw5/e81vUJOjoMUBOdv2d
P4CogjlkzpEv6OFMONGMH7Itu4/k1CuvsRQ4SUrFWq0ID5oEkhDqNqeX/H8FEABmKyiKxUR5pcQf
ARBCxSPPezblV9/vZaklJBtitoIc8KessZ1GPYxDptSbCVFw3kbfAl0/qj4sLAWUMbifWuCWBPtS
gZODqsadMYSRDKCKMJgCjS0LdI7nRYiK5A2URcQ/o5f7qu+WLjr6miVx6FrIrKxJeVBH9chXDCTA
cXGviH8gu2V+5UNLnqnx4CFVAYaiNepRfLVRsWgsgWBgJvBCmWnOeeLlQJP43B/JZc8xcUArE1XV
ks525ued9P3icgC3JoJ4qGKaUMYfVRBOof31ySm/grGQzNMlO1XYolUkZk8OIMZBh5HMbgeqdULE
vyLnDXOq9c0kJf0VBsjzKo6IoH2KWFRx5NkKtvHMrl7RAPcUsxOSKUoqdKgwbWuOe9bzYNP6hiVD
DIT8V8RNX+lNzNjOunaxGh+h8x2j33v07mj4Iyt8X4kXb1JnBU6tE+xoMiY8AsgAYbW8vKCKGxr1
JP3wsjcYTude0UwozQpIWfetqKJzYM3tZhZQJsS1NTK6TQsnfuTfvmbhuyCPTP3IyulKHFAZbjcS
IkFwD9KYkXRkKtmTkTxXm0IPhoh5/7xZ6Zla4l+jmTKX1vpPAPjTMRggwFxJad/Pe5dQ80Lre1ju
Ndc4Z17eAmx1GsAiHVtYNfrh0CULI0WX1okawfWvqstPVPkWEcQdWv43YwfGevcrNn1d8CexQVhk
Wv9DEb+4ToXYW2iYh96CCcokXKhCJ5UYEZ3kvDj35zz1UEiQ2BpX0/mpt8xoPctE1TxkrOzAXffV
NvMCuw1zBIA772y0eMUlP9pLlWyyQA5JFQg05GO1cF77tPBYh96NUKA/jQqyKB/eIbZ8vsQNf+sj
c96fnVCaPZXpc9mHVzD1sjxzdx4CgY4i4v2hjv/GPHI69vLWaUA7C8G5K5ht1jzkzksqE2I0FAMv
OlzyGAPk2Ba7wmcHaImLCzU3yQGImL9aVVAhHs+VNU6UfvcmcuI26BCJ34T/Q7U6/63BUjxfNHuS
NrgOY3uuMC/I67DeT61nEB7hm7Z8nVoIP/FYlqZsZHuHSpLy2PS/fdGuPEoOlS6EEdMCSFgYPQio
DOuhtUlg48+r5msGVQgSX6xPcPUQ+kZRh2s5WGIfuTFGQRUbQ2WnzlV+Rz6+QHCDCnHMmiwndUmP
xG22ECEJYC2tP9V/owFplqnJx8Ga2Kg7H+doniIwcFsLJVyhqp9Mjt70Stz+ImT3WHLusU4BaAJx
rfLCGzult+peEQ89B4iQxvqebSMny+NcvhnU4BZJnb3Qf42nmOw4kNvYEFeoASpAGzpi5cFf5mVO
MNXfCfsuwZDge5bPpNZ0x0ph4fDUdbwlGsThqqaTizTPEpVgRnEipw5BImNv9iLQ1i9Ea2gVpMv/
flteBvVFmPX/jBstc3CZWYw6FleiOw+gZ6/UARQlO6sgBfTvd0yazIahM100reidfOXk8mF9yt0R
qDevJY0dvAn+tsoslWFY1x8/dGxc/ty+Q+o1cCsclBX32uIL+kl0UBTWCUgcBqh9ObwvDyGJWja7
Pe0cJVbaGz0rLPeQqcAaQELtKCzCTfsI8sFSm51UiwXiRZKW2Xq1B5Wjof/aF2qAgOqa0IsT4xBJ
G96JnDhB1nmM/Z8QRstUD4WBIUwwmmDTR+nRzlzbcUHgXqum3k4wWXrRKj0lofL8970oFnQXlisp
Sf3vDqrMFQNYHw6++ulHiBspih05pdRaI8EVJZU8ZXtNsI1w11NIG8uFg4iruzsUWMOLqZvb7XD3
D8t3xH21IFlmnR1esUdwjPCpIfga+IpArc5n1PVlqedYeg9tix+G4C6++uISKlnkMFtzPZfMbdyG
ghZsNWm0QhjsfXDt9bNJVuz1h9KUVoj8/XP3lkiceIorLc9OM6uRX+TeXu1QabSzeW7RAmfFJiJt
Zpdo94D483GeIluu6WWtohHb6Pifz5QluahvGhjTkIMgu9c415Amfv6fgpny4lL7wb4dDJT1s1qU
wX+1ZovAP/VXnkWGW5I4ZI0ftQSOqu/JwJ4gmYbiDb9lSf2uKlNtwTVbXzi6S7iQlq9OpNNQLa5i
d7cHQ+fnXWY3lkIgAtB3i2ytXgIQGGLbRKZBd5cYzwDHEoxnMZrk6VNUGGUOmWlBmvYdHLSZ68aB
3E/Ny23iUBR8DjjZpiVaBU94EJJWpLJaLHfiFrEIkmlsJfNqso0weAgV+1da1vG7CAGAkhfgOPnv
kztr9S2SggZ5IyGlzkgrba+2CiES3NIk9Q+j9oio5QMOuinZIxQ5G0Z5zom2AbbCzm1HKSALStXL
3S5Xbf/lnvbsQ3zmgHYCiXjw/D7RPekgCPhM/SjMFcwUB2mzGK7e23TJTr7eQNxBVvMM0aF8QUP7
mUtdlATHrp08y3cFoFZ1QT96C/zMhNE9WTX5uIA9hYqy4ZdjIjpnbWVLQ5dIrl6+rnDLWgZrKlNX
/QpHo282MU3B5q3+NoGLmjyRv1SnvIcTGnBQZ3OAXVPLSaIwZgUCQJeCvQBiRExHw5HAsLwXRP9e
Jug/v2dIyXjG7z7r1mWfRNPtyCNxGXZpfazur6pwfdfRvsYni7Q07N3PQuRFo7A4dC29S0KCrOta
HyLF9UZMbS4RQUGEFHymw3L+TO7DmWYxe7QsbewgjgV5KHnaxdXkYFw761IAkCHURiuOnQduxXrf
JYu5ooCKbE7B3RZ0CU09Gj+jlfnZvIEZlC9O+5SBUCQ8wYSMQz9ev9WY7Y2jaz7pl3AnHUfnKGU1
pWvq786itvJob3xP/WdHLgBfPyYvm4W8M9lu97O6woifa8TChHx/RfHd3Vac/mAlY07A7CfZlQBV
PnppoDmonVwnQu5m/qyT/XjLRH7q0+95N9Fdq+QZQOqziFlO3wsBw5gTbWq/hPOeLzb6tMYiTNG6
pCi/+ei+3iHr865BI8J/PlfUUAD3mQhd4rvgtLFkBphBHoF4oHN7JRfXmLhHiFdu0gs46E87Ax/9
nSq8eeMnrnLR+leKJMAZh8s/e9VlMbWBdbU6ss5Goy0a+crlDlsF0tunJbPdsqSQ3/+m9qiEfkQ8
+Zln/HeZaxucXoH6A4TBurQGRqtzonIMF0Y1PRyu/GDVKIcg+falsSj5uHU1SxwHBsBGUtZiN3bs
Q/YRlZiopbbflWoHyNegdFGbP5Q4rELvER0jgK6V1WIud/P+O3v794aSudyHg8FtRne/Bw3JHisB
Ra8ugmXwruE7v0z3pg0JtCkZj7DR9MlHq6rx6OCp9aWKd9A39KePe2q6sTIbwQShnwhbrs6IXHBn
hP5K2DFXqfBi+mwbPSmNsCZmyi/b/u+KrcUK9j0fua97VYZGWQ5tXy1QkZWI6iGmGdDUdDor5mph
5U88LjOHCPSjxIZLbDXUK8vBXzK3jWds2fscvnPdedpzbxwbB5eELxUcWURcXssJmm9mO8H4t1us
jtkRKPkIdz6BKJGKGVGQWRLz3L6DzqGoo5eKrQq4IPcB1vJf7wGvaZneUaDA7nCvt4KUDVXmdgF9
+mljvNJ63u1sG4WAfdxwINGsNDVRo2lGa3VB01a/xA1JqB5v/Rvb3J3SarYNWdSUttsJm05UXgrc
LMLqC39RuE30UI0UDARqgx2q1calv2o5NIbA7eiYwRl1L1RvY91OONaqfAidZRL3Rck5+n8ChL5X
q2zAl1nnbZAyYoNoNWc9TycYYNfPKOyQjobdt9JGWdg+aitiGWAYk5sDmJ7a/fqNIx0p8hAaSZTC
5Iehx8eC+3cohwb/ibmgsJkpNAiqW6b+e8Nmydu4aWEE3SbD7TF+o2kAuWwsNUuRzg72EU3NY6hw
CV8M3Ytwd1TscqVpJNV82liDirATeGWg23dYfkZ9f6odp87+5wErD9ODy8enZ25198Y6WINSW3Pa
TCR1Ggn9OueodOcy5h8vOlspggFFQAqRTjHhU06jYTdE3alLaUJoBJzcHCcd5n7sK6WZnozr1RWx
0WCnbqQHU7KN3eH6oBTL0abTpyYb6Xw9w+XUbsMQXcgxJiuLWw7pk3HzugUIhc58AZV6T1DbQZZ7
Nh1Yu2DyyumcIEM0a1XHOvd1+qTy8trn8KOh53SaUcW+MGQ7/ah5GpA/huE5Y5YXkhYuMw+72e1b
IVl/MNdlD9J2+XVwq3vvF1Z9Oc7iPFmzYp0vZ5H+ijXlou2cgtE1KJ77wV5pF/27y9El/9alPPZE
/UbdPF+NCoIzzPOr42hJy5cDjxrE6ySiQLnPUGwpFlBy30J7QVlcgMYC1Li/ACHBc71omfIeySoF
4PGJtCtw7uyWaJLd/Aa/SPXo9iTDQUbVTmS4ipF+7EYuuNozLZBEsP3wSpqVhcWzO0g9y6Q06QHq
TPwj0tHmEVu9T0GpDGTChUe6JokI48TnaSaif4xzv0sb3O3noRD4sabRO9KjocNxCGFDYpS7aC7u
DFzuz6/Kwf1ad1qQpGrWXVfbUZW/8wdt+s4wohbV+3CBEA8LLrRTYFaMmxyNgsHy8VUn1x1wpC08
gKG9Zwdjq2NLh9Wuvu+AeEgIYTtF4X0dqdPFsvjtlNuwnQhrjb6oJ+bK9VXQyPkdp7Yrtwkk2aqb
GClJKulAUirOAD52vPVVoeSXxiK018WouM2MPRJJYgvGuq8jVT4sGO0Zelmg7M5S/o3kdCMNpkB8
SNAisH91XKiH/1zA3A7ay0fYKvNwPZr9pw2w9tEy9DOI2FdTy+t8/UJklWjqehU/YelIAoWLOjcm
lj65dldOQw3SZHBQIEc5JkNNFSb+4UJ3f0pMzAr2aN/PqSZ1qCFia2g20z0cAUS6snnI4/7Kk6wX
S8tqXhsXB351IDEMF27FhmgtTdn+utFEC1ZJ+Qf2g/JAf+0ZTz7bQAmnblsRi8LE3AEnUxuNiJW5
uHzIdtX87WSTQpsE/4D3TMkmdUY77+IcH4KXbFHVlwpGzw9pNeUaFkgrY3ULPSqTJNumYIFwEIKI
tDEMj5/SXnLaVJI1+WlzEQMV3YuMfpMC04m6/SK/NsoHA+hKZz0XPW5m49ikQVMCC6eZhgXrWprx
SCZe2VOKjvGcnNfYxmiuhlgrvOBJZMinai3DFkl/NKQgVoNJjP/cmq+Aji3qEzLTRnzxCBNx5s3F
hBtnwAdseZifglWuWj4RSAa1x+thq9HNCtSc4cJB8XL1x1FBTGuKPtMVmJc1xeWdlmMrOyF5uYEt
jAmxqy57u3H9Xv+ztH5WyhldY8ylc7mlJntaJt6LqLfO1KBYXVKYvBj45K8rrpBbozJwc+gfY/kV
R14k/6/FGO2PC6TxlMz7MIHFR+8N98Cc9995NH9u0DxNzy5GwZYYyZtryeLK447Y/OoTIz+okMV/
YQ+a1F0zLvzd/EWCQeszG5uCOHztVN05cs519dD5c2hPz7nQoxk6YL/XTlL6aCUM+hEDHbTLlB14
MlPOBM+KOuA55YYua1MddHdr6MO0DghlYnHSZOh9AeaRK0rYghgvUgcepZ6RTh1Zbn9FlhCgI8pD
3Gv2jMZSlj6O6ACUkG2MLRNuDuDiTQDrS/Xv/0qlFuwwdChYEmEIw9oECgylcKd/qqsaz3LnUs6Z
ocqHddPwpvb5pk6o3lJ6fjG0buHCvy/mjALqQJZei47f4OVPXs9KyrtAqKZ2MHNW8b+Eun6i321A
hWMX7FDHD2ufvwNHVxMjrOSRw+iUPs2otSKbeXUrvbIPq5JgmX2p/CvknordLmlO2bjCIdIblFyU
a2BEqTvvl7XF2gr6cswhLS8TnW4ezLPiLUHB1WyXYgFT3C8oISrINXfiQTbeicevPw68y8fRpgL1
6ONEbeWtt9J6qKVA+TPLYV0aU7CWVV/DUavmlPoBS2Nj+fSZxbHz91OJzDR26Qt/SS1ZytfEgEAD
BxFO9l2oi518/KOmSorgydq/tApnZyTiKgd0AjuRIQvCQAstpNH+FmSO7Wm6bomPlmhvS0+ezvlH
/4RQwFmeMObF71P0QdFa0Pl7nuFSveoXC2umDdlEvw5F1J8i0JYNRrApYYLwUB/Xbg0PR6S2Hu3/
gwleu81nviAKhUaOWb70lghdCY/EX/Ks+ZFhWkTVAHhGc1uJ8gYTtAoEXeHmBlu82YUO7xJMNGFR
28mTXl/WXKHdoBoWwKFxclLuL3G96sQ7uQaThb8loXwxa95lhcG7dVS6fe+1DdxnNm3tqjYd+rAG
cvOy6bVaF9y2KhCfvMf7pqN8j9AFBQw1rcM1k1czx7JYUXpyzKbnPx4nrNbPzlTHpmXwetvfHpO1
WPcNfW0veow24ho+VigAMydYiv8nTlnjdb1q+xJg55YLTc6n3D/FEG1Omb6kXi6DkImGCYqA+gfw
32zTetdQ4ClAZY2xVH9pxXfbZ0Md9fjoGkKeVHBFBxCsK3iZCJwtRtRo/6Te2GPepivkRC+N6fIk
wynCLicEkKBzvIgKcJzc+dYThnMc8YKLkcyyfq9p7TXOSY1vUMAyGDXtqzHry6zS/JyIaNFuvUus
WSqSefFG+QaioDjBbp1+gJfBX7zYSGA/RYdNnYnY0oWrLBynYBWeqjlIgfeQOGhvXxG2nj5j4MGC
Ls7849gvD1q6Z09/8/SjkwCzCEc1LnKrXvY2GbluaoLxoKXIt0ORrHm58CUwfknxuXYzctQkrbWt
b+g5ibM14Y7Qo7hGwQeV/FuuZjET4X51iWrexX9QhB0UHlwP4MlzAZS+cuggFIuls/wqOz7qrud7
hM/NZhas/FsgCgWZ2djyLiVkw0PX+EfGlrXeSPDgQW9GaoGR/E4I3Nxb39xIlUQP/nqEArOQ2SFP
YqOURlZyen3Ug2smdQHnzhVmSODk6G6k92c8krsZI86M4bnyYVksuKLRK03XJ7XbXE/eYl+ovXmz
IPDiEqnCC7lV0lmC3DaUJK1Ms3ZwXNLpzXWtZxyO3OjGW0/QiNM7q4Wi0ucL49RvRFeNspb6Jg4M
L9ZfHgT1TOgp6QyGXQN+lQDUyzkavU5eVsybqCgB2xnODLjMU4WMmCBXmvDpozycffXKf7I5WaZh
VYKTTpTqXgd6cUAOi8tD/vKCK73yOyavk76Es1hAe0j0CC60J2OmAk8xoRn2xO4xiuBWkrS6lND2
2Dko6e73cBBqdRQ/svIc4y6NyIP6kfE+kI0+1Tp5pkdDj6nsyA+Y/uPxB7wihWhHWwI4wiHiOWS7
JcQztTS1K+ulQ7d4grvVJ3IPxr+y3mEd6paLjD3VRcEf6+sCkGt/3tGkLwL5q7YQUS6Dc/joi31v
e2MuzIGkgTd+7DuLJ3WIvudimMMzLKRcQ4u7aeZPMZdBtBaBn4gTt4MOujZJMvt60Qp3q0qBAWFW
epsg/njniZ4aWl+veZevMa6HT4cG+cG6g2dGl/YzKRf0B4DmNmnnfBBVyWykq9qM9oUW/0iq8ddW
Cy2PjVpD7L2b1oooC+jgcsk02kUN7UuyQ/n1I9NWL3jqfYp/5eJKJx4LAHJAmQ8PNIULc74xGOYq
IsSYFErXcsxPey69sgWB+qptEbF/6r7ZE/d7fCQXkNDvo8KbvD2+dVuUz/rCzoQNgE9D0gfcvoD0
C8b+8H0qB7WNDRreU/g5NtzgYjr20i64f4Q7FJUgMg/Q32j2YzKhg8eD0lzfexJ1T7McGpYWnt7+
j/hTRjlBgGrYvHEsWyA85/9/STWCz/T5utni8wucizRImOyKcmawVMFV+R0P5MOlgS11hIjkdAMP
zvl7IPjsAnBhSAnMyreJzcvB9lIzevGG10Lad4C8WEEoZFw8FlB7EyK5H4IGplNmwnbsjjZkPehH
4zgMEHS1C/eU17bLNcTsDlLZC16IjJTWe9ZbUo/7xUuqBQQ9NW1q15EVf5SOt6BtOuOD0u2rtA9P
bOhdxdqjwAUFxbuUvz3FiabSYLAlzSpZZ2eloVky13XytCNG5d5R8IQVlXLgSZ2W+GDS4Xh363TQ
Uh+NR+Sn/a0yvCC/TzmdmZimJVcFtg1AdNulKFNG4/bbNrCSbWWqGhI6JZYaAvhVfsteNdM4ZZgZ
b89yHKhC0LcmNpq53TAIjJYD+MMJ/MYEad1VmEq83JhxzqzO8Bg28U8fCmatoQJrUDFxlMsa+Ev2
eqiyvvJR1lyKSAuX7iWgdnHSj9bUxziGoqqFsoiepVynTr+6cBWrM6+MNbsNRW1SQfer2tvOwW26
aowze5yRsfwnOZmTI7zzswa/C2ndqCdQECAhmFpXn11DoK/xjF6+UyYuMbTK926Rxra9INN9bFR5
fT0k/PQV9C9CyfhF/HBS6IH3qpNhW2WFIBNwGOZ2DUpa6OEf1vPziRkZU39++XHvdnGiu9ySqqSD
/a5MOzXGUYwKPmprGgHuRTLZ3giKNgAaFzwIlpYtspEXszd43cySkQFH92cHdAdtbkyzbyc4Qm57
RXof2gvWw+gP+WQIwiwODNIOkX0AcMXF9UjgGJLOrAwi1HJyn9q28dyrjU4ugpMLK95jNve4zsIv
TB50d/QtPh16BXjupVh/bGmmva8Yi3I2RAa8kWyg4UQAWeAQ+a3Oe9lSdq3l3MC+ltSUoUhc5MLV
0uf7KsS53IUI3pceWE9KVTA1rUVg8nAOb5UwSmDBfXBaYm0Pg/v532hVvcZJKtt9WF7nxLVU98hS
q0FJrXrdEffw57ejcvI9SmIJBE39BUG7UHJGchnoBDByQoNh6pVGxa9+1s3v4H4o551hmj+0Po5u
TmwvUJuOUoIbazJ8keuuvq17cMQsIX5CbV+ARBmvhAOgQbSOkidNNq9TuyOoLgC5NmtzU8w4YbcG
KYjNhzU2JqHbK7pMrkVHeajmZXmQ49mTd2PaGNijqvske+jjHMCjW9oL8v3Snett+ccHk8kDzWud
Cwwn+JWB/AraTYDGxjaH55A4e9t0neiUQGwaNv/oXFA6DrsyUudgAJZpxtrImUKA1k0r8rajBMkQ
d24ljZbxXGLCz1wjVJ1/e4jctwoiX/AVrvbbkphjwVeoPjp00eYszKebu6RDjDhStRqtuk8LkbYV
ex5HfNi+HD2SJexaJuaztaryGwikzeFKqn2LjgQPz5pXcBBnB0Qg2lnRGhiqeDff6D0UdUPI6X7D
QDOSRNQyg0NrZEezfhKGActzY/0YVHm1B7eriIyocJgVHHPWGl+8i7ylsJ0EnWxVuv7AE2RAfoc3
wJIV47KvB5wL3MqFi7+0lMu6ZIg5AfO18ku4yePJ9KchCs5TNh32d91BxTzjxEWdFZSza3IjN1se
1yu9uMO/Fq+XCHjX+zOaXlzg2/ixyQvaUf5jUYLfk+zXIv9mE0desGOT7OTpsxSQGVQfSPBOkoT4
1k5pdnTNrsqe2yFjzJ75mnO4pHOpsvb4S7ehxGa/nYrKagvi9tFhT7RGTQZ/hGrL6E7bw/GrlIUG
L1ykca1lvm/jwtygtc/kQ1RcgcNLlrd8okv4+gT3GO6JJtAOenizX/DQHgOd8W/EDQ60jAWHzpnl
z5yPkXU3ZsQotVpnjDE+68BqWPypbTyqcprw3b7hdfiNF1Fl5o1oxkmXAHxKwFblj32rASpEJ23N
nGs8C9fL6Pa00GqwP1p0sygRzBKjBVEDxbuLyTWxUh/VL4iWetEMkGm0FhlgsIvvbg89xFJE53Fh
oeW9Tk0VrEtSqaO5G8Qi0X8A9u+GIx5aV6MBxhRjphj8Z1YOkQIpgdkinMvDf51siZtuEaLNDaa8
t70SrhYF7VaMVg8g7tZuKvzKHSsEMSqStiJh4XHOEe2zlsnQLfiTGQcNO28RurpqKcUduYDQWYGz
iP4PWn0RXyQfl2oo3A+56v+apDYqEuepw3R8PHnOvxHKK2ko9KHYorCocf+DllM8EjLyGm28V9Mk
S9tnEva6IHiMufTQccTnSg/1nUnujJ6oLE0dnK3PNVy2WrF73abQzS9hVQhH6Wn0vJQj6PHnFL0v
IoOzNa1sO/VmX3LxNGS17i1A5xg6CXj8Bggh+oWx89FiwtbLxxzWWTcZbUKgqWtkKl3BOOtT7aKY
9SrHJYWNUxLqDmQmXiCDa34lWZj60TKA5HduugN3ET6+hWFMefm4OjZzLSWZMZWFXJWbdJPG3tk1
xw3fQnBbHAbyl8OowkrRvyUXmB5vH6W1gQORa2uM8JkW92SQkoGAxZrubweY+SyWxJH4wfMiRhhH
ZjQzZLNqMytNk2n5OF1OMhSkUyKFQee12FIh4y9uyxS5XfvV/OX0IKPSOzyFKBBFVaZjYG0xAeN3
Az5tVQccZQRAMscf91YNuVSzbxsd6e8wMupSTJobjueeiamZHgf7JFAwbiGmLYhfgcaGSn/cOaJx
9Q4EErdMcxCgyw84iYW2ky5WDwFGwllZBSFMzsGtmnZNTidQL1SZOBpUgkUo5DpbKEYOEXvWivdp
7LMNdnekwl35jyOM2winDHtg8/h//yhelyFVM4DYjevBWfE3EChpYHjyn0GeynmUEcWMG6jY0ySu
2eogFyKiQ+lNS5o4HPOjTnDyzLjKqEFZEQWLkfSQLilf2YIkZt6jukMpJ0JAGuZUBzHWHhkhkdEY
5dhS1apbsKuDLTEv9Ej3mH9rhYf7YOkiIux/a8qafE/NMKUKum93Xd/qJzFB6VHqDe1bsctsj+kX
MwvTjq1KmgV3Ik74KxHtO9cCs0DxVRLgV1sAcsdquRdFUfUz5NdKQIOeWKb1mDDtW/nKZ5aBF16A
jc0g47Y8cDrKgDIKJpUFUd7G57nz/Re/yqSbKiROYM0fBbN54z7u2W1KEdoVoqru4u39rnSAz3Uq
lYqlqH7V7queuObRZhwwiA8DAOTAevvykw7h/p5PxGiJGFp0udWb3ZkZb12eS6sgF4QOn4OIouS3
rSQjpocZqSj/uswVV3b2tFiz+79DfE58mh/B2abSDWEEgmkxt3y2PSgiZ2zw8tt/hEAZF+RH0BSj
/M2wkJC7lLJgleNwqphxTVEbAoKPGxfXULOZ6BRECW0FKXjf8zsVZ4QvXo8YxB0F0VQdPNeXWqyq
0Vb665P2y+i46+dT7UHj2dT3+Oa/AgTckvTo03jUQAOK6dYRcE/3AruYJEW1HzW7DoFKz6/1YNCS
fw9X8+kG2hiagEhJXpG8i5GWFcgmJ1ml9TqauCaw0ZAQ1pY+thHPAcvUHlF0A3gDJQYeJwdJ1B6Z
OKobNNM2z7Uuft8VZajVBhOp/7NSuG91Qnhtsord53fWruNhIBiI+vknptYOynsOhn5XYkOfKvCO
aTa0JOfLVj9SkdFyI32kcvExZ1mqWkEgj3ApTERofL+eiGhGoOFyjvQ3zTHCeCdHaMTYWMGBgcvZ
VBMW+PFQSROw0Skhjls92vV3Dg1CK8IKOOHpPvA8sGM07f/g9/STXjhiE96Ns4VM9Gy3BvwB7tZf
xpWXQIgiSRxq6gXCEHT9po0f8QK6vUYVI7Mqy+5O5n4+QGJrZtLm4K/I7ZG+CMK0ztFrQVPvGmN+
M04ENJm9grigc7GCb43mNorvbmaHcX5AN+gFTsZobPBhlDQ1RrYij43uZ+HsmQAHUSWbdt+X7bU6
go6SHkFo5QRm8W27EQrE74ZBimkb8ZRVHHsstlUTmVR4Y5fakakEHMhO53QOz2PPSMdWv2lKYK+V
vSjoXTSwMNV0vZvJ3Mpi3qyBAgMgs+9OqL4VEM1q0UhCs+uLlrTZ82X9Mff1K9zMfxY1ZgieTCIP
WRGPCxop5y3qbELY7a4dfP7L6ngYwJFlckBcZqNaELaisN8uJo4i4XHzdA69oj+VIuZWMJ8Y2MgB
3vZB4EiYZl2jV31BBtpN4OjJLcKxZITXPAgx84X2iecJ2/ovAylJP/RbJr92zCgMzaSDPsiaagsX
B47nSYFHmhuRGkQ1xjzyictKGgiwIapxK1AU6tlas82pb0U1xH63rlkxVAivFV4NB7i4BWNnvMAM
wlQBr2Z0ka/xzkUogBNDGqcoack3+cx+Er34M/TdqeAdMSiF/d+DZF5ttWs1nhETaBeRfpRfzUUK
KTa2g/gmabSevy4AVQ32TWzhIYaHnJ3BV2OfsFBygO9niw/kgPtH/gqeqHCtgm/CG63aM2aABh40
+YTIW9yZoXwnLOWfglbwnfKeqZEEO5kvtpc0KlKbGjboWhW+BK47F8VovDmp4dCFnngEnhOYhMcG
eiaxeNRiVPN5M3GjNT907Rs7oLA/G/2BViqu6v3KgRndEFCs00/lKi3/m8L4jJHUlxjzlPQLDMFU
C+dCMSviqav2RXAPuxPiPMg8x8Tqk2RwtUbiAsd781lW13BLSgQnwAujgC732Ra0Dk+0gpZgJ8BH
C172pnxa3aSgwxrrSOeyenqbIXZssuVoWBpSo8vGINc3MdlCBaw4KnlE0ApY96QnClncg7x3qZA4
gkOUqRtZNGI2a/xCMqtpPPzvVLOLK2tOMGFyyaqPyy0IqiHNZ8PE2G3o72pbiH5d+if6qtUPACoW
++RMf19btg2YkSpq5YpsO5tK8Wa9m0yGAeinauRxI1DuvJJAUnZfXzKIz4nxDtSd6KnFJL6UdPBA
KFnZoHkpvoQ3lS0yLFz2MrFOJdsQNf0ZsnRWaw+/yCBkR8jyxld/WIiaTDv1SH1/I+hDQ2sJbEy6
f7iQAisUc/lVnFfZNxAewNzRBp2qz0B/qqETB2CvzVoTkG5VRGutgY+y6D0MYgjr0BrcIQoTXf5O
iS7rBf9XbVnM/+JIjypOp2GnUDdZeXLS1c1+koqOME/7bjhkfdg+twdqJeqGKYbDqq8LemOM131f
8d+CX203bmUHj1dvocz3ufLYnUYx4FDcPdRWfIHqB1qZUQSOwUQ2lIz35hTYs7m0c5WgpW4G+f0T
0rR9KkIp4YJduJmJ5VS2mIqAZbW8Xhm5DcQidFqrjiwJFM3msTP8XuPwaquZed9wXC0Vho2So4R1
sZECNStyl/dVBcqng7xfOmjFu98VRkxxPTAibVDmrDiweRWb/woPPMrQigHv25wxOPfuZx0PDNM4
m9/XCPNsk5ih61+EHOz2SGTkHSmAzBJCRRU2O9jSBOznrcSjrh1nOxOlMScmIsb0gY5cb2dBDjr2
yai73z9UEukbYDhyycDEiDHY+qm/EZtOPjh05/QHOiYsNM98nef4Xg5lwuQNerzdd4CHS6bhz1DK
ExZGdIfqKmk1I4/emUMEl4yIP+3o2qON+Ved6I6ZLZPm2noS/hXsopRYpwPVhuWfJ3AztweJD7Uq
3sywijOGFwNVzOxsT8KUleueWc62pha3z9F2DQVikc2hpw3qc04IeHcZY55RZJCBP7pbC01jFKC5
2hNzoas5t9bKJOieSu/coI8sBX2qBUN9U8FTZJVLIE4P1BunFkooNEtVz20nl5WmZfnFa7MYmIUx
F9hBJEF31Oayq0CNY4yufdS3HyxvWcewCSwyDH4jmXwtA/6+E/SzcQPmSe6xQHjUBkhPOc5CTReu
dL47EhfSEPjzpZKFdvuAGqm+XchTWEzUTRQgfxYN46I8s2mZic+MG//IsJXydm9CQH2GjdOjC3ag
Ol+FbpOmfj2sBwih1cEYD44gQLBItQBXPgfNBW9dmlb270gVq1De+KuB6VGOY25UL2Cda28KYXyM
16SH4P/viKwnyAdzByamAfQC12gN3etj0/tsavXhN0PR6ZxMuuaA/BUaMBjkcgp/2l75XoiXRFSL
oFUi9OHEMDDKKMRgEmxlD2pSy6Z/I7yJk/EaW9PKSo47U2mb7AhIAZV8CNI5+XdEjwYSVk/bpzwB
caD1b7LphWGpsF3dC7pOul3QEmnlK9ExmsoeYXWKTDnqAjOvBF0RKl7qzxCD5cmF6QRi235H6yrY
nAZFCABBQARqAcw8vCbtJPupkl4MPgzMoyEvgKCAxKORcNgM2w6NEcUase+6j7XkeyMNHtFRwh8d
dWTG3i4tw/w4C/RfDgIGAXN/rCCUZsgFxvvUcCYQ1P+6H2m+L5cVrW5SWns8GOC5ER6Fifh6I+JV
+51rPM7t5d5GWDF23IKMDYyMjQTLhIGDHlFhQM/OCdC86VEIFKMNpQtB/WrTn1dSJlGSSN7Qiz9u
ltY/Ern8UKcBYZFb/BQOVPsOvi4DzjKb6QMd+Vwh1CdID1yaTmMWmFE90DRAyYVzDWvo/tcqbE+z
lnkPUsQsasoBBAs7Mk9tYZd7qcI0DILGvd3UHvpxCJU1RxkN8aK0mZUg3BDR3YRWCABHPVppRusP
eR5ekUPtIygptSLpVw0xdLPvndJAHxc18hlipsHzOFpx0eu2RmXOlGIpasI12AlYtrPcphheBDHz
FbhsTyOK2gFu2daU4L2mKEWEHcOlJ72N/VaxnRl3jUWACd2KIp1a+wFBd7Mf8VFHUGt5dLMteLU2
eI6zk6S8X1vCS9PnCXwdgVzoRXR/xmnnvT6BxouricNCuT7wQI4xMR8r5qT07/pW1p9s0i8T/fTp
VzzH4zBU5meJFvz+ERaNDZzFshSvrjo+8PkSvGnHpZ5SfojKO+mXshb52pgxhuSZp0O/fq+rspMO
L+7APZMg7C9m28OgN+qDnINFGzDEGmmKcsbvbb7RAZxIh9k+W+W6WFqcyKBViMhUcsj3jb5cVJbv
WUzRytw9LGctwa3mu2f1HVtxjN0/kifLjtIasxnySMCNAfVfe7JqxdRbrKQ1fZuh+OcfmjwIiHgm
qTSxguN43E3Pj2gprSg4d7hNXJ4C5avIiopOs6QVbzOo6zTXpCa+fLyklLvHvlEEQyUdHg1f95He
wnQCX3ADd3mwe1/PUSgrDhCLtanoX/u6o55PSUpedGAVODaJB4i89k70M7rHPj3iqSJTb2pqkins
qtN4ujmhIlCT2zHl7tRuaDpjNGrfmj1+1LrI2G/zvjvLEMwq7jEEuNUmFGBErDOX6jLbspgz9pq/
tfK5egFddHmo0E6W4UK4CXXPPPll5Djs1joAoLwlI1h4rlD0oHc4zzgmwCKTMHgahhYj/FI7bU2L
BnpE7AjW+uybe2V9nXW0akx1YbPvKMbvdBPLvDYTb+9prjeCgIGaluzdPpBIxP+OjIxwRF5PAulR
1OdEmJhx3Q8vAb0dsiU/NaipIrCK1/pyISMLKzIRr3Q8RNS5+7yYax7xL0757aJnxaVmgbr/a0vA
3uCnde4ULBGVNXERcrDllqzYaLYSE9bjVxFTRgP2uzIuYTAgDI1LmXmyxyFMhtUx2OUFMmIYuru9
Whq/TL3o6h7i8sDajQGQRkZDOqsRJsuWbNqM3fWLnrmip2uymXbhgs0Wetiu7u3ldfZ7PvFnm3JZ
FqJAWGVQCml6u9VWgMHGXPdgnjeyXUiGptgQtDZaF8eD75zJTWRCiFgnNjGgC/T29hmOB3vJbvw4
q3b7tbD/pcLJw5sTWagcriFKAILKwfk6ugCX8P8mjjrshJ/1SWc23axnvCdAKw2A6+Pmu0cUDUft
rRNk8izFMSAvuRQAxX3n9TXqIx8gwDFV1HDLetNGj+bpVQqScb6LMwEUHwYSBGlUjohKM8AxdXil
kGN1T/JOuFqgLSuoB7wKA7gnlXQ6f8mQLfoGxgZJ7vsQy0MZI5gOJ/e/oC5TOkniZ5+Vm17Fe6XD
6Q6lVHY53Xz4wVfBV1n/vKJhGXEKg1jTz14S7ls61T1pzmHEenQoFbtK7l7FEWAQSCRAi1pLjKlj
iNaSgzz/TKl+/55XFUHuh6UKHs12QuNpIhlgw7mFaUOe9i/PSVfueX80H9617su3J5xfKlbh2NFb
jZevy/zOWquB7ijOyTOD/MYqPAAmdsUv33UZCdBDDkP1unjnigG+kgYDXFjWgYqWgkf8jRv1nb+8
l7MMMdgBVonarB3VdxKt+fr9odRw1qag2gMmeTJQ2mJM20V4xZkyyj6U26i+FEbi/S03yE8GE2oL
7D30rbfFcyiHNrZdAyTUuxJ+6TvL5/xcvBImtiSZ8fhLwCXPf3hBnO3MzuMoVynkT+X0tuT69KXg
BYtNXG6pXmX/M+AM0AB6EhqvizTY/L9ZB8F8UXMfsTh/9sbFzHFrWvyt5ViuIWTMCVNitlliqFQD
sYtotuFK/Y43t8QC0rEKphh2qbIeSaOnn++Eh8oN9Rrl3Q4bBVTAJpunWNYrQj8s3RJbrK4JqmDx
56G8x0SfaA+SnJRDkNL+dLSWR5bjp8NIw7JcDTHzUQSYK2NNOvOV3GWRVziq4URqne7BXVzbl/fE
hpNDLGQUffgSWRfhlLQzhaUV//MtaB0KjZbx2EvEkxLubwH7g5LcAzWMqfI8eMPpJKEHOF4URBE+
AEhJ10G9QUNkAa4NJ1wP2LUHhp3HKi49QkOptlQ+SZzOANgMymlkccJmF5l1n05OibhQbkEYKOiX
fVanvKSyElYVukz3Q5uedf/hr0cQX4UqMOeELYqzoPRbTdck4UfRUKuIpzcApy/XcwBH5N/Amdvi
7CGCa6PBtuGccasGPWlwwPoy8JIufjEYJJ5Z9l0u5UIwFsNSO4V7aCWv07yQMKmIy0PRQvsM3kA7
FaARrMcSqD1laFE5H0tPO3qHadPYW6f7gGjBnjVoRZjqTEnxaDn5IEjHvF9oYlN2XgBsdCSMkL3I
NVYrMWMyi+KccruGG82HIn8/31sPn5bsirDm6PQI8fNdKXv5Y26kv0KTZcgF5MgW8Z/kPFGL1IGi
309OqN4LFuvZJzZymg8/YrCQwzzQeiSu6oe4uwob8dInQpr5jaAw5dIgp+J8EkS+UZRxA4/rcCbn
M9STLlK8/OFk/o3g/Dh8sOlUMMXVrDNZAPcPN7XRvdjs9SPds9u6sTDGxs4kD684G/C66HdVxKBc
34d81WPWKy0UeJOnNqsn4XZKKzIZYQrId4Ch/L1GD7bi9hi+Oi20cIcex0cvuT56qn61Uf1WZ78s
n7MLSGlcO4W23jwGFWtcUsMt3o3AqX3qWAdt+OWPk3EnxwzD89f6VX3KxsfYf5U8twgAcy2Po5h/
JwaB330i7E/rMAlIXhO1bkPLya/BiwxL9db1Ebjl2Eh+mjaMJlpZ6RAIyp4GQh6cQ8h0njEPTOpm
drW6drVSGucuBVhimG+cuU15fJ1uGN4m8/vlQP2L9sd9b5AaliSHHURw/+vest0282jHRWgr52EO
BpTwqa60gXroqQIEMsRQpaOZcvM0y8DaH7vM9Afxd4r+atl7uFYBmxpbgyL6uyixZOTR6L2QujZM
8ch/PSqwybIQQftFgscmE1R3kYb4cLF+LswyZZk14ae5K7A3VxAX+Za0/tXyRIUYb7XEIvJGEWK5
+7M88BAF3QktmHzY09C+Jj9DPfPWVDqW/UQEiZyZrRG/7Ptg055MBveE/DcTQJrFPN0JFymiSXCr
nNbfHdOJh030Js82CKOBcFn1YOn2L09sSZrPHMTpm90XB7QY4RPRPSm/gUFXviAeAP2O0ZcQGswo
u7hZTIsv9ncK5ILiSEAYXSzT89rU7AvcjMNyj1cbr7bGxyhXH7VPn1IA+UDtXffhI+leCMtOK/4S
+74U46OhMFKn08+T3QnTeWAEzpRsKZZeIvBnxaCYkNVvSozOmLV5/2rar86EQMdrveW8GohpgnHY
tnnXBZsG/j9Rkjts59iOPmpT6t+5R71xQEqwJHswYW1lTaFQWl8ytDdsj2hmCoMvi2OgiXOuaank
VjjrNNG2ExWWG7EtGHgeMvlgwQ+zAQNvGmkpZGE/O3DmZkxY3nIm7YbvMHtTES0H9oOC8gu6/ONB
6Oy8Iic4cmBaEQK2hKRAzeoOCphAif1VMqlfBw4DIyQE46xDmtzgbobm4KVzUOZRJ03u86I0GxTy
q8WpOjy2/5Nbb/clFISzS6au9ytJyTUz5fNaVuKA6MRAJeemnLsfHsy3NiB3U98TcLq76VLOsbLT
fMh5nlcT0NXVH8nY1rC3AOpnSY2Wb7BodSDiXZUfdkbs7Y9gDr98XBc5jp+4zoj7TNF0R9cJi458
ywr+XndehtrFXJ/VfeYNSjm3TSHUqsGbtlPeqqugEWq3nVUX4oE3pTf0Cjj7bI+A3KyZg3CNaVaD
MPbKyHXrFQODBS0Z4cueqTJdWbi365iIq088axJQLl+bz2CsM+vWqEKqt7jq0QsIDzhFTlMT1wbo
Fu68o/Cj1dKhtES/xO7894DJxnl1kkjMpIm7W+ytltKgL1zlUtDVhkz4nUI/NHjxIZhwFM06WeBY
KvZxUMjs/5pbtHFnQUHq+Q1YZDnMuUctjyrS+egGmOJwgzbKA+NddremHjaN4bKTbJYj6iBBHjGF
2rX81wy3u+C8r4Av1PORhYQtuHZgEEpRTUnk6VbUI4aSBN1rYB/OuNSe5SwzDcLYOgu6eoZwkAOA
6fJCW9ij3ZS/GsShY2eaB4LV2xdcDqMNR/yPVr+Wh5+rYp1xYPYZNyUFC5zyfFrKUqSC9IcCG9Sd
OQpMcz63YShl7MfEQE2R5uX9UOo1ckt7jJSM8F2SYwEqh7OVBn3fl+uDTimWDBMnHO8Pkj970rSf
qcNMUwsx5iY9McsIAJ6Sj2R4wogQFU9v8JynbwJGmNKUX1GgJgQOPBUDP2m9cC5LqJY5v56oZ75c
YreV4KnSCpG/ze7b8/Cud3kddBraxe++T2+AHoD7uOlyfsFp0e2GPJustCzfVH2GBz0EG9W1SJ8O
uxyet4uOUKBIScDtV3oMwme4l+p7FHOOFbKL2bC9ZoFFGPDccWEgQO8bCWPhMn+B2KVDGmMdMDH2
2fDWThRYYxmQkpsPKpM9CEVzO4lDfqpPd2fcDXTsNCIF39pJNhH2s0G33qWUCxtOGpQ8xO4Mlm3R
TA0Zz2XrRVBqDuB+SRgz11LshR1TQULWhZlLj/+ptP0i43xR1Y9qml/T1NZadhUErDuAi7dI9oN+
AtC3Z3jVacq7j6An9k9IAnd0ciowiEQr+6M8l0fMR2G+cCK/XK0XvBOsbr7SRxf+TLgP4jau2rjI
QLXQGzi5gO6vj/VjFzuTtT4/tNgzI3jqrV4q0rMAnaOyGLeerhrC+eW7HAJqLGyweEAFJhiWY+Bp
BOb9o2pUwgdvZeAgqNQU4z/X6x9O9Kgx/z8c2zoadvF8nUWys3tIc1KGjVN8bsyU2AxDCJKTJXAo
yj+5KeGNJDlZcZRQ/m2sxFSwpHok9XkvXATPRJEuu2ANMZpvmr1lTfZOWzDSVatw2KdWqd8lt5VW
xuhpCzWCKtSYZIlNqjuobldOqc+pAwOY89W0sAye0NBcDjvl1eD6QgDmXnAGFQ0LOMWCKojxPy/Z
hanrQGw7Butr6ZH7zWvR8jqJ20xO289HB7uZJRWzYeYQEPsPIOhbRv+L2VA1iX0O4RPro/qr96xP
y82gBFRFRANaA0iHpUMzMgln6/3pntB8o8sHCP6NlD96I51COHrrqgYgoXPKebwi5ekZAEom6oXS
d2aD2VK/euG8idXqJ7cyvBCJJdlscFvh6EPkze0xZ9I6UIqdOMFxAYsijvJCtrsUEjF0sw/PpmsU
Q7AFaFV8zLluS/6leXp1hRVP6boCDgFAI5MrrFha60citT4xT2yxl834A5o3SayJm1UhAD7Kl6dc
V/iF00cDxkRdK7D3392d7qanpz9B4KlQGe5J1rrJwWSU4+SVUTuqI/B7ujrSDN290aOqQ9T1ocVS
i6nmSbvgo9RfQBxXwyLt8MarwIETOJ7typVngmOxNKq50lx3vdCzF/O6zkJ6mrWzskrjr27lqkDi
z/7cDYRDOZitSBoDHGTlCW+YAqo1Q+ab2AKhmBFwUPBy1HE1InK3tUUabSM4x0JSYSidLPlwnb/q
tPa6Z7KZ/CVMLnDgAgCZtROHfFw3o5q1yfJi5PXj2Wau6YyJlhtQ6BVohd1owvEwMBl+ukEbo/HC
EaC9XqWM5lm7lbyWT5HvHy/DYb2V23/YHo4Z2A8aSy12c9NliYk+ESa8DYEbFgjigwhwiqkzk9ep
9hjMjsbujF0j87hmYuEHs4MZzSLGDvHfqD7oGosnxRhKICgNMR/ykufQ8iyqkh9Rbp7urXodgEd5
fZf3ri2JeM73YhMzorCxY+hbrXCfljoF3WocSATe+GQWpHdxY2KT5259phPJ96LsYXv50xrpjQD2
BHgwN/GioU4/rL16AQ7bD+m2oau7uAauDf+HkvPWK7s4kSuU+R91M7wc2uXAUMCtsrXw3pUFWpU3
5m+7qD49Z+KBo0eq6TgUg6ITf32F7z1zSRiVPwyyt8yZrl9hwZEZiCfdPtWw1KX6via/unpEL5k=
`protect end_protected
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: dcom_uart
-- File: dcom_uart.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Asynchronous UART with baud-rate detection.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.uart.all;
--pragma translate_off
use std.textio.all;
--pragma translate_on
entity dcom_uart is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ui : in uart_in_type;
uo : out uart_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
uarti : in dcom_uart_in_type;
uarto : out dcom_uart_out_type
);
end;
architecture rtl of dcom_uart is
constant REVISION : integer := 0;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBUART, 0, REVISION, 0),
1 => apb_iobar(paddr, pmask));
type rxfsmtype is (idle, startbit, data, stopbit);
type txfsmtype is (idle, data);
type uartregs is record
rxen : std_ulogic; -- receiver enabled
dready : std_ulogic; -- data ready
rsempty : std_ulogic; -- receiver shift register empty (internal)
tsempty : std_ulogic; -- transmitter shift register empty
thempty : std_ulogic; -- transmitter hold register empty
break : std_ulogic; -- break detected
ovf : std_ulogic; -- receiver overflow
frame : std_ulogic; -- framing error
rhold : std_logic_vector(7 downto 0);
rshift : std_logic_vector(7 downto 0);
tshift : std_logic_vector(9 downto 0);
thold : std_logic_vector(7 downto 0);
txstate : txfsmtype;
txclk : std_logic_vector(2 downto 0); -- tx clock divider
txtick : std_ulogic; -- tx clock (internal)
rxstate : rxfsmtype;
rxclk : std_logic_vector(2 downto 0); -- rx clock divider
rxdb : std_logic_vector(1 downto 0); -- rx data filtering buffer
rxtick : std_ulogic; -- rx clock (internal)
tick : std_ulogic; -- rx clock (internal)
scaler : std_logic_vector(17 downto 0);
brate : std_logic_vector(17 downto 0);
tcnt : std_logic_vector(1 downto 0); -- autobaud counter
rxf : std_logic_vector(4 downto 0); -- rx data filtering buffer
fedge : std_ulogic; -- rx falling edge
end record;
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
constant RES : uartregs := (
rxen => '0', dready => '0', rsempty => '1', tsempty => '1', thempty => '1',
break => '0', ovf => '0', frame => '0', rhold => (others => '0'),
rshift => (others => '0'), tshift => (others => '1'), thold => (others => '0'),
txstate => idle, txclk => (others => '0'), txtick => '0', rxstate => idle,
rxclk => (others => '0'), rxdb => (others => '0'), rxtick => '0', tick => '0',
scaler => "111111111111111011", brate => (others => '1'), tcnt => (others => '0'),
rxf => (others => '0'), fedge => '0');
signal r, rin : uartregs;
begin
uartop : process(rst, r, apbi, uarti, ui )
variable rdata : std_logic_vector(31 downto 0);
variable scaler : std_logic_vector(17 downto 0);
variable rxclk, txclk : std_logic_vector(2 downto 0);
variable irxd : std_ulogic;
variable v : uartregs;
begin
v := r;
v.txtick := '0'; v.rxtick := '0'; v.tick := '0'; rdata := (others => '0');
v.rxdb(1) := r.rxdb(0);
-- scaler
if r.tcnt = "11" then scaler := r.scaler - 1;
else scaler := r.scaler + 1; end if;
if r.tcnt /= "11" then
if (r.rxdb(1) and not r.rxdb(0)) = '1' then v.fedge := '1'; end if;
if (r.fedge) = '1' then
v.scaler := scaler;
if (v.scaler(17) and not r.scaler(16)) = '1' then
v.scaler := "111111111111111011";
v.fedge := '0'; v.tcnt := "00";
end if;
end if;
if (r.rxdb(1) and r.fedge and not r.rxdb(0)) = '1' then
if (r.brate(17 downto 4)> r.scaler(17 downto 4)) then
v.brate := r.scaler; v.tcnt := "00";
end if;
v.scaler := "111111111111111011";
if (r.brate(17 downto 4) = r.scaler(17 downto 4)) then
v.tcnt := r.tcnt + 1;
if r.tcnt = "10" then
v.brate := "0000" & r.scaler(17 downto 4);
v.scaler := v.brate; v.rxen := '1';
end if;
end if;
end if;
else
if (r.break and r.rxdb(1)) = '1' then
v.scaler := "111111111111111011";
v.brate := (others => '1'); v.tcnt := "00";
v.break := '0'; v.rxen := '0';
end if;
end if;
if r.rxen = '1' then
v.scaler := scaler;
v.tick := scaler(15) and not r.scaler(15);
if v.tick = '1' then v.scaler := r.brate; end if;
end if;
-- read/write registers
if uarti.read = '1' then v.dready := '0'; end if;
case apbi.paddr(3 downto 2) is
when "01" =>
rdata(9 downto 0) := r.tcnt & r.rxdb(0) & r.frame & '0' & r.ovf &
r.break & r.thempty & r.tsempty & r.dready;
when "10" =>
rdata(1 downto 0) := (r.tcnt(1) or r.tcnt(0)) & r.rxen;
when others =>
rdata(17 downto 0) := r.brate;
end case;
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case apbi.paddr(3 downto 2) is
when "01" =>
v.frame := apbi.pwdata(6);
v.ovf := apbi.pwdata(4);
v.break := apbi.pwdata(3);
when "10" =>
v.tcnt := apbi.pwdata(1) & apbi.pwdata(1);
v.rxen := apbi.pwdata(0);
when "11" =>
v.brate := apbi.pwdata(17 downto 0);
v.scaler := apbi.pwdata(17 downto 0);
when others =>
end case;
end if;
-- tx clock
txclk := r.txclk + 1;
if r.tick = '1' then
v.txclk := txclk; v.txtick := r.txclk(2) and not txclk(2);
end if;
-- rx clock
rxclk := r.rxclk + 1;
if r.tick = '1' then
v.rxclk := rxclk; v.rxtick := r.rxclk(2) and not rxclk(2);
end if;
-- filter rx data
v.rxf(1 downto 0) := r.rxf(0) & ui.rxd; -- meta-stability filter
if ((r.tcnt /= "11") and (r.scaler(0 downto 0) = "1")) or
((r.tcnt = "11") and (r.tick = '1'))
then v.rxf(4 downto 2) := r.rxf(3 downto 1); end if;
v.rxdb(0) := (r.rxf(4) and r.rxf(3)) or (r.rxf(4) and r.rxf(2)) or
(r.rxf(3) and r.rxf(2));
irxd := r.rxdb(0);
-- transmitter operation
case r.txstate is
when idle => -- idle and stop bit state
if (r.txtick = '1') then v.tsempty := '1'; end if;
if (r.rxen and (not r.thempty) and r.txtick) = '1' then
v.tshift := '0' & r.thold & '0'; v.txstate := data;
v.thempty := '1';
v.tsempty := '0'; v.txclk := "00" & r.tick; v.txtick := '0';
end if;
when data => -- transmit data frame
if r.txtick = '1' then
v.tshift := '1' & r.tshift(9 downto 1);
if r.tshift(9 downto 1) = "111111110" then
v.tshift(0) := '1'; v.txstate := idle;
end if;
end if;
end case;
-- writing of tx data register must be done after tx fsm to get correct
-- operation of thempty flag
if uarti.write = '1' then
v.thold := uarti.data(7 downto 0); v.thempty := '0';
end if;
-- receiver operation
case r.rxstate is
when idle => -- wait for start bit
if ((not r.rsempty) and not r.dready) = '1' then
v.rhold := r.rshift; v.rsempty := '1'; v.dready := '1';
end if;
if (r.rxen and r.rxdb(1) and (not irxd)) = '1' then
v.rxstate := startbit; v.rshift := (others => '1'); v.rxclk := "100";
if v.rsempty = '0' then v.ovf := '1'; end if;
v.rsempty := '0'; v.rxtick := '0';
end if;
when startbit => -- check validity of start bit
if r.rxtick = '1' then
if irxd = '0' then
v.rshift := irxd & r.rshift(7 downto 1); v.rxstate := data;
else
v.rxstate := idle;
end if;
end if;
when data => -- receive data frame
if r.rxtick = '1' then
v.rshift := irxd & r.rshift(7 downto 1);
if r.rshift(0) = '0' then
v.rxstate := stopbit;
end if;
end if;
when stopbit => -- receive stop bit
if r.rxtick = '1' then
if irxd = '1' then
v.rsempty := '0';
if v.dready = '0' then
v.rhold := r.rshift; v.rsempty := '1'; v.dready := '1';
end if;
else
if r.rshift = "00000000" then
v.break := '1'; -- break
else
v.frame := '1'; -- framing error
end if;
v.rsempty := '1';
end if;
v.rxstate := idle;
end if;
when others =>
v.rxstate := idle;
end case;
-- reset operation
if not RESET_ALL and rst = '0' then
v.frame := RES.frame; v.rsempty := RES.rsempty;
v.ovf := RES.ovf; v.break := RES.break; v.thempty := RES.thempty;
v.tsempty := RES.tsempty; v.dready := RES.dready; v.fedge := RES.fedge;
v.txstate := RES.txstate; v.rxstate := RES.rxstate; v.tshift(0) := RES.tshift(0);
v.scaler := RES.scaler; v.brate := RES.brate;
v.rxen := RES.rxen; v.tcnt := RES.tcnt;
v.txclk := RES.txclk; v.rxclk := RES.rxclk;
end if;
-- update registers
rin <= v;
-- drive outputs
uo.txd <= r.tshift(0);
uo.scaler(31 downto 18) <= (others => '0');
uo.scaler(17 downto 0) <= r.brate;
uo.rtsn <= '0';
uo.rxen <= andv(r.tcnt);
uarto.dready <= r.dready;
uarto.tsempty <= r.tsempty;
uarto.thempty <= r.thempty;
uarto.lock <= r.tcnt(1) and r.tcnt(0);
uarto.enable <= r.rxen;
uarto.data <= r.rhold;
uo.txen <= '1'; uo.flow <= '0';
apbo.prdata <= rdata;
end process;
apbo.pirq <= (others => '0');
apbo.pconfig <= pconfig;
apbo.pindex <= pindex;
regs : process(clk)
begin
if rising_edge(clk) then
r <= rin;
if RESET_ALL and rst = '0' then
r <= RES;
-- Sync. registers not reset
r.rxf <= rin.rxf;
end if;
end if;
end process;
end;
|
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
--Date : Thu Jun 01 02:21:04 2017
--Host : GILAMONSTER running 64-bit major release (build 9200)
--Command : generate_target system.bd
--Design : system
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system is
port (
M_AXIS_MM2S_STS_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXIS_MM2S_STS_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXIS_MM2S_STS_tlast : out STD_LOGIC;
M_AXIS_MM2S_STS_tready : in STD_LOGIC;
M_AXIS_MM2S_STS_tvalid : out STD_LOGIC;
M_AXIS_MM2S_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXIS_MM2S_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXIS_MM2S_tlast : out STD_LOGIC;
M_AXIS_MM2S_tready : in STD_LOGIC;
M_AXIS_MM2S_tvalid : out STD_LOGIC;
M_AXIS_S2MM_STS_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXIS_S2MM_STS_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXIS_S2MM_STS_tlast : out STD_LOGIC;
M_AXIS_S2MM_STS_tready : in STD_LOGIC;
M_AXIS_S2MM_STS_tvalid : out STD_LOGIC;
M_AXI_MM2S_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_MM2S_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_MM2S_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_MM2S_arid : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_MM2S_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_MM2S_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_MM2S_arready : in STD_LOGIC;
M_AXI_MM2S_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_MM2S_aruser : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_MM2S_arvalid : out STD_LOGIC;
M_AXI_MM2S_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_MM2S_rlast : in STD_LOGIC;
M_AXI_MM2S_rready : out STD_LOGIC;
M_AXI_MM2S_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_MM2S_rvalid : in STD_LOGIC;
M_AXI_S2MM_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_S2MM_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_S2MM_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_S2MM_awid : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_S2MM_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_S2MM_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_S2MM_awready : in STD_LOGIC;
M_AXI_S2MM_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_S2MM_awuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_S2MM_awvalid : out STD_LOGIC;
M_AXI_S2MM_bready : out STD_LOGIC;
M_AXI_S2MM_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_S2MM_bvalid : in STD_LOGIC;
M_AXI_S2MM_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_S2MM_wlast : out STD_LOGIC;
M_AXI_S2MM_wready : in STD_LOGIC;
M_AXI_S2MM_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_S2MM_wvalid : out STD_LOGIC;
S_AXIS_MM2S_CMD_tdata : in STD_LOGIC_VECTOR ( 71 downto 0 );
S_AXIS_MM2S_CMD_tready : out STD_LOGIC;
S_AXIS_MM2S_CMD_tvalid : in STD_LOGIC;
S_AXIS_S2MM_CMD_tdata : in STD_LOGIC_VECTOR ( 71 downto 0 );
S_AXIS_S2MM_CMD_tready : out STD_LOGIC;
S_AXIS_S2MM_CMD_tvalid : in STD_LOGIC;
S_AXIS_S2MM_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXIS_S2MM_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXIS_S2MM_tlast : in STD_LOGIC;
S_AXIS_S2MM_tready : out STD_LOGIC;
S_AXIS_S2MM_tvalid : in STD_LOGIC;
aclk : in STD_LOGIC;
mm2s_err : out STD_LOGIC;
s2mm_err : out STD_LOGIC
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of system : entity is "system,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=2,numReposBlks=2,numNonXlnxBlks=0,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_ps7_cnt=2,synth_mode=OOC_per_IP}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of system : entity is "system.hwdef";
end system;
architecture STRUCTURE of system is
component system_axi_datamover_0_0 is
port (
m_axi_mm2s_aclk : in STD_LOGIC;
m_axi_mm2s_aresetn : in STD_LOGIC;
mm2s_err : out STD_LOGIC;
m_axis_mm2s_cmdsts_aclk : in STD_LOGIC;
m_axis_mm2s_cmdsts_aresetn : in STD_LOGIC;
s_axis_mm2s_cmd_tvalid : in STD_LOGIC;
s_axis_mm2s_cmd_tready : out STD_LOGIC;
s_axis_mm2s_cmd_tdata : in STD_LOGIC_VECTOR ( 71 downto 0 );
m_axis_mm2s_sts_tvalid : out STD_LOGIC;
m_axis_mm2s_sts_tready : in STD_LOGIC;
m_axis_mm2s_sts_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_mm2s_sts_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_mm2s_sts_tlast : out STD_LOGIC;
m_axi_mm2s_arid : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_mm2s_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_mm2s_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_mm2s_aruser : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_mm2s_arvalid : out STD_LOGIC;
m_axi_mm2s_arready : in STD_LOGIC;
m_axi_mm2s_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_rlast : in STD_LOGIC;
m_axi_mm2s_rvalid : in STD_LOGIC;
m_axi_mm2s_rready : out STD_LOGIC;
m_axis_mm2s_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_mm2s_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_mm2s_tlast : out STD_LOGIC;
m_axis_mm2s_tvalid : out STD_LOGIC;
m_axis_mm2s_tready : in STD_LOGIC;
m_axi_s2mm_aclk : in STD_LOGIC;
m_axi_s2mm_aresetn : in STD_LOGIC;
s2mm_err : out STD_LOGIC;
m_axis_s2mm_cmdsts_awclk : in STD_LOGIC;
m_axis_s2mm_cmdsts_aresetn : in STD_LOGIC;
s_axis_s2mm_cmd_tvalid : in STD_LOGIC;
s_axis_s2mm_cmd_tready : out STD_LOGIC;
s_axis_s2mm_cmd_tdata : in STD_LOGIC_VECTOR ( 71 downto 0 );
m_axis_s2mm_sts_tvalid : out STD_LOGIC;
m_axis_s2mm_sts_tready : in STD_LOGIC;
m_axis_s2mm_sts_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_s2mm_sts_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_s2mm_sts_tlast : out STD_LOGIC;
m_axi_s2mm_awid : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_s2mm_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_awuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_awvalid : out STD_LOGIC;
m_axi_s2mm_awready : in STD_LOGIC;
m_axi_s2mm_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_wlast : out STD_LOGIC;
m_axi_s2mm_wvalid : out STD_LOGIC;
m_axi_s2mm_wready : in STD_LOGIC;
m_axi_s2mm_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_bvalid : in STD_LOGIC;
m_axi_s2mm_bready : out STD_LOGIC;
s_axis_s2mm_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_s2mm_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_s2mm_tlast : in STD_LOGIC;
s_axis_s2mm_tvalid : in STD_LOGIC;
s_axis_s2mm_tready : out STD_LOGIC
);
end component system_axi_datamover_0_0;
component system_xlconstant_0_0 is
port (
dout : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component system_xlconstant_0_0;
signal S_AXIS_MM2S_CMD_1_TDATA : STD_LOGIC_VECTOR ( 71 downto 0 );
signal S_AXIS_MM2S_CMD_1_TREADY : STD_LOGIC;
signal S_AXIS_MM2S_CMD_1_TVALID : STD_LOGIC;
signal S_AXIS_S2MM_1_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal S_AXIS_S2MM_1_TKEEP : STD_LOGIC_VECTOR ( 3 downto 0 );
signal S_AXIS_S2MM_1_TLAST : STD_LOGIC;
signal S_AXIS_S2MM_1_TREADY : STD_LOGIC;
signal S_AXIS_S2MM_1_TVALID : STD_LOGIC;
signal S_AXIS_S2MM_CMD_1_TDATA : STD_LOGIC_VECTOR ( 71 downto 0 );
signal S_AXIS_S2MM_CMD_1_TREADY : STD_LOGIC;
signal S_AXIS_S2MM_CMD_1_TVALID : STD_LOGIC;
signal aclk_1 : STD_LOGIC;
signal axi_datamover_0_M_AXIS_MM2S_STS_TDATA : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_datamover_0_M_AXIS_MM2S_STS_TKEEP : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_datamover_0_M_AXIS_MM2S_STS_TLAST : STD_LOGIC;
signal axi_datamover_0_M_AXIS_MM2S_STS_TREADY : STD_LOGIC;
signal axi_datamover_0_M_AXIS_MM2S_STS_TVALID : STD_LOGIC;
signal axi_datamover_0_M_AXIS_MM2S_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_datamover_0_M_AXIS_MM2S_TKEEP : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXIS_MM2S_TLAST : STD_LOGIC;
signal axi_datamover_0_M_AXIS_MM2S_TREADY : STD_LOGIC;
signal axi_datamover_0_M_AXIS_MM2S_TVALID : STD_LOGIC;
signal axi_datamover_0_M_AXIS_S2MM_STS_TDATA : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_datamover_0_M_AXIS_S2MM_STS_TKEEP : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_datamover_0_M_AXIS_S2MM_STS_TLAST : STD_LOGIC;
signal axi_datamover_0_M_AXIS_S2MM_STS_TREADY : STD_LOGIC;
signal axi_datamover_0_M_AXIS_S2MM_STS_TVALID : STD_LOGIC;
signal axi_datamover_0_M_AXI_MM2S_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARID : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARREADY : STD_LOGIC;
signal axi_datamover_0_M_AXI_MM2S_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARUSER : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_ARVALID : STD_LOGIC;
signal axi_datamover_0_M_AXI_MM2S_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_RLAST : STD_LOGIC;
signal axi_datamover_0_M_AXI_MM2S_RREADY : STD_LOGIC;
signal axi_datamover_0_M_AXI_MM2S_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_datamover_0_M_AXI_MM2S_RVALID : STD_LOGIC;
signal axi_datamover_0_M_AXI_S2MM_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWID : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWREADY : STD_LOGIC;
signal axi_datamover_0_M_AXI_S2MM_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWUSER : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_AWVALID : STD_LOGIC;
signal axi_datamover_0_M_AXI_S2MM_BREADY : STD_LOGIC;
signal axi_datamover_0_M_AXI_S2MM_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_BVALID : STD_LOGIC;
signal axi_datamover_0_M_AXI_S2MM_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_WLAST : STD_LOGIC;
signal axi_datamover_0_M_AXI_S2MM_WREADY : STD_LOGIC;
signal axi_datamover_0_M_AXI_S2MM_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_datamover_0_M_AXI_S2MM_WVALID : STD_LOGIC;
signal axi_datamover_0_mm2s_err : STD_LOGIC;
signal axi_datamover_0_s2mm_err : STD_LOGIC;
signal vdd_dout : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXIS_MM2S_STS_tdata(7 downto 0) <= axi_datamover_0_M_AXIS_MM2S_STS_TDATA(7 downto 0);
M_AXIS_MM2S_STS_tkeep(0) <= axi_datamover_0_M_AXIS_MM2S_STS_TKEEP(0);
M_AXIS_MM2S_STS_tlast <= axi_datamover_0_M_AXIS_MM2S_STS_TLAST;
M_AXIS_MM2S_STS_tvalid <= axi_datamover_0_M_AXIS_MM2S_STS_TVALID;
M_AXIS_MM2S_tdata(31 downto 0) <= axi_datamover_0_M_AXIS_MM2S_TDATA(31 downto 0);
M_AXIS_MM2S_tkeep(3 downto 0) <= axi_datamover_0_M_AXIS_MM2S_TKEEP(3 downto 0);
M_AXIS_MM2S_tlast <= axi_datamover_0_M_AXIS_MM2S_TLAST;
M_AXIS_MM2S_tvalid <= axi_datamover_0_M_AXIS_MM2S_TVALID;
M_AXIS_S2MM_STS_tdata(7 downto 0) <= axi_datamover_0_M_AXIS_S2MM_STS_TDATA(7 downto 0);
M_AXIS_S2MM_STS_tkeep(0) <= axi_datamover_0_M_AXIS_S2MM_STS_TKEEP(0);
M_AXIS_S2MM_STS_tlast <= axi_datamover_0_M_AXIS_S2MM_STS_TLAST;
M_AXIS_S2MM_STS_tvalid <= axi_datamover_0_M_AXIS_S2MM_STS_TVALID;
M_AXI_MM2S_araddr(31 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARADDR(31 downto 0);
M_AXI_MM2S_arburst(1 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARBURST(1 downto 0);
M_AXI_MM2S_arcache(3 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARCACHE(3 downto 0);
M_AXI_MM2S_arid(3 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARID(3 downto 0);
M_AXI_MM2S_arlen(7 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARLEN(7 downto 0);
M_AXI_MM2S_arprot(2 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARPROT(2 downto 0);
M_AXI_MM2S_arsize(2 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARSIZE(2 downto 0);
M_AXI_MM2S_aruser(3 downto 0) <= axi_datamover_0_M_AXI_MM2S_ARUSER(3 downto 0);
M_AXI_MM2S_arvalid <= axi_datamover_0_M_AXI_MM2S_ARVALID;
M_AXI_MM2S_rready <= axi_datamover_0_M_AXI_MM2S_RREADY;
M_AXI_S2MM_awaddr(31 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWADDR(31 downto 0);
M_AXI_S2MM_awburst(1 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWBURST(1 downto 0);
M_AXI_S2MM_awcache(3 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWCACHE(3 downto 0);
M_AXI_S2MM_awid(3 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWID(3 downto 0);
M_AXI_S2MM_awlen(7 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWLEN(7 downto 0);
M_AXI_S2MM_awprot(2 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWPROT(2 downto 0);
M_AXI_S2MM_awsize(2 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWSIZE(2 downto 0);
M_AXI_S2MM_awuser(3 downto 0) <= axi_datamover_0_M_AXI_S2MM_AWUSER(3 downto 0);
M_AXI_S2MM_awvalid <= axi_datamover_0_M_AXI_S2MM_AWVALID;
M_AXI_S2MM_bready <= axi_datamover_0_M_AXI_S2MM_BREADY;
M_AXI_S2MM_wdata(31 downto 0) <= axi_datamover_0_M_AXI_S2MM_WDATA(31 downto 0);
M_AXI_S2MM_wlast <= axi_datamover_0_M_AXI_S2MM_WLAST;
M_AXI_S2MM_wstrb(3 downto 0) <= axi_datamover_0_M_AXI_S2MM_WSTRB(3 downto 0);
M_AXI_S2MM_wvalid <= axi_datamover_0_M_AXI_S2MM_WVALID;
S_AXIS_MM2S_CMD_1_TDATA(71 downto 0) <= S_AXIS_MM2S_CMD_tdata(71 downto 0);
S_AXIS_MM2S_CMD_1_TVALID <= S_AXIS_MM2S_CMD_tvalid;
S_AXIS_MM2S_CMD_tready <= S_AXIS_MM2S_CMD_1_TREADY;
S_AXIS_S2MM_1_TDATA(31 downto 0) <= S_AXIS_S2MM_tdata(31 downto 0);
S_AXIS_S2MM_1_TKEEP(3 downto 0) <= S_AXIS_S2MM_tkeep(3 downto 0);
S_AXIS_S2MM_1_TLAST <= S_AXIS_S2MM_tlast;
S_AXIS_S2MM_1_TVALID <= S_AXIS_S2MM_tvalid;
S_AXIS_S2MM_CMD_1_TDATA(71 downto 0) <= S_AXIS_S2MM_CMD_tdata(71 downto 0);
S_AXIS_S2MM_CMD_1_TVALID <= S_AXIS_S2MM_CMD_tvalid;
S_AXIS_S2MM_CMD_tready <= S_AXIS_S2MM_CMD_1_TREADY;
S_AXIS_S2MM_tready <= S_AXIS_S2MM_1_TREADY;
aclk_1 <= aclk;
axi_datamover_0_M_AXIS_MM2S_STS_TREADY <= M_AXIS_MM2S_STS_tready;
axi_datamover_0_M_AXIS_MM2S_TREADY <= M_AXIS_MM2S_tready;
axi_datamover_0_M_AXIS_S2MM_STS_TREADY <= M_AXIS_S2MM_STS_tready;
axi_datamover_0_M_AXI_MM2S_ARREADY <= M_AXI_MM2S_arready;
axi_datamover_0_M_AXI_MM2S_RDATA(31 downto 0) <= M_AXI_MM2S_rdata(31 downto 0);
axi_datamover_0_M_AXI_MM2S_RLAST <= M_AXI_MM2S_rlast;
axi_datamover_0_M_AXI_MM2S_RRESP(1 downto 0) <= M_AXI_MM2S_rresp(1 downto 0);
axi_datamover_0_M_AXI_MM2S_RVALID <= M_AXI_MM2S_rvalid;
axi_datamover_0_M_AXI_S2MM_AWREADY <= M_AXI_S2MM_awready;
axi_datamover_0_M_AXI_S2MM_BRESP(1 downto 0) <= M_AXI_S2MM_bresp(1 downto 0);
axi_datamover_0_M_AXI_S2MM_BVALID <= M_AXI_S2MM_bvalid;
axi_datamover_0_M_AXI_S2MM_WREADY <= M_AXI_S2MM_wready;
mm2s_err <= axi_datamover_0_mm2s_err;
s2mm_err <= axi_datamover_0_s2mm_err;
axi_datamover_0: component system_axi_datamover_0_0
port map (
m_axi_mm2s_aclk => aclk_1,
m_axi_mm2s_araddr(31 downto 0) => axi_datamover_0_M_AXI_MM2S_ARADDR(31 downto 0),
m_axi_mm2s_arburst(1 downto 0) => axi_datamover_0_M_AXI_MM2S_ARBURST(1 downto 0),
m_axi_mm2s_arcache(3 downto 0) => axi_datamover_0_M_AXI_MM2S_ARCACHE(3 downto 0),
m_axi_mm2s_aresetn => vdd_dout(0),
m_axi_mm2s_arid(3 downto 0) => axi_datamover_0_M_AXI_MM2S_ARID(3 downto 0),
m_axi_mm2s_arlen(7 downto 0) => axi_datamover_0_M_AXI_MM2S_ARLEN(7 downto 0),
m_axi_mm2s_arprot(2 downto 0) => axi_datamover_0_M_AXI_MM2S_ARPROT(2 downto 0),
m_axi_mm2s_arready => axi_datamover_0_M_AXI_MM2S_ARREADY,
m_axi_mm2s_arsize(2 downto 0) => axi_datamover_0_M_AXI_MM2S_ARSIZE(2 downto 0),
m_axi_mm2s_aruser(3 downto 0) => axi_datamover_0_M_AXI_MM2S_ARUSER(3 downto 0),
m_axi_mm2s_arvalid => axi_datamover_0_M_AXI_MM2S_ARVALID,
m_axi_mm2s_rdata(31 downto 0) => axi_datamover_0_M_AXI_MM2S_RDATA(31 downto 0),
m_axi_mm2s_rlast => axi_datamover_0_M_AXI_MM2S_RLAST,
m_axi_mm2s_rready => axi_datamover_0_M_AXI_MM2S_RREADY,
m_axi_mm2s_rresp(1 downto 0) => axi_datamover_0_M_AXI_MM2S_RRESP(1 downto 0),
m_axi_mm2s_rvalid => axi_datamover_0_M_AXI_MM2S_RVALID,
m_axi_s2mm_aclk => aclk_1,
m_axi_s2mm_aresetn => vdd_dout(0),
m_axi_s2mm_awaddr(31 downto 0) => axi_datamover_0_M_AXI_S2MM_AWADDR(31 downto 0),
m_axi_s2mm_awburst(1 downto 0) => axi_datamover_0_M_AXI_S2MM_AWBURST(1 downto 0),
m_axi_s2mm_awcache(3 downto 0) => axi_datamover_0_M_AXI_S2MM_AWCACHE(3 downto 0),
m_axi_s2mm_awid(3 downto 0) => axi_datamover_0_M_AXI_S2MM_AWID(3 downto 0),
m_axi_s2mm_awlen(7 downto 0) => axi_datamover_0_M_AXI_S2MM_AWLEN(7 downto 0),
m_axi_s2mm_awprot(2 downto 0) => axi_datamover_0_M_AXI_S2MM_AWPROT(2 downto 0),
m_axi_s2mm_awready => axi_datamover_0_M_AXI_S2MM_AWREADY,
m_axi_s2mm_awsize(2 downto 0) => axi_datamover_0_M_AXI_S2MM_AWSIZE(2 downto 0),
m_axi_s2mm_awuser(3 downto 0) => axi_datamover_0_M_AXI_S2MM_AWUSER(3 downto 0),
m_axi_s2mm_awvalid => axi_datamover_0_M_AXI_S2MM_AWVALID,
m_axi_s2mm_bready => axi_datamover_0_M_AXI_S2MM_BREADY,
m_axi_s2mm_bresp(1 downto 0) => axi_datamover_0_M_AXI_S2MM_BRESP(1 downto 0),
m_axi_s2mm_bvalid => axi_datamover_0_M_AXI_S2MM_BVALID,
m_axi_s2mm_wdata(31 downto 0) => axi_datamover_0_M_AXI_S2MM_WDATA(31 downto 0),
m_axi_s2mm_wlast => axi_datamover_0_M_AXI_S2MM_WLAST,
m_axi_s2mm_wready => axi_datamover_0_M_AXI_S2MM_WREADY,
m_axi_s2mm_wstrb(3 downto 0) => axi_datamover_0_M_AXI_S2MM_WSTRB(3 downto 0),
m_axi_s2mm_wvalid => axi_datamover_0_M_AXI_S2MM_WVALID,
m_axis_mm2s_cmdsts_aclk => aclk_1,
m_axis_mm2s_cmdsts_aresetn => vdd_dout(0),
m_axis_mm2s_sts_tdata(7 downto 0) => axi_datamover_0_M_AXIS_MM2S_STS_TDATA(7 downto 0),
m_axis_mm2s_sts_tkeep(0) => axi_datamover_0_M_AXIS_MM2S_STS_TKEEP(0),
m_axis_mm2s_sts_tlast => axi_datamover_0_M_AXIS_MM2S_STS_TLAST,
m_axis_mm2s_sts_tready => axi_datamover_0_M_AXIS_MM2S_STS_TREADY,
m_axis_mm2s_sts_tvalid => axi_datamover_0_M_AXIS_MM2S_STS_TVALID,
m_axis_mm2s_tdata(31 downto 0) => axi_datamover_0_M_AXIS_MM2S_TDATA(31 downto 0),
m_axis_mm2s_tkeep(3 downto 0) => axi_datamover_0_M_AXIS_MM2S_TKEEP(3 downto 0),
m_axis_mm2s_tlast => axi_datamover_0_M_AXIS_MM2S_TLAST,
m_axis_mm2s_tready => axi_datamover_0_M_AXIS_MM2S_TREADY,
m_axis_mm2s_tvalid => axi_datamover_0_M_AXIS_MM2S_TVALID,
m_axis_s2mm_cmdsts_aresetn => vdd_dout(0),
m_axis_s2mm_cmdsts_awclk => aclk_1,
m_axis_s2mm_sts_tdata(7 downto 0) => axi_datamover_0_M_AXIS_S2MM_STS_TDATA(7 downto 0),
m_axis_s2mm_sts_tkeep(0) => axi_datamover_0_M_AXIS_S2MM_STS_TKEEP(0),
m_axis_s2mm_sts_tlast => axi_datamover_0_M_AXIS_S2MM_STS_TLAST,
m_axis_s2mm_sts_tready => axi_datamover_0_M_AXIS_S2MM_STS_TREADY,
m_axis_s2mm_sts_tvalid => axi_datamover_0_M_AXIS_S2MM_STS_TVALID,
mm2s_err => axi_datamover_0_mm2s_err,
s2mm_err => axi_datamover_0_s2mm_err,
s_axis_mm2s_cmd_tdata(71 downto 0) => S_AXIS_MM2S_CMD_1_TDATA(71 downto 0),
s_axis_mm2s_cmd_tready => S_AXIS_MM2S_CMD_1_TREADY,
s_axis_mm2s_cmd_tvalid => S_AXIS_MM2S_CMD_1_TVALID,
s_axis_s2mm_cmd_tdata(71 downto 0) => S_AXIS_S2MM_CMD_1_TDATA(71 downto 0),
s_axis_s2mm_cmd_tready => S_AXIS_S2MM_CMD_1_TREADY,
s_axis_s2mm_cmd_tvalid => S_AXIS_S2MM_CMD_1_TVALID,
s_axis_s2mm_tdata(31 downto 0) => S_AXIS_S2MM_1_TDATA(31 downto 0),
s_axis_s2mm_tkeep(3 downto 0) => S_AXIS_S2MM_1_TKEEP(3 downto 0),
s_axis_s2mm_tlast => S_AXIS_S2MM_1_TLAST,
s_axis_s2mm_tready => S_AXIS_S2MM_1_TREADY,
s_axis_s2mm_tvalid => S_AXIS_S2MM_1_TVALID
);
vdd: component system_xlconstant_0_0
port map (
dout(0) => vdd_dout(0)
);
end STRUCTURE;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc368.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p03n02i00368ent IS
END c03s02b01x01p03n02i00368ent;
ARCHITECTURE c03s02b01x01p03n02i00368arch OF c03s02b01x01p03n02i00368ent IS
subtype BFALSE is BOOLEAN range FALSE to FALSE;
type ONETWO is range 1 to 2;
type A1 is array (BFALSE range <>,FALSE to FALSE)
of INTEGER range 0 to 0; -- Failure_here
-- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
-- CANNOT BE MIXED
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s02b01x01p03n02i00368 - Unconstrained and constrained index ranges cannot be mixed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p03n02i00368arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc368.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p03n02i00368ent IS
END c03s02b01x01p03n02i00368ent;
ARCHITECTURE c03s02b01x01p03n02i00368arch OF c03s02b01x01p03n02i00368ent IS
subtype BFALSE is BOOLEAN range FALSE to FALSE;
type ONETWO is range 1 to 2;
type A1 is array (BFALSE range <>,FALSE to FALSE)
of INTEGER range 0 to 0; -- Failure_here
-- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
-- CANNOT BE MIXED
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s02b01x01p03n02i00368 - Unconstrained and constrained index ranges cannot be mixed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p03n02i00368arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc368.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p03n02i00368ent IS
END c03s02b01x01p03n02i00368ent;
ARCHITECTURE c03s02b01x01p03n02i00368arch OF c03s02b01x01p03n02i00368ent IS
subtype BFALSE is BOOLEAN range FALSE to FALSE;
type ONETWO is range 1 to 2;
type A1 is array (BFALSE range <>,FALSE to FALSE)
of INTEGER range 0 to 0; -- Failure_here
-- ERROR - SYNTAX ERROR: CONSTRAINED AND UNCONSTRAINED INDEX RANGES
-- CANNOT BE MIXED
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c03s02b01x01p03n02i00368 - Unconstrained and constrained index ranges cannot be mixed."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p03n02i00368arch;
|
library ieee;
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
use ieee.std_logic_1164.all;
use work.btrace_pack.all;
entity top is
port(clk, rst: in std_logic;
btns, btnr, btnu: in std_logic;
rgb: out std_logic_vector(11 downto 0);
hsync, vsync, led: out std_logic);
end top;
architecture arch of top is
constant my_z: sfixed(15 downto -16) := to_sfixed(-1000, 15, -16);
constant obj_z: sfixed(15 downto -16) := to_sfixed(100, 15, -16);
constant obj_size: sfixed(15 downto -16) := to_sfixed(80, 15, -16);
constant my_point: point := ((others => '0'), (others => '0'), my_z);
constant my_object_point: point := (obj_z, obj_z, obj_z);
constant my_object: object := (my_object_point, obj_size, x"FFF");
constant no_object: point := ((others => '0'), (others => '0'), my_z);
-- Control signals
signal init_x, init_y, inc_x, inc_y: std_logic;
signal set_vector, set_org: std_logic;
signal next_obj, start_search: std_logic;
signal clr_z_reg, clr_hit: std_logic;
signal store: std_logic;
signal paint: std_logic;
signal done: std_logic;
-- External inputs
signal e_set_camera: std_logic;
signal e_camera_point: point;
signal e_set_obj: std_logic;
signal e_obj_addr: std_logic_vector(3 downto 0);
signal e_obj_data: object;
signal e_set_max: std_logic;
signal e_max_objects: std_logic_vector(3 downto 0);
-- Status signals
signal last_x, last_y, last_obj, obj_valid, start: std_logic;
begin
dpath: entity work.datapath port map(clk, rst,
-- Control inputs
init_x, init_y, inc_x, inc_y,
set_vector, set_org,
next_obj, start_search,
clr_z_reg, clr_hit,
store,
paint,
-- External inputs
e_set_camera,
e_camera_point,
e_set_obj,
e_obj_addr, e_obj_data,
e_set_max, e_max_objects,
-- Status outputs
last_x, last_y, last_obj, obj_valid,
-- External outputs
hsync, vsync, rgb,
-- Debug
open, open, open);
controller_thing: entity work.controller port map(clk, rst,
-- Control
init_x, init_y, inc_x, inc_y,
set_vector, set_org,
next_obj, start_search,
clr_z_reg, clr_hit,
store,
paint,
done,
-- Status
last_x, last_y, last_obj, obj_valid, start);
e_max_objects <= x"0";
e_set_camera <= btns;
e_set_obj <= btnr;
e_set_max <= btnr;
e_obj_data <= my_object;
e_obj_addr <= (others => '0');
e_camera_point <= my_point;
led <= done;
start <= btnu;
end arch;
|
----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
--
-- Module Name: tcp_engine - Behavioral
--
-- Description: Implement the TCP/IP session protocol.
--
------------------------------------------------------------------------------------
-- FPGA_Webserver from https://github.com/hamsternz/FPGA_Webserver
------------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2015 Michael Alan Field <[email protected]>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity tcp_engine is
port ( clk : in STD_LOGIC;
status : out std_logic_vector(7 downto 0) := (others => '0');
-- data received over TCP/IP
tcp_rx_data_valid : in std_logic := '0';
tcp_rx_data : in std_logic_vector(7 downto 0) := (others => '0');
tcp_rx_hdr_valid : in std_logic := '0';
tcp_rx_src_ip : in std_logic_vector(31 downto 0) := (others => '0');
tcp_rx_src_port : in std_logic_vector(15 downto 0) := (others => '0');
tcp_rx_dst_broadcast : in std_logic := '0';
tcp_rx_dst_port : in std_logic_vector(15 downto 0) := (others => '0');
tcp_rx_seq_num : in std_logic_vector(31 downto 0) := (others => '0');
tcp_rx_ack_num : in std_logic_vector(31 downto 0) := (others => '0');
tcp_rx_window : in std_logic_vector(15 downto 0) := (others => '0');
tcp_rx_flag_urg : in std_logic := '0';
tcp_rx_flag_ack : in std_logic := '0';
tcp_rx_flag_psh : in std_logic := '0';
tcp_rx_flag_rst : in std_logic := '0';
tcp_rx_flag_syn : in std_logic := '0';
tcp_rx_flag_fin : in std_logic := '0';
tcp_rx_urgent_ptr : in std_logic_vector(15 downto 0) := (others => '0');
-- data to be sent over TP
tcp_tx_busy : in std_logic := '0';
tcp_tx_data_valid : out std_logic := '0';
tcp_tx_data : out std_logic_vector(7 downto 0) := (others => '0');
tcp_tx_hdr_valid : out std_logic := '0';
tcp_tx_src_port : out std_logic_vector(15 downto 0) := (others => '0');
tcp_tx_dst_ip : out std_logic_vector(31 downto 0) := (others => '0');
tcp_tx_dst_port : out std_logic_vector(15 downto 0) := (others => '0');
tcp_tx_seq_num : out std_logic_vector(31 downto 0) := (others => '0');
tcp_tx_ack_num : out std_logic_vector(31 downto 0) := (others => '0');
tcp_tx_window : out std_logic_vector(15 downto 0) := (others => '0');
tcp_tx_flag_urg : out std_logic := '0';
tcp_tx_flag_ack : out std_logic := '0';
tcp_tx_flag_psh : out std_logic := '0';
tcp_tx_flag_rst : out std_logic := '0';
tcp_tx_flag_syn : out std_logic := '0';
tcp_tx_flag_fin : out std_logic := '0';
tcp_tx_urgent_ptr : out std_logic_vector(15 downto 0) := (others => '0'));
end tcp_engine;
architecture Behavioral of tcp_engine is
constant listen_port : std_logic_vector(15 downto 0) := x"0050";
component tcp_engine_session_filter is
port ( clk : in STD_LOGIC;
listen_port : in std_logic_vector(15 downto 0) := (others => '0');
drop_connection : in STD_LOGIC;
connected : out STD_LOGIC;
-- data received over TCP/IP
in_data_valid : in std_logic := '0';
in_data : in std_logic_vector(7 downto 0) := (others => '0');
in_hdr_valid : in std_logic := '0';
in_src_ip : in std_logic_vector(31 downto 0) := (others => '0');
in_src_port : in std_logic_vector(15 downto 0) := (others => '0');
in_dst_port : in std_logic_vector(15 downto 0) := (others => '0');
in_seq_num : in std_logic_vector(31 downto 0) := (others => '0');
in_ack_num : in std_logic_vector(31 downto 0) := (others => '0');
in_window : in std_logic_vector(15 downto 0) := (others => '0');
in_flag_urg : in std_logic := '0';
in_flag_ack : in std_logic := '0';
in_flag_psh : in std_logic := '0';
in_flag_rst : in std_logic := '0';
in_flag_syn : in std_logic := '0';
in_flag_fin : in std_logic := '0';
in_urgent_ptr : in std_logic_vector(15 downto 0) := (others => '0');
out_data_valid : out std_logic := '0';
out_data : out std_logic_vector(7 downto 0) := (others => '0');
out_hdr_valid : out std_logic := '0';
out_seq_num : out std_logic_vector(31 downto 0) := (others => '0');
out_ack_num : out std_logic_vector(31 downto 0) := (others => '0');
out_window : out std_logic_vector(15 downto 0) := (others => '0');
out_from_ip : out std_logic_vector(31 downto 0) := (others => '0');
out_from_port : out std_logic_vector(15 downto 0) := (others => '0');
out_flag_urg : out std_logic := '0';
out_flag_ack : out std_logic := '0';
out_flag_psh : out std_logic := '0';
out_flag_rst : out std_logic := '0';
out_flag_syn : out std_logic := '0';
out_flag_fin : out std_logic := '0';
out_urgent_ptr : out std_logic_vector(15 downto 0) := (others => '0'));
end component;
signal session_data_valid : std_logic := '0';
signal session_data : std_logic_vector(7 downto 0) := (others => '0');
signal session_hdr_valid : std_logic := '0';
signal session_from_ip : std_logic_vector(31 downto 0) := (others => '0');
signal session_from_port : std_logic_vector(15 downto 0) := (others => '0');
signal session_seq_num : std_logic_vector(31 downto 0) := (others => '0');
signal session_ack_num : std_logic_vector(31 downto 0) := (others => '0');
signal session_window : std_logic_vector(15 downto 0) := (others => '0');
signal session_flag_urg : std_logic := '0';
signal session_flag_ack : std_logic := '0';
signal session_flag_psh : std_logic := '0';
signal session_flag_rst : std_logic := '0';
signal session_flag_syn : std_logic := '0';
signal session_flag_fin : std_logic := '0';
signal session_urgent_ptr : std_logic_vector(15 downto 0) := (others => '0');
component tcp_engine_seq_generator is
port (
clk : in std_logic;
seq : out std_logic_vector(31 downto 0) := (others => '0'));
end component;
signal random_seq_num : std_logic_vector(31 downto 0) := (others => '0');
signal send_enable : std_logic := '0';
signal send_ack : std_logic := '0';
signal send_some_data : std_logic := '0';
signal send_rst : std_logic := '0';
signal send_fin : std_logic := '0';
signal send_syn_ack : std_logic := '0';
signal send_fin_ack : std_logic := '0';
-- For sending packets
signal tosend_seq_num : std_logic_vector(31 downto 0) := (others => '0');
signal tosend_ack_num : std_logic_vector(31 downto 0) := (others => '0');
signal tosend_seq_num_next : std_logic_vector(31 downto 0) := (others => '0');
signal tosend_data_addr : std_logic_vector(15 downto 0) := (others => '0');
signal tosend_data_len : std_logic_vector(10 downto 0) := (others => '0');
signal tosend_urgent_ptr : std_logic_vector(15 downto 0) := (others => '0');
signal tosend_flag_urg : std_logic := '0';
signal tosend_flag_ack : std_logic := '0';
signal tosend_flag_psh : std_logic := '0';
signal tosend_flag_rst : std_logic := '0';
signal tosend_flag_syn : std_logic := '0';
signal tosend_flag_fin : std_logic := '0';
signal tosend_window : std_logic_vector(15 downto 0) := x"2000";
type t_state is (state_dropping, state_closed, state_listen, state_syn_rcvd, state_syn_sent,
state_established, state_rx_data, state_fin_wait_1, state_fin_wait_2,
state_closing, state_time_wait, state_close_wait, state_last_ack);
signal state : t_state := state_closed;
signal last_state : t_state := state_closed;
signal timeout : std_logic := '0';
signal timeout_counter : unsigned(29 downto 0);
component tcp_engine_tx_fifo is
Port ( clk : in STD_LOGIC;
write_en : in std_logic := '0';
full : out std_logic := '0';
in_src_port : in std_logic_vector(15 downto 0) := (others => '0');
in_dst_ip : in std_logic_vector(31 downto 0) := (others => '0');
in_dst_port : in std_logic_vector(15 downto 0) := (others => '0');
in_seq_num : in std_logic_vector(31 downto 0) := (others => '0');
in_ack_num : in std_logic_vector(31 downto 0) := (others => '0');
in_window : in std_logic_vector(15 downto 0) := (others => '0');
in_flag_urg : in std_logic := '0';
in_flag_ack : in std_logic := '0';
in_flag_psh : in std_logic := '0';
in_flag_rst : in std_logic := '0';
in_flag_syn : in std_logic := '0';
in_flag_fin : in std_logic := '0';
in_urgent_ptr : in std_logic_vector(15 downto 0) := (others => '0');
in_data_addr : in std_logic_vector(15 downto 0) := (others => '0');
in_data_len : in std_logic_vector(10 downto 0) := (others => '0');
read_en : in std_logic := '0';
empty : out std_logic := '0';
out_src_port : out std_logic_vector(15 downto 0) := (others => '0');
out_dst_ip : out std_logic_vector(31 downto 0) := (others => '0');
out_dst_port : out std_logic_vector(15 downto 0) := (others => '0');
out_seq_num : out std_logic_vector(31 downto 0) := (others => '0');
out_ack_num : out std_logic_vector(31 downto 0) := (others => '0');
out_window : out std_logic_vector(15 downto 0) := (others => '0');
out_flag_urg : out std_logic := '0';
out_flag_ack : out std_logic := '0';
out_flag_psh : out std_logic := '0';
out_flag_rst : out std_logic := '0';
out_flag_syn : out std_logic := '0';
out_flag_fin : out std_logic := '0';
out_urgent_ptr : out std_logic_vector(15 downto 0) := (others => '0');
out_data_addr : out std_logic_vector(15 downto 0) := (others => '0');
out_data_len : out std_logic_vector(10 downto 0) := (others => '0'));
end component;
signal fifo_read_en : std_logic := '0';
signal fifo_empty : std_logic := '0';
signal fifo_hdr_valid : std_logic := '0';
signal fifo_src_port : std_logic_vector(15 downto 0) := (others => '0');
signal fifo_dst_ip : std_logic_vector(31 downto 0) := (others => '0');
signal fifo_dst_port : std_logic_vector(15 downto 0) := (others => '0');
signal fifo_seq_num : std_logic_vector(31 downto 0) := (others => '0');
signal fifo_ack_num : std_logic_vector(31 downto 0) := (others => '0');
signal fifo_window : std_logic_vector(15 downto 0) := (others => '0');
signal fifo_flag_urg : std_logic := '0';
signal fifo_flag_ack : std_logic := '0';
signal fifo_flag_psh : std_logic := '0';
signal fifo_flag_rst : std_logic := '0';
signal fifo_flag_syn : std_logic := '0';
signal fifo_flag_fin : std_logic := '0';
signal fifo_urgent_ptr : std_logic_vector(15 downto 0) := (others => '0');
signal fifo_data_addr : std_logic_vector(15 downto 0) := (others => '0');
signal fifo_data_len : std_logic_vector(10 downto 0) := (others => '0');
component tcp_engine_add_data is
Port ( clk : in STD_LOGIC;
read_en : out std_logic := '0';
empty : in std_logic := '0';
in_src_port : in std_logic_vector(15 downto 0) := (others => '0');
in_dst_ip : in std_logic_vector(31 downto 0) := (others => '0');
in_dst_port : in std_logic_vector(15 downto 0) := (others => '0');
in_seq_num : in std_logic_vector(31 downto 0) := (others => '0');
in_ack_num : in std_logic_vector(31 downto 0) := (others => '0');
in_window : in std_logic_vector(15 downto 0) := (others => '0');
in_flag_urg : in std_logic := '0';
in_flag_ack : in std_logic := '0';
in_flag_psh : in std_logic := '0';
in_flag_rst : in std_logic := '0';
in_flag_syn : in std_logic := '0';
in_flag_fin : in std_logic := '0';
in_urgent_ptr : in std_logic_vector(15 downto 0) := (others => '0');
in_data_addr : in std_logic_vector(15 downto 0) := (others => '0');
in_data_len : in std_logic_vector(10 downto 0) := (others => '0');
out_hdr_valid : out std_logic := '0';
out_src_port : out std_logic_vector(15 downto 0) := (others => '0');
out_dst_ip : out std_logic_vector(31 downto 0) := (others => '0');
out_dst_port : out std_logic_vector(15 downto 0) := (others => '0');
out_seq_num : out std_logic_vector(31 downto 0) := (others => '0');
out_ack_num : out std_logic_vector(31 downto 0) := (others => '0');
out_window : out std_logic_vector(15 downto 0) := (others => '0');
out_flag_urg : out std_logic := '0';
out_flag_ack : out std_logic := '0';
out_flag_psh : out std_logic := '0';
out_flag_rst : out std_logic := '0';
out_flag_syn : out std_logic := '0';
out_flag_fin : out std_logic := '0';
out_urgent_ptr : out std_logic_vector(15 downto 0) := (others => '0');
out_data_valid : out std_logic := '0';
out_data : out std_logic_vector(7 downto 0) := (others => '0'));
end component;
COMPONENT ila_0
PORT (
clk : IN STD_LOGIC;
probe0 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
probe1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
probe2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
probe3 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
probe4 : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
probe5 : IN STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT ;
signal session_connected : std_logic := '0';
signal drop_connection : std_logic := '0';
begin
process(clK)
begin
if rising_edge(clk) then
case state is
when state_dropping => status <= x"01";
when state_closed => status <= x"02";
when state_listen => status <= x"03";
when state_syn_rcvd => status <= x"04";
when state_syn_sent => status <= x"05";
when state_established => status <= x"06";
when state_rx_data => status <= x"07";
when state_fin_wait_1 => status <= x"08";
when state_fin_wait_2 => status <= x"09";
when state_closing => status <= x"0A";
when state_time_wait => status <= x"0B";
when state_close_wait => status <= x"0C";
when state_last_ack => status <= x"0D";
when others => status <= x"FF";
end case;
status(7) <= session_connected;
end if;
end process;
--debug : ila_0
-- PORT MAP (
-- clk => clk,
-- probe0(0) => session_hdr_valid,
-- probe1 => session_data,
-- probe2(0) => session_data_valid,
-- probe3(0) => session_flag_ack,
-- probe4(0) => session_flag_fin,
-- probe5 => fifo_data_len(7 downto 0));
i_tcp_engine_seq_generator: tcp_engine_seq_generator port map (
clk => clk,
seq => random_seq_num);
timeout_proc: process(clk)
begin
if rising_edge(clk) then
timeout <= '0';
if last_state /= state then
timeout_counter <= to_unsigned(5*125_000_000,30); -- 5 seconds
timeout <= '0';
elsif timeout_counter = 0 then
timeout <= '1';
else
if state = state_syn_rcvd then
timeout_counter <= timeout_counter - 1;
end if;
end if;
last_state <= state;
end if;
end process;
i_tcp_engine_session_filter: tcp_engine_session_filter port map (
clk => clk,
listen_port => listen_port,
drop_connection => drop_connection,
connected => session_connected,
in_data_valid => tcp_rx_data_valid,
in_data => tcp_rx_data,
in_hdr_valid => tcp_rx_hdr_valid,
in_src_ip => tcp_rx_src_ip,
in_src_port => tcp_rx_src_port,
in_dst_port => tcp_rx_dst_port,
in_seq_num => tcp_rx_seq_num,
in_ack_num => tcp_rx_ack_num,
in_window => tcp_rx_window,
in_flag_urg => tcp_rx_flag_urg,
in_flag_ack => tcp_rx_flag_ack,
in_flag_psh => tcp_rx_flag_psh,
in_flag_rst => tcp_rx_flag_rst,
in_flag_syn => tcp_rx_flag_syn,
in_flag_fin => tcp_rx_flag_fin,
in_urgent_ptr => tcp_rx_urgent_ptr,
out_data_valid => session_data_valid,
out_data => session_data,
out_hdr_valid => session_hdr_valid,
out_from_ip => session_from_ip,
out_from_port => session_from_port,
out_seq_num => session_seq_num,
out_ack_num => session_ack_num,
out_window => session_window,
out_flag_urg => session_flag_urg,
out_flag_ack => session_flag_ack,
out_flag_psh => session_flag_psh,
out_flag_rst => session_flag_rst,
out_flag_syn => session_flag_syn,
out_flag_fin => session_flag_fin,
out_urgent_ptr => session_urgent_ptr);
process(clk)
begin
if rising_edge(clk) then
drop_connection <= '0';
send_ack <= '0';
send_rst <= '0';
send_fin <= '0';
send_fin_ack <= '0';
send_syn_ack <= '0';
send_some_data <= '0';
case state is
when state_dropping =>
drop_connection <= '1';
state <= state_closed;
when state_closed =>
-- Passive open
if session_connected = '0' then
state <= state_listen;
end if;
when state_listen =>
-- Is this a SYN packet
if session_connected = '1' then
send_syn_ack <='1';
tosend_ack_num <= std_logic_vector(unsigned(session_seq_num) + 1);
state <= state_syn_rcvd;
end if;
when state_syn_rcvd =>
if session_hdr_valid = '1' then
if session_flag_syn = '1' then
-- We are seeing a retransmit of the SYN packet
tosend_ack_num <= std_logic_vector(unsigned(session_seq_num) + 1);
send_syn_ack <='1';
elsif session_flag_ack = '1' then
-- We are getting the ACK from the other end
if unsigned(session_ack_num) = unsigned(tosend_seq_num)+1 then
state <= state_established;
end if;
end if;
elsif timeout = '1' then
-- We haven't seen an ACK
send_rst <= '1';
state <= state_closing;
end if;
when state_syn_sent =>
-- This is only used for active opens, so we don't use it.
NULL;
when state_established =>
if session_hdr_valid = '1' then
if session_flag_ack = '1' then
if session_ack_num = tosend_seq_num then
if session_data_valid = '1' then
tosend_ack_num <= std_logic_vector(unsigned(tosend_ack_num) + 1);
state <= state_rx_data;
elsif session_flag_fin = '1' then
send_fin_ack <= '1';
tosend_ack_num <= std_logic_vector(unsigned(tosend_ack_num) + 1);
state <= state_fin_wait_1;
end if;
end if;
end if;
end if;
when state_rx_data =>
-- Receive a byte, and when finished send an ACK and wait for more.
if session_data_valid = '1' then
tosend_ack_num <= std_logic_vector(unsigned(tosend_ack_num) + 1);
else
send_ack <= '1';
send_some_data <= '1';
-- Send with the sequence we have acked up to
state <= state_established;
end if;
when state_fin_wait_1 =>
if session_hdr_valid = '1' then
if session_ack_num = tosend_seq_num then
if session_flag_ack = '1' and session_flag_fin = '1' then
send_ack <='1';
end if;
elsif unsigned(session_ack_num) = unsigned(tosend_seq_num)+1 then
tosend_seq_num <= std_logic_vector(unsigned(tosend_seq_num) + 1);
tosend_seq_num_next <= std_logic_vector(unsigned(tosend_seq_num) + 1);
if session_flag_ack = '1' and session_flag_fin = '1' then
-- If we get a FIN+ACK we can send an ACK and straight to time_wait
send_ack <='1';
state <= state_time_wait;
elsif session_flag_ack = '1' then
send_ack <='1';
state <= state_fin_wait_2;
elsif session_flag_fin = '1' then
send_ack <='1';
state <= state_fin_wait_2;
end if;
end if;
end if;
when state_fin_wait_2 =>
if session_hdr_valid = '1' then
if session_ack_num = tosend_seq_num then
if session_flag_fin = '1' then
send_ack <='1';
state <= state_time_wait;
end if;
end if;
end if;
when state_closing =>
if tcp_rx_hdr_valid = '1' then
if session_ack_num = tosend_seq_num then
if tcp_rx_flag_ack = '1' then
state <= state_time_wait;
end if;
end if;
end if;
when state_time_wait =>
if timeout = '1' then
state <= state_closing;
end if;
when state_close_wait =>
send_fin <= '1';
state <= state_last_ack;
when state_last_ack =>
if tcp_rx_hdr_valid = '1' then
if session_ack_num = tosend_seq_num then
if tcp_rx_flag_ack = '1' then
state <= state_dropping;
end if;
end if;
end if;
end case;
end if;
end process;
send_packets: process(clk)
begin
if rising_edge(clk) then
-------------------------------------------------------------
-- Update the sequence number if a packet was sent last cycle
-------------------------------------------------------------
tosend_seq_num <= tosend_seq_num_next;
-------------------------------------------------
-- This block is to set up the initial sequence
-- numbers during the initial three-way handshake
-------------------------------------------------
if state = state_listen then
if session_connected = '1' then
tosend_seq_num <= random_seq_num;
tosend_seq_num_next <= random_seq_num;
end if;
elsif state = state_syn_rcvd then
if session_hdr_valid = '1' then
if session_flag_syn = '0' and session_flag_ack = '1' then
-- We are seing a ACK with the correct sequence number
if unsigned(session_ack_num) = unsigned(tosend_seq_num) + 1 then
tosend_seq_num <= std_logic_vector(unsigned(tosend_seq_num) + 1);
tosend_seq_num_next <= std_logic_vector(unsigned(tosend_seq_num) + 1);
end if;
end if;
end if;
end if;
-------------------------------------------------
-- Sending out packets
-------------------------------------------------
send_enable <= '0';
if send_ack = '1' then
send_enable <= '1';
-- Send a few bytes of data with every ACK
tosend_data_addr <= (others => '0');
if send_some_data = '1' then
tosend_data_len <= "00000010000";
tosend_seq_num_next <= std_logic_vector(unsigned(tosend_seq_num)+16);
else
tosend_data_len <= (others => '0');
end if;
tosend_flag_urg <= '0';
tosend_flag_ack <= '1';
tosend_flag_psh <= '0';
tosend_flag_rst <= '0';
tosend_flag_syn <= '0';
tosend_flag_fin <= '0';
elsif send_syn_ack = '1' then
send_enable <= '1';
tosend_data_addr <= (others => '0');
tosend_data_len <= (others => '0');
tosend_flag_urg <= '0';
tosend_flag_ack <= '1';
tosend_flag_psh <= '0';
tosend_flag_rst <= '0';
tosend_flag_syn <= '1';
tosend_flag_fin <= '0';
elsif send_fin_ack = '1' then
send_enable <= '1';
tosend_data_addr <= (others => '0');
tosend_data_len <= (others => '0');
tosend_flag_urg <= '0';
tosend_flag_ack <= '1';
tosend_flag_psh <= '0';
tosend_flag_rst <= '0';
tosend_flag_syn <= '0';
tosend_flag_fin <= '1';
elsif send_fin = '1' then
send_enable <= '1';
tosend_data_addr <= (others => '0');
tosend_data_len <= (others => '0');
tosend_flag_urg <= '0';
tosend_flag_ack <= '0';
tosend_flag_psh <= '0';
tosend_flag_rst <= '0';
tosend_flag_syn <= '0';
tosend_flag_fin <= '1';
elsif send_rst = '1' then
send_enable <= '1';
tosend_data_addr <= (others => '0');
tosend_data_len <= (others => '0');
tosend_flag_urg <= '0';
tosend_flag_ack <= '0';
tosend_flag_psh <= '0';
tosend_flag_rst <= '1';
tosend_flag_syn <= '0';
tosend_flag_fin <= '0';
tosend_seq_num <= (others => '0');
tosend_seq_num_next <= (others => '0');
end if;
end if;
end process;
i_tcp_engine_tx_fifo: tcp_engine_tx_fifo port map (
clk => clk,
write_en => send_enable,
full => open,
in_src_port => listen_port,
in_dst_ip => session_from_ip,
in_dst_port => session_from_port,
in_seq_num => tosend_seq_num,
in_ack_num => tosend_ack_num,
in_window => tosend_window,
in_flag_urg => tosend_flag_urg,
in_flag_ack => tosend_flag_ack,
in_flag_psh => tosend_flag_psh,
in_flag_rst => tosend_flag_rst,
in_flag_syn => tosend_flag_syn,
in_flag_fin => tosend_flag_fin,
in_urgent_ptr => tosend_urgent_ptr,
in_data_addr => tosend_data_addr,
in_data_len => tosend_data_len,
read_en => fifo_read_en,
empty => fifo_empty,
out_src_port => fifo_src_port,
out_dst_ip => fifo_dst_ip,
out_dst_port => fifo_dst_port,
out_seq_num => fifo_seq_num,
out_ack_num => fifo_ack_num,
out_window => fifo_window,
out_flag_urg => fifo_flag_urg,
out_flag_ack => fifo_flag_ack,
out_flag_psh => fifo_flag_psh,
out_flag_rst => fifo_flag_rst,
out_flag_syn => fifo_flag_syn,
out_flag_fin => fifo_flag_fin,
out_urgent_ptr => fifo_urgent_ptr,
out_data_addr => fifo_data_addr,
out_data_len => fifo_data_len);
i_tcp_engine_add_data: tcp_engine_add_data port map (
clk => clk,
read_en => fifo_read_en,
empty => fifo_empty,
in_src_port => fifo_src_port,
in_dst_ip => fifo_dst_ip,
in_dst_port => fifo_dst_port,
in_seq_num => fifo_seq_num,
in_ack_num => fifo_ack_num,
in_window => fifo_window,
in_flag_urg => fifo_flag_urg,
in_flag_ack => fifo_flag_ack,
in_flag_psh => fifo_flag_psh,
in_flag_rst => fifo_flag_rst,
in_flag_syn => fifo_flag_syn,
in_flag_fin => fifo_flag_fin,
in_urgent_ptr => fifo_urgent_ptr,
in_data_addr => fifo_data_addr,
in_data_len => fifo_data_len,
out_hdr_valid => tcp_tx_hdr_valid,
out_src_port => tcp_tx_src_port,
out_dst_ip => tcp_tx_dst_ip,
out_dst_port => tcp_tx_dst_port,
out_seq_num => tcp_tx_seq_num,
out_ack_num => tcp_tx_ack_num,
out_window => tcp_tx_window,
out_flag_urg => tcp_tx_flag_urg,
out_flag_ack => tcp_tx_flag_ack,
out_flag_psh => tcp_tx_flag_psh,
out_flag_rst => tcp_tx_flag_rst,
out_flag_syn => tcp_tx_flag_syn,
out_flag_fin => tcp_tx_flag_fin,
out_urgent_ptr => tcp_tx_urgent_ptr,
out_data => tcp_tx_data,
out_data_valid => tcp_tx_data_valid);
end Behavioral; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YncUTi0dWV/ZKoYsi+8JZ4OBUxn8GJD4ZBYDkhTN+/jrYmqGb5cnwW8YEUsNNEk1nov3SbSj098v
IgdQg8uy3w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Kk3WsvQ0X0BrzabsZ4Hd3cfztwtkwn0WektMRwOWlfLtqbOnaEJJT+IN9w2fyw7fLueOzPr/cFkJ
HuBrXPmnTOjjVJ58sdzW1ShixfQ1IVfzg9U/jw8Wy10C5Hmb86eg24BmJYOChiX8/WVU0QU8fhHZ
VhXJDLg1RVSg/7ACfsA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MUzrvToa6EjPN4Gf8xickoNMO/A6liwrPwfkiylf/sE5+tLZdf0EC5sr/XdEznlf3yCf5i1K5AZ4
EgjXEj29ETB74SRSNZ36C031vMCooDEkPgyXMecLXZlTwtqiKPVkY8Y1aKrXo3EqokJr+xmmhK8X
cCtzNsTc/3d+UeTPyrEfPdk6AkHQOtJqoBUkHWvEOtM2EROs2LqqbpIycFXnewWSGJEWUYeMepit
waiYviuqpMpklGuxt2wi0lu48Iv193eJT62FFVSW7CJl69qeixdi78yYf1NOZcyEbhlld5VtTNE4
rYArxzTCUhN7HgEfLrZeO86E0pF428DlbcVVSA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X+B0lxWeCZiNIIoDCoL3o7/IQUGTI9uYnPEa3w9V/yo+A1hvEyDkcpCGeGJmgvrQKsjodOe1jCpU
EbTDdwI2F+7b9Ztip1JGcdiD9E00yt3bVF0jFmGvB6+1Y6Y2D+/+ELRZl2gc4KCjLzruILwEfJI+
fD/hDC15/yGXfef6Vyc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fro1ojmJBJH69pyAUPb3R/mjq0qMeB3v6tBueZx+0kBDcQ013VbmAPeLa1zi0iL4PHIJvLM88z+2
cj4SKZmsiZpP3q1oYo6OLtBUCMvoArmfkdQst+Tc/GHMF+yN8l/uv3Qid/bgLlsxRHMLh0NKo2nK
FpFoKgeef80TEmsN6SHdUe1/npnLKqSLudb9/i8InhfAIq+ZvKO4rA8m81EZyWJ7PNEFSYtCN8sf
H3LWWAi16BcpW5/lCxAHIVaWDsbOIiKp+o4kh8QczbrIOp/e8V97M0HJg0RcT9tuE666PMM9INtw
fMKmWW4jzjooFgLCcO46htgbbFkj9Lp7YQSLYQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10784)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test_core is
generic (
CONFIG_NUM_GPIO : natural := 2;
GP_OFFSET : natural := 16
);
port (
gpio : inout unsigned(CONFIG_NUM_GPIO*16-1 downto 0);
-- Inter-module connection wires for pin muxing: {
gpio_in : out std_logic_vector(CONFIG_NUM_GPIO*16-1 downto 0);
gpio_out : in std_logic_vector(CONFIG_NUM_GPIO*16-1 downto 0);
gpio_dir : in std_logic_vector(CONFIG_NUM_GPIO*16-1 downto 0)
);
end entity;
architecture behaviour of test_core is
constant num_pins : natural := CONFIG_NUM_GPIO*16;
-- constant GP_OFFSET : natural := 16;
subtype PORT_A_RANGE is natural range 16-1 downto 0;
subtype PORT_B_RANGE is integer range GP_OFFSET+15 downto GP_OFFSET;
signal io_read : std_logic_vector(num_pins-1 downto 0);
signal out_int : std_logic_vector(num_pins-1 downto 0);
signal io_dir : std_logic_vector(num_pins-1 downto 0);
alias out_b_int_mux : std_logic_vector(16-1 downto 0) is
out_int(31 downto 16);
alias iodir_b_mux : std_logic_vector(16-1 downto 0) is
io_dir(PORT_B_RANGE);
alias gpio_b_dir : std_logic_vector(16-1 downto 0) is
gpio_dir(PORT_B_RANGE);
alias gpio_b_out : std_logic_vector(16-1 downto 0) is
gpio_out(PORT_B_RANGE);
alias io_b_read : std_logic_vector(16-1 downto 0) is
io_read(PORT_B_RANGE);
begin
gpio_direction:
for i in 0 to num_pins-1 generate
gpio(i) <= out_int(i) when io_dir(i) = '1' else 'Z';
end generate;
io_read <= std_logic_vector(gpio);
gpio_in <= io_read;
mux_port_b:
process (gpio_b_out, gpio_b_dir)
begin
-- default:
out_b_int_mux <= gpio_b_out;
iodir_b_mux <= gpio_b_dir;
end process;
end architecture;
|
---- qspi_look_up_logic - entity/architecture pair
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
---- Filename: qspi_look_up_logic.vhd
---- Version: v3.0
---- Description: Serial Peripheral Interface (SPI) Module for interfacing
---- with a 32-bit AXI4 Bus.
----
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.all;
use lib_pkg_v1_0_2.lib_pkg.log2;
use lib_pkg_v1_0_2.lib_pkg.RESET_ACTIVE;
library axi_quad_spi_v3_2_8;
use axi_quad_spi_v3_2_8.comp_defs.all;
library dist_mem_gen_v8_0_10;
use dist_mem_gen_v8_0_10.all;
-- Library declaration XilinxCoreLib
-- library XilinxCoreLib;
library unisim;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
entity qspi_look_up_logic is
generic(
C_FAMILY : string;
C_SPI_MODE : integer;
C_SELECT_XPM : integer := 0;
C_SPI_MEMORY : integer;
C_NUM_TRANSFER_BITS : integer
);
port(
EXT_SPI_CLK : in std_logic;
Rst_to_spi : in std_logic;
TXFIFO_RST : in std_logic;
--------------------
DTR_FIFO_Data_Exists: in std_logic;
Data_From_TxFIFO : in std_logic_vector
(0 to (C_NUM_TRANSFER_BITS-1));
pr_state_idle : in std_logic;
--------------------
Data_Dir : out std_logic;
Data_Mode_1 : out std_logic;
Data_Mode_0 : out std_logic;
Data_Phase : out std_logic;
--------------------
Quad_Phase : out std_logic;
--------------------
Addr_Mode_1 : out std_logic;
Addr_Mode_0 : out std_logic;
Addr_Bit : out std_logic;
Addr_Phase : out std_logic;
--------------------
CMD_Mode_1 : out std_logic;
CMD_Mode_0 : out std_logic;
CMD_Error : out std_logic;
---------------------
CMD_decoded : out std_logic
);
end entity qspi_look_up_logic;
-----------------------------
architecture imp of qspi_look_up_logic is
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
component xpm_memory_sprom
generic (
MEMORY_SIZE : integer := 4096*32;
MEMORY_PRIMITIVE : string := "auto";
ECC_MODE : string := "no_ecc";
MEMORY_INIT_FILE : string := "none";
MEMORY_INIT_PARAM : string := "";
WAKEUP_TIME : string := "disable_sleep";
MESSAGE_CONTROL : integer := 0;
READ_DATA_WIDTH_A : integer := 32;
ADDR_WIDTH_A : integer := 12;
READ_RESET_VALUE_A : string := "0";
READ_LATENCY_A : integer := 1
);
port (
-- Common module ports
sleep : in std_logic;
-- Port A module ports
clka : in std_logic;
rsta : in std_logic;
ena : in std_logic;
regcea : in std_logic;
addra : in std_logic_vector (ADDR_WIDTH_A-1 downto 0); -- [ADDR_WIDTH_A-1:0]
injectsbiterra : in std_logic;
injectdbiterra : in std_logic;
douta : out std_logic_vector (READ_DATA_WIDTH_A-1 downto 0); -- [READ_DATA_WIDTH_A-1:0]
sbiterra : out std_logic;
dbiterra : out std_logic
);
end component;
-- constant declaration
constant C_LUT_DWIDTH : integer := 8;
constant C_LUT_DEPTH : integer := 256;
-- function declaration
-- type declaration
-- signal declaration
--Dummy_Output_Signals-----
signal Local_rst : std_logic;
signal Dummy_3 : std_logic;
signal Dummy_2 : std_logic;
signal Dummy_1 : std_logic;
signal Dummy_0 : std_logic;
signal CMD_decoded_int : std_logic;
-----
begin
-----
Local_rst <= TXFIFO_RST or Rst_to_spi;
-- LUT for C_SPI_MODE = 1 start --
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_0: Dual mode. Mixed memories are supported.
-------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_0 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 0) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
---Dummy OUtput signals---------------
signal spo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_0 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_0_mixed.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_0 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_MIXED_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_0_mixed.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_1,
dpo => dpo_1,
qdpo => qdpo_1
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1); -- 10 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2); -- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3); -- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4); -- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_0;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_1: This is Dual mode. Dedicated Winbond memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_1 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 1) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal spo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
signal CMD_decoded_int_d1 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
-- DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
-- DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
-- CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_1 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LUT_DEPTH*C_LOOK_UP_TABLE_WIDTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_1_wb.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_1 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_WB_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_1_wb.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_2,
dpo => dpo_2,
qdpo => qdpo_2
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_1;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_2: This is Dual mode. Dedicated Numonyx memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_2 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 2) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_2 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LUT_DEPTH*C_LOOK_UP_TABLE_WIDTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_2_nm.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_2 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_2_nm.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_3,
dpo => dpo_3,
qdpo => qdpo_3
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data_Mode_1 Data_Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD_Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_2;
-----------------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_3 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 3) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_7 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_7 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_7 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_3 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_3_sp.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_3 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_3_sp.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_7,
dpo => dpo_7,
qdpo => qdpo_7
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data_Mode_1 Data_Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD_Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_3;
-- LUT for C_SPI_MODE = 1 ends --
-- LUT for C_SPI_MODE = 2 starts --
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_0: This is Dual mode. Mixed mode memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_0 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 0) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_0 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_0_mixed.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_0 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_MIXED_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_0_mixed.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_6,
dpo => dpo_6,
qdpo => qdpo_6
);
end generate;
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_0;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_1: This is Dual mode. Dedicated Winbond memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_1 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 1) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
-- DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
-- DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
-- --DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
-- CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_1 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_1_wb.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_1 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_WB_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_1_wb.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_4,
dpo => dpo_4,
qdpo => qdpo_4
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-- Dummy_Bits <= (Dummy_3 and DTR_FIFO_Data_Exists) &
-- (Dummy_2 and DTR_FIFO_Data_Exists) &
-- (Dummy_1 and DTR_FIFO_Data_Exists) &
-- (Dummy_0 and DTR_FIFO_Data_Exists);
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_1;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_2: This is Dual mode. Dedicated Numonyx memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_2 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 2) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_2 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_2_nm.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_2 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_2_nm.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op, -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_5,
dpo => dpo_5,
qdpo => qdpo_5
);
end generate;
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 11 -- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 10 -- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 9 -- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 8 -- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_2;
-----------------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_3 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 3) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_8 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_8 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_8 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_3 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_3_sp.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_3 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_3_sp.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op, -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_8,
dpo => dpo_8,
qdpo => qdpo_8
);
end generate;
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 11 -- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 10 -- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 9 -- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 8 -- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_3;
---------------------
end architecture imp;
---------------------
|
---- qspi_look_up_logic - entity/architecture pair
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
---- Filename: qspi_look_up_logic.vhd
---- Version: v3.0
---- Description: Serial Peripheral Interface (SPI) Module for interfacing
---- with a 32-bit AXI4 Bus.
----
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.all;
use lib_pkg_v1_0_2.lib_pkg.log2;
use lib_pkg_v1_0_2.lib_pkg.RESET_ACTIVE;
library axi_quad_spi_v3_2_8;
use axi_quad_spi_v3_2_8.comp_defs.all;
library dist_mem_gen_v8_0_10;
use dist_mem_gen_v8_0_10.all;
-- Library declaration XilinxCoreLib
-- library XilinxCoreLib;
library unisim;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
entity qspi_look_up_logic is
generic(
C_FAMILY : string;
C_SPI_MODE : integer;
C_SELECT_XPM : integer := 0;
C_SPI_MEMORY : integer;
C_NUM_TRANSFER_BITS : integer
);
port(
EXT_SPI_CLK : in std_logic;
Rst_to_spi : in std_logic;
TXFIFO_RST : in std_logic;
--------------------
DTR_FIFO_Data_Exists: in std_logic;
Data_From_TxFIFO : in std_logic_vector
(0 to (C_NUM_TRANSFER_BITS-1));
pr_state_idle : in std_logic;
--------------------
Data_Dir : out std_logic;
Data_Mode_1 : out std_logic;
Data_Mode_0 : out std_logic;
Data_Phase : out std_logic;
--------------------
Quad_Phase : out std_logic;
--------------------
Addr_Mode_1 : out std_logic;
Addr_Mode_0 : out std_logic;
Addr_Bit : out std_logic;
Addr_Phase : out std_logic;
--------------------
CMD_Mode_1 : out std_logic;
CMD_Mode_0 : out std_logic;
CMD_Error : out std_logic;
---------------------
CMD_decoded : out std_logic
);
end entity qspi_look_up_logic;
-----------------------------
architecture imp of qspi_look_up_logic is
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
component xpm_memory_sprom
generic (
MEMORY_SIZE : integer := 4096*32;
MEMORY_PRIMITIVE : string := "auto";
ECC_MODE : string := "no_ecc";
MEMORY_INIT_FILE : string := "none";
MEMORY_INIT_PARAM : string := "";
WAKEUP_TIME : string := "disable_sleep";
MESSAGE_CONTROL : integer := 0;
READ_DATA_WIDTH_A : integer := 32;
ADDR_WIDTH_A : integer := 12;
READ_RESET_VALUE_A : string := "0";
READ_LATENCY_A : integer := 1
);
port (
-- Common module ports
sleep : in std_logic;
-- Port A module ports
clka : in std_logic;
rsta : in std_logic;
ena : in std_logic;
regcea : in std_logic;
addra : in std_logic_vector (ADDR_WIDTH_A-1 downto 0); -- [ADDR_WIDTH_A-1:0]
injectsbiterra : in std_logic;
injectdbiterra : in std_logic;
douta : out std_logic_vector (READ_DATA_WIDTH_A-1 downto 0); -- [READ_DATA_WIDTH_A-1:0]
sbiterra : out std_logic;
dbiterra : out std_logic
);
end component;
-- constant declaration
constant C_LUT_DWIDTH : integer := 8;
constant C_LUT_DEPTH : integer := 256;
-- function declaration
-- type declaration
-- signal declaration
--Dummy_Output_Signals-----
signal Local_rst : std_logic;
signal Dummy_3 : std_logic;
signal Dummy_2 : std_logic;
signal Dummy_1 : std_logic;
signal Dummy_0 : std_logic;
signal CMD_decoded_int : std_logic;
-----
begin
-----
Local_rst <= TXFIFO_RST or Rst_to_spi;
-- LUT for C_SPI_MODE = 1 start --
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_0: Dual mode. Mixed memories are supported.
-------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_0 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 0) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
---Dummy OUtput signals---------------
signal spo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_0 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_0_mixed.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_0 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_MIXED_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_0_mixed.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_1,
dpo => dpo_1,
qdpo => qdpo_1
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1); -- 10 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2); -- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3); -- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4); -- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_0;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_1: This is Dual mode. Dedicated Winbond memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_1 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 1) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal spo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
signal CMD_decoded_int_d1 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
-- DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
-- DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
-- CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_1 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LUT_DEPTH*C_LOOK_UP_TABLE_WIDTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_1_wb.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_1 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_WB_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_1_wb.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_2,
dpo => dpo_2,
qdpo => qdpo_2
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_1;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_2: This is Dual mode. Dedicated Numonyx memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_2 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 2) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_2 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LUT_DEPTH*C_LOOK_UP_TABLE_WIDTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_2_nm.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_2 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_2_nm.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_3,
dpo => dpo_3,
qdpo => qdpo_3
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data_Mode_1 Data_Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD_Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_2;
-----------------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_3 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 3) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_7 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_7 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_7 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_3 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_1_memory_3_sp.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_1_MEMORY_3 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_1_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_3_sp.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_7,
dpo => dpo_7,
qdpo => qdpo_7
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data_Mode_1 Data_Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD_Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_3;
-- LUT for C_SPI_MODE = 1 ends --
-- LUT for C_SPI_MODE = 2 starts --
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_0: This is Dual mode. Mixed mode memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_0 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 0) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_0 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_0_mixed.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_0 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_MIXED_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_0_mixed.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_6,
dpo => dpo_6,
qdpo => qdpo_6
);
end generate;
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_0;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_1: This is Dual mode. Dedicated Winbond memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_1 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 1) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
-- DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
-- DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
-- --DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
-- CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_1 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_1_wb.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_1 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_WB_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_1_wb.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_4,
dpo => dpo_4,
qdpo => qdpo_4
);
end generate;
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-- Dummy_Bits <= (Dummy_3 and DTR_FIFO_Data_Exists) &
-- (Dummy_2 and DTR_FIFO_Data_Exists) &
-- (Dummy_1 and DTR_FIFO_Data_Exists) &
-- (Dummy_0 and DTR_FIFO_Data_Exists);
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_1;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_2: This is Dual mode. Dedicated Numonyx memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_2 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 2) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_2 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_2_nm.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_2 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_2_nm.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op, -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_5,
dpo => dpo_5,
qdpo => qdpo_5
);
end generate;
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 11 -- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 10 -- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 9 -- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 8 -- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_2;
-----------------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_3 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 3) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_8 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_8 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_8 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
xpm_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_3 : if (C_SELECT_XPM = 1) generate
xpm_memory_inst: xpm_memory_sprom
generic map (
MEMORY_SIZE => C_LOOK_UP_TABLE_WIDTH*C_LUT_DEPTH,
MEMORY_PRIMITIVE => "lutram",
ECC_MODE => "no_ecc",
MEMORY_INIT_FILE => "mode_2_memory_3_sp.mem",
MEMORY_INIT_PARAM => "",
WAKEUP_TIME => "disable_sleep",
MESSAGE_CONTROL => 0,
READ_DATA_WIDTH_A => C_LOOK_UP_TABLE_WIDTH,
ADDR_WIDTH_A => C_LUT_DWIDTH,
READ_RESET_VALUE_A => "0",
READ_LATENCY_A => 1
)
port map (
-- Common module ports
sleep => '0',
-- Port A module ports
clka => EXT_SPI_CLK,
rsta => Rst_to_spi,
ena => '1',
regcea => '1',
addra => Look_up_address,
injectsbiterra => '0',
injectdbiterra => '0',
douta => Look_up_op,
sbiterra => open,
dbiterra => open
);
end generate;
dist_mem_gen_QSPI_LOOK_UP_MODE_2_MEMORY_3 : if (C_SELECT_XPM = 0) generate
--C_SPI_MODE_2_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_3_sp.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op, -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_8,
dpo => dpo_8,
qdpo => qdpo_8
);
end generate;
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 11 -- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 10 -- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 9 -- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 8 -- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_3;
---------------------
end architecture imp;
---------------------
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1530.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p09n01i01530ent IS
END c08s09b00x00p09n01i01530ent;
ARCHITECTURE c08s09b00x00p09n01i01530arch OF c08s09b00x00p09n01i01530ent IS
BEGIN
TESTING: PROCESS
variable VAR : REAL := 0.0;
variable k : integer := 0;
BEGIN
-- Outer scope's declaration of VAR is of type REAL.
assert (VAR = 0.0);
if (VAR /= 0.0) then
k := 1;
end if;
-- Loop using VAR as an integer.
for VAR in 0 to 10 loop
-- Verify that inner declaration is of type INTEGER.
assert (VAR <= 10);
if (VAR > 10) then
k := 1;
end if;
end loop;
-- Outer scope's declaration of VAR is of type REAL.
assert (VAR = 0.0);
if (VAR /= 0.0) then
k := 1;
end if;
assert NOT( k=0 )
report "***PASSED TEST: c08s09b00x00p09n01i01530"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s09b00x00p09n01i01530 - The loop parameter specification is the declaration of the loop parameter with a given identifier."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p09n01i01530arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1530.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p09n01i01530ent IS
END c08s09b00x00p09n01i01530ent;
ARCHITECTURE c08s09b00x00p09n01i01530arch OF c08s09b00x00p09n01i01530ent IS
BEGIN
TESTING: PROCESS
variable VAR : REAL := 0.0;
variable k : integer := 0;
BEGIN
-- Outer scope's declaration of VAR is of type REAL.
assert (VAR = 0.0);
if (VAR /= 0.0) then
k := 1;
end if;
-- Loop using VAR as an integer.
for VAR in 0 to 10 loop
-- Verify that inner declaration is of type INTEGER.
assert (VAR <= 10);
if (VAR > 10) then
k := 1;
end if;
end loop;
-- Outer scope's declaration of VAR is of type REAL.
assert (VAR = 0.0);
if (VAR /= 0.0) then
k := 1;
end if;
assert NOT( k=0 )
report "***PASSED TEST: c08s09b00x00p09n01i01530"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s09b00x00p09n01i01530 - The loop parameter specification is the declaration of the loop parameter with a given identifier."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p09n01i01530arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1530.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s09b00x00p09n01i01530ent IS
END c08s09b00x00p09n01i01530ent;
ARCHITECTURE c08s09b00x00p09n01i01530arch OF c08s09b00x00p09n01i01530ent IS
BEGIN
TESTING: PROCESS
variable VAR : REAL := 0.0;
variable k : integer := 0;
BEGIN
-- Outer scope's declaration of VAR is of type REAL.
assert (VAR = 0.0);
if (VAR /= 0.0) then
k := 1;
end if;
-- Loop using VAR as an integer.
for VAR in 0 to 10 loop
-- Verify that inner declaration is of type INTEGER.
assert (VAR <= 10);
if (VAR > 10) then
k := 1;
end if;
end loop;
-- Outer scope's declaration of VAR is of type REAL.
assert (VAR = 0.0);
if (VAR /= 0.0) then
k := 1;
end if;
assert NOT( k=0 )
report "***PASSED TEST: c08s09b00x00p09n01i01530"
severity NOTE;
assert ( k=0 )
report "***FAILED TEST: c08s09b00x00p09n01i01530 - The loop parameter specification is the declaration of the loop parameter with a given identifier."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s09b00x00p09n01i01530arch;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
|
library IEEE;
use IEEE.Std_Logic_1164.all;
--Multiplexador 2x1
entity mux2x1 is
port (IN0,IN1: in std_logic_vector(29 downto 0);
REG: out std_logic_vector(29 downto 0);
SW: in std_logic
);
end mux2x1;
--Definicao Arquitetura
architecture circuito of mux2x1 is
begin
REG <= IN0 when SW = '0' else
IN1;
end circuito; |
library ieee;
use ieee.std_logic_1164.all;
entity HA_tb is
end HA_tb;
architecture tb of HA_tb is
component HA is
port( A, B : in std_logic;
Sout, Cout : out std_logic);
end component;
signal A, B, Sout, Cout: std_logic;
begin
mapping: HA port map(A, B, Sout, Cout);
process
variable errCnt : integer := 0;
begin
--TEST 1
A <= '0';
B <= '1';
wait for 10 ns;
--assert(sum = '1') report "sum error 1" severity error;
--assert(Cout = '0') report "Cout error 1" severity error;
--if(sum /= '1' or Cout /= '0') then
--errCnt := errCnt + 1;
--end if;
--TEST 2
A <= '1';
B <= '1';
wait for 10 ns;
--assert(sum = '0') report "sum error 2" severity error;
--assert(Cout = '1') report "Cout error 2" severity error;
--if(sum /= '0' or Cout /= '1') then
--errCnt := errCnt + 1;
--end if;
--TEST 3
A <= '1';
B <= '0';
wait for 10 ns;
--assert(sum = '1') report "sum error 3" severity error;
--assert(Cout = '0') report "Cout error 3" severity error;
--if(sum /= '1' or Cout /= '0') then
--errCnt := errCnt + 1;
--end if;
---- SUMMARY ----
--if(errCnt = 0) then
--assert false report "Success!" severity note;
--else
--assert false report "Faillure!" severity note;
--end if;
end process;
end tb;
-------------------------------------------------------------
configuration cfg_tb of HA_tb is
for tb
end for;
end cfg_tb;
----------------------------------------------------------END
----------------------------------------------------------END |
architecture RTL of FIFO is
begin
process
variable var1 : integer;
begin
end process;
process (a, b)
variable var1 : integer;
begin
end process;
process is
variable var1 : integer;
begin
end process;
-- Violations below
process
variable var1 : integer;
begin
end process;
process (a, b)
variable var1 : integer;
begin
end process;
process is
variable var1 : integer;
begin
end process;
end architecture RTL;
|
-- Module Name: InputGate - Behavioral
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
entity test1 is
port (
a : in std_logic;
b : in std_logic;
c : out std_logic );
end entity;
architecture Behavioral of test1 is
begin
c <= a and b;
end Behavioral;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: clkpad
-- File: clkpad.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Clock pad with technology wrapper
------------------------------------------------------------------------------
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use techmap.allpads.all;
entity clkpad is
generic (tech : integer := 0; level : integer := 0;
voltage : integer := x33v; arch : integer := 0;
hf : integer := 0; filter : integer := 0);
port (pad : in std_ulogic; o : out std_ulogic; rstn : in std_ulogic := '1'; lock : out std_ulogic);
end;
architecture rtl of clkpad is
begin
gen0 : if has_pads(tech) = 0 generate
o <= to_X01(pad); lock <= '1';
end generate;
xcv2 : if (is_unisim(tech) = 1) generate
u0 : unisim_clkpad generic map (level, voltage, arch, hf, tech) port map (pad, o, rstn, lock);
end generate;
axc : if (tech = axcel) or (tech = axdsp) generate
u0 : axcel_clkpad generic map (level, voltage, arch) port map (pad, o); lock <= '1';
end generate;
pa : if (tech = proasic) or (tech = apa3) generate
u0 : apa3_clkpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
pa3e : if (tech = apa3e) generate
u0 : apa3e_clkpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
igl2 : if (tech = igloo2) or (tech = rtg4) generate
u0 : igloo2_clkpad port map (pad, o); lock <= '1';
end generate;
pa3l : if (tech = apa3l) generate
u0 : apa3l_clkpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
fus : if (tech = actfus) generate
u0 : fusion_clkpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
atc : if (tech = atc18s) generate
u0 : atc18_clkpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
atcrh : if (tech = atc18rha) generate
u0 : atc18rha_clkpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
um : if (tech = umc) generate
u0 : umc_inpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
rhu : if (tech = rhumc) generate
u0 : rhumc_inpad generic map (level, voltage, filter) port map (pad, o); lock <= '1';
end generate;
saed : if (tech = saed32) generate
u0 : saed32_inpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
rhs : if (tech = rhs65) generate
u0 : rhs65_inpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
dar : if (tech = dare) generate
u0 : dare_inpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
ihp : if (tech = ihp25) generate
u0 : ihp25_clkpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
rh18t : if (tech = rhlib18t) generate
u0 : rh_lib18t_inpad port map (pad, o); lock <= '1';
end generate;
ut025 : if (tech = ut25) generate
u0 : ut025crh_inpad port map (pad, o); lock <= '1';
end generate;
ut13 : if (tech = ut130) generate
u0 : ut130hbd_inpad generic map (level, voltage, filter)
port map (pad, o); lock <= '1';
end generate;
ut9 : if (tech = ut90) generate
u0 : ut90nhbd_inpad port map (pad, o); lock <= '1';
end generate;
pere : if (tech = peregrine) generate
u0 : peregrine_inpad port map (pad, o); lock <= '1';
end generate;
n2x : if (tech = easic45) generate
u0 : n2x_inpad generic map (level, voltage) port map (pad, o); lock <= '1';
end generate;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1370.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01370ent IS
END c08s05b00x00p03n01i01370ent;
ARCHITECTURE c08s05b00x00p03n01i01370arch OF c08s05b00x00p03n01i01370ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_arr3 : st_arr3 := c_st_arr3_1 ;
--
BEGIN
v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) :=
c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)) ;
assert NOT(v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2)
report "***PASSED TEST: c08s05b00x00p03n01i01370"
severity NOTE;
assert (v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2)
report "***FAILED TEST: c08s05b00x00p03n01i01370 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01370arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1370.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01370ent IS
END c08s05b00x00p03n01i01370ent;
ARCHITECTURE c08s05b00x00p03n01i01370arch OF c08s05b00x00p03n01i01370ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_arr3 : st_arr3 := c_st_arr3_1 ;
--
BEGIN
v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) :=
c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)) ;
assert NOT(v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2)
report "***PASSED TEST: c08s05b00x00p03n01i01370"
severity NOTE;
assert (v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2)
report "***FAILED TEST: c08s05b00x00p03n01i01370 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01370arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1370.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01370ent IS
END c08s05b00x00p03n01i01370ent;
ARCHITECTURE c08s05b00x00p03n01i01370arch OF c08s05b00x00p03n01i01370ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_arr3 : st_arr3 := c_st_arr3_1 ;
--
BEGIN
v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) :=
c_st_arr3_2(st_arr3'Right(1),st_arr3'Right(2)) ;
assert NOT(v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2)
report "***PASSED TEST: c08s05b00x00p03n01i01370"
severity NOTE;
assert (v_st_arr3(st_arr3'Left(1),st_arr3'Left(2)) = c_st_rec3_2)
report "***FAILED TEST: c08s05b00x00p03n01i01370 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01370arch;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.